You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

16 lines
462 B

#!/bin/bash
set -x
rm -rf /home/jebba/devel/FPGA/litex/litex-boards/litex_boards/partner/targets/soc_basesoc_trellisboard
cd /home/jebba/devel/FPGA/litex/litex-boards/litex_boards/partner/targets
./trellisboard.py --gateware-toolchain trellis
openocd -f /home/jebba/devel/FPGA/muh/trellisboard.cfg -c "init; svf /home/jebba/devel/FPGA/litex/litex-boards/litex_boards/partner/targets/soc_basesoc_trellisboard/gateware/top.svf ; exit"
lxterm /dev/ttyUSB1