You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

7 lines
168 B

#!/bin/bash
set -x
openocd -f /home/jebba/devel/FPGA/muh/trellisboard.cfg -c "init; svf /home/jebba/devel/forksand/fs-TrellisBoard/gateware/simple/demo.svf ; exit"