You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
fpga-cruft/scripts/forksand-trellis-vexriscv-o...

23 lines
522 B

#!/bin/bash
FPGADIR=/home/jebba/devel/FPGA
# Directory of scripts
FPGASCRIPTS=$FPGADIR/muh
# Timestamp
FPGANOW=`date +%Y%m%d-%H%M%S`
# Log script
#exec > >(tee $FPGADIR/log/trellis-vexriscv-$FPGANOW) 2>>$FPGADIR/log/trellis-vexriscv-$FPGANOW
set -x
cd $FPGADIR/litex/litex-boards/litex_boards/partner/targets/soc_ethernetsoc_trellisboard/gateware
openocd \
-f $FPGADIR/litex/linux-on-litex-vexriscv/prog/trellisboard.cfg \
-c "init; svf top.svf ; exit"
echo "lxterm /dev/ttyUSB2 --speed=1e6"