You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
fs-TrellisBoard/hardware/ecp5_mainboard/serdes.kicad_sch

8477 lines
355 KiB

2 years ago
(kicad_sch (version 20210621) (generator eeschema)
(uuid e5648d2a-c11b-49bf-ba13-b573bfaf3fec)
(paper "A3")
(lib_symbols
(symbol "Analog_Switch:CBTL02043A" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -12.7 21.59 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "CBTL02043A" (id 1) (at 6.35 21.59 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_DFN_QFN:WQFN-20-1EP_2.5x4.5mm_P0.5mm_EP1x2.9mm" (id 2) (at 1.905 -22.86 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.nxp.com/docs/en/data-sheet/CBTL02043A_CBTL02043B.pdf" (id 3) (at 3.175 -8.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Multiplexer Demultiplexer Switch Hi-Speed" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "3.3 V, 2 differential channel, 2:1 MUX/deMUX switch, 10 Gbps, WQFN-20" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "WQFN*1EP*2.5x4.5mm*P0.5mm*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "CBTL02043A_0_1"
(circle (center -5.08 2.54) (radius 0.254) (stroke (width 0)) (fill (type outline)))
(circle (center -3.81 0) (radius 0.254) (stroke (width 0)) (fill (type outline)))
(circle (center -2.54 10.16) (radius 0.254) (stroke (width 0)) (fill (type outline)))
(circle (center -1.27 12.7) (radius 0.254) (stroke (width 0)) (fill (type outline)))
(rectangle (start -12.7 20.32) (end 12.7 -20.32)
(stroke (width 0.254)) (fill (type background))
)
(polyline
(pts
(xy -7.62 -10.16)
(xy -6.35 -10.16)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -7.62 -12.7)
(xy -2.54 -12.7)
(xy -2.54 -11.43)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -2.54 -12.7)
(xy 3.81 -12.7)
(xy 3.81 -11.43)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 1.27 -10.16)
(xy -1.27 -8.89)
(xy -1.27 -11.43)
(xy 1.27 -10.16)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 5.08 -3.81)
(xy 2.54 -5.08)
(xy -3.81 -5.08)
(xy -3.81 0)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 5.08 -1.27)
(xy 2.54 -2.54)
(xy -5.08 -2.54)
(xy -5.08 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 5.08 1.27)
(xy 2.54 0)
(xy -2.54 0)
(xy -2.54 10.16)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 5.08 3.81)
(xy 2.54 2.54)
(xy -1.27 2.54)
(xy -1.27 12.7)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "CBTL02043A_1_1"
(circle (center -3.81 -10.16) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 0 5.08) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 0 7.62) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 0 10.16) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 0 12.7) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 1.27 -10.16) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 2.54 -5.08) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 2.54 -2.54) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 2.54 0) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center 2.54 2.54) (radius 0.254) (stroke (width 0)) (fill (type none)))
(circle (center -2.54 -10.16) (radius 0.254) (stroke (width 0)) (fill (type outline)))
(polyline
(pts
(xy -2.54 -10.16)
(xy -1.27 -10.16)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 1.27 5.08)
(xy 1.27 3.81)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 1.27 7.62)
(xy 1.27 6.35)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 1.27 10.16)
(xy 1.27 8.89)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 1.27 12.7)
(xy 1.27 11.43)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 3.81 -3.81)
(xy 3.81 -2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 3.81 -1.27)
(xy 3.81 0)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 3.81 1.27)
(xy 3.81 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 6.35 -5.08)
(xy 5.08 -5.08)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 6.35 -2.54)
(xy 5.08 -2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 6.35 0)
(xy 5.08 0)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 6.35 2.54)
(xy 5.08 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 6.35 5.08)
(xy 2.54 5.08)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 6.35 7.62)
(xy 2.54 7.62)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 6.35 10.16)
(xy 2.54 10.16)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 6.35 12.7)
(xy 2.54 12.7)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -6.35 10.16)
(xy 0 10.16)
(xy 2.54 11.43)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -6.35 12.7)
(xy 0 12.7)
(xy 2.54 13.97)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 1.27 -10.16)
(xy 3.81 -10.16)
(xy 3.81 -5.08)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -3.81 -10.16)
(xy -6.35 -8.89)
(xy -6.35 -11.43)
(xy -3.81 -10.16)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -6.35 0)
(xy -3.81 0)
(xy -3.81 5.08)
(xy 0 5.08)
(xy 2.54 6.35)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -6.35 2.54)
(xy -5.08 2.54)
(xy -5.08 7.62)
(xy 0 7.62)
(xy 2.54 8.89)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 1.27 3.81)
(xy 1.27 -6.35)
(xy -2.54 -6.35)
(xy -2.54 -10.16)
(xy -3.81 -10.16)
)
(stroke (width 0)) (fill (type none))
)
(pin power_in line (at 0 22.86 270) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 22.86 270) (length 2.54) hide
(name "VDD" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -22.86 90) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 -5.08 180) (length 2.54)
(name "C1_N" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 -2.54 180) (length 2.54)
(name "C1_P" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 0 180) (length 2.54)
(name "C0_N" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 2.54 180) (length 2.54)
(name "C0_P" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 5.08 180) (length 2.54)
(name "B1_N" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 7.62 180) (length 2.54)
(name "B1_P" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 10.16 180) (length 2.54)
(name "B0_N" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 15.24 12.7 180) (length 2.54)
(name "B0_P" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin input line (at -15.24 -12.7 0) (length 2.54)
(name "XSD" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -22.86 90) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -22.86 90) (length 2.54) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 12.7 0) (length 2.54)
(name "A0_P" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 10.16 0) (length 2.54)
(name "A0_N" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -22.86 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 22.86 270) (length 2.54) hide
(name "VDD" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 2.54 0) (length 2.54)
(name "A1_P" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -15.24 0 0) (length 2.54)
(name "A1_N" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin input line (at -15.24 -10.16 0) (length 2.54)
(name "SEL" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Connector:Conn_01x01_Male" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (id 0) (at 0 2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x01_Male" (id 1) (at 0 -2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x01, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x01_Male_1_1"
(rectangle (start 0.8636 0.127) (end 0 -0.127)
(stroke (width 0.1524)) (fill (type outline))
)
(polyline
(pts
(xy 1.27 0)
(xy 0.8636 0)
)
(stroke (width 0.1524)) (fill (type none))
)
(pin passive line (at 5.08 0 180) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.254 1.778 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C_Small" (id 1) (at 0.254 -2.032 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_Small_0_1"
(polyline
(pts
(xy -1.524 -0.508)
(xy 1.524 -0.508)
)
(stroke (width 0.3302)) (fill (type none))
)
(polyline
(pts
(xy -1.524 0.508)
(xy 1.524 0.508)
)
(stroke (width 0.3048)) (fill (type none))
)
)
(symbol "C_Small_1_1"
(pin passive line (at 0 2.54 270) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 2.032)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:Crystal_GND24" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "Y" (id 0) (at 3.175 5.08 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "Crystal_GND24" (id 1) (at 3.175 3.175 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "quartz ceramic resonator oscillator" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Four pin crystal, GND on pins 2 and 4" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Crystal*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Crystal_GND24_0_1"
(rectangle (start -1.143 2.54) (end 1.143 -2.54)
(stroke (width 0.3048)) (fill (type none))
)
(polyline
(pts
(xy -2.54 0)
(xy -2.032 0)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -2.032 -1.27)
(xy -2.032 1.27)
)
(stroke (width 0.508)) (fill (type none))
)
(polyline
(pts
(xy 0 -3.81)
(xy 0 -3.556)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 3.556)
(xy 0 3.81)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 2.032 -1.27)
(xy 2.032 1.27)
)
(stroke (width 0.508)) (fill (type none))
)
(polyline
(pts
(xy 2.032 0)
(xy 2.54 0)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -2.54 -2.286)
(xy -2.54 -3.556)
(xy 2.54 -3.556)
(xy 2.54 -2.286)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -2.54 2.286)
(xy -2.54 3.556)
(xy 2.54 3.556)
(xy 2.54 2.286)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "Crystal_GND24_1_1"
(pin passive line (at -3.81 0 0) (length 1.27)
(name "1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 5.08 270) (length 1.27)
(name "2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 3.81 0 180) (length 1.27)
(name "3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 90) (length 1.27)
(name "4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:Ferrite_Bead_Small" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "FB" (id 0) (at 1.905 1.27 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "Device_Ferrite_Bead_Small" (id 1) (at 1.905 -1.27 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at -1.778 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Inductor_* L_* *Ferrite*" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Ferrite_Bead_Small_0_1"
(polyline
(pts
(xy 0 -1.27)
(xy 0 -0.7874)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 0.889)
(xy 0 1.2954)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -1.8288 0.2794)
(xy -1.1176 1.4986)
(xy 1.8288 -0.2032)
(xy 1.1176 -1.4224)
(xy -1.8288 0.2794)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "Ferrite_Bead_Small_1_1"
(pin passive line (at 0 2.54 270) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:LED_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "D" (id 0) (at -1.27 3.175 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "LED_Small" (id 1) (at -4.445 -2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "LED diode light-emitting-diode" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Light emitting diode, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "LED_Small_0_1"
(polyline
(pts
(xy -0.762 -1.016)
(xy -0.762 1.016)
)
(stroke (width 0.254)) (fill (type none))
)
(polyline
(pts
(xy 1.016 0)
(xy -0.762 0)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0.762 -1.016)
(xy -0.762 0)
(xy 0.762 1.016)
(xy 0.762 -1.016)
)
(stroke (width 0.254)) (fill (type none))
)
(polyline
(pts
(xy 0 0.762)
(xy -0.508 1.27)
(xy -0.254 1.27)
(xy -0.508 1.27)
(xy -0.508 1.016)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0.508 1.27)
(xy 0 1.778)
(xy 0.254 1.778)
(xy 0 1.778)
(xy 0 1.524)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "LED_Small_1_1"
(pin passive line (at -2.54 0 0) (length 1.778)
(name "K" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 2.54 0 180) (length 1.778)
(name "A" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "R" (id 0) (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Small" (id 1) (at 0.762 -1.016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R resistor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Small_0_1"
(rectangle (start -0.762 1.778) (end 0.762 -1.778)
(stroke (width 0.2032)) (fill (type none))
)
)
(symbol "R_Small_1_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "ECP5UM5G:ECP5UM5G_85_CABGA756" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 3.81 6.35 0)
(effects (font (size 1.524 1.524)) (justify right))
)
(property "Value" "ECP5UM5G_85_CABGA756" (id 1) (at 3.81 3.81 0)
(effects (font (size 1.524 1.524)) (justify right))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "manf#" "ECP5UM5G_85" (id 4) (at 3.81 -1.27 0)
(effects (font (size 1.524 1.524)) (justify right) hide)
)
(property "ki_locked" "" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(symbol "ECP5UM5G_85_CABGA756_1_1"
(rectangle (start 5.08 22.86) (end 594.36 -104.14)
(stroke (width 0.3048)) (fill (type background))
)
(pin power_in line (at 378.46 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AA11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 325.12 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 299.72 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 294.64 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 289.56 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 284.48 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 279.4 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 274.32 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 269.24 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 264.16 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 259.08 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "AA21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 106.68 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AA22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 340.36 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 345.44 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 381 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 309.88 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 284.48 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 254 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 228.6 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 203.2 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 172.72 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 147.32 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 114.3 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 109.22 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AB22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 340.36 27.94 270) (length 5.08)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "AC11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 347.98 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AC12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 215.9 27.94 270) (length 5.08)
(name "VCCHTX0_D0CH0" (effects (font (size 1.27 1.27))))
(number "AC13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 218.44 27.94 270) (length 5.08)
(name "VCCHRX0_D0CH0" (effects (font (size 1.27 1.27))))
(number "AC14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 220.98 27.94 270) (length 5.08)
(name "VCCHRX1_D0CH1" (effects (font (size 1.27 1.27))))
(number "AC15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 223.52 27.94 270) (length 5.08)
(name "VCCHTX1_D0CH1" (effects (font (size 1.27 1.27))))
(number "AC16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 226.06 27.94 270) (length 5.08)
(name "VCCHTX0_D1CH0" (effects (font (size 1.27 1.27))))
(number "AC17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 228.6 27.94 270) (length 5.08)
(name "VCCHRX0_D1CH0" (effects (font (size 1.27 1.27))))
(number "AC18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 231.14 27.94 270) (length 5.08)
(name "VCCHRX1_D1CH1" (effects (font (size 1.27 1.27))))
(number "AC19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 233.68 27.94 270) (length 5.08)
(name "VCCHTX1_D1CH1" (effects (font (size 1.27 1.27))))
(number "AC20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 116.84 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AC21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 332.74 27.94 270) (length 5.08)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "AC22" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -17.78 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "AC29" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -76.2 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "AC4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 434.34 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AD2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 66.04 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AD28" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 38.1 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AD31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 408.94 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AD5" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -27.94 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "AE26" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -66.04 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "AE7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 553.72 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 548.64 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 533.4 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 523.24 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 515.62 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 500.38 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 495.3 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 480.06 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 368.3 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AF22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 462.28 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AF23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 556.26 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AG11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 350.52 27.94 270) (length 5.08)
(name "VCCA0" (effects (font (size 1.27 1.27))))
(number "AG12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 535.94 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AG14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 525.78 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AG15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 518.16 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AG16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 502.92 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AG17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 381 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AG19" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -81.28 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "AG2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 482.6 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AG20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 370.84 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AG22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 464.82 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AG23" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -33.02 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "AG24" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -10.16 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "AG31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 360.68 27.94 270) (length 5.08)
(name "VCCA0" (effects (font (size 1.27 1.27))))
(number "AG9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 558.8 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 353.06 27.94 270) (length 5.08)
(name "VCCA0" (effects (font (size 1.27 1.27))))
(number "AH12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 538.48 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 528.32 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 520.7 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 505.46 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 383.54 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AH19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 436.88 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 485.14 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 373.38 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AH22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 467.36 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 457.2 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH24" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 454.66 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH25" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 441.96 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH26" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 45.72 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH29" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 40.64 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 411.48 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 581.66 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 571.5 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AH8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 363.22 27.94 270) (length 5.08)
(name "VCCA0" (effects (font (size 1.27 1.27))))
(number "AH9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 358.14 27.94 270) (length 5.08)
(name "VCCA0" (effects (font (size 1.27 1.27))))
(number "AJ10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 561.34 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AJ11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 355.6 27.94 270) (length 5.08)
(name "VCCA0" (effects (font (size 1.27 1.27))))
(number "AJ12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 347.98 27.94 270) (length 5.08)
(name "VCCA0" (effects (font (size 1.27 1.27))))
(number "AJ13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 541.02 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AJ14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 393.7 27.94 270) (length 5.08)
(name "VCCAUXA0" (effects (font (size 1.27 1.27))))
(number "AJ15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 391.16 27.94 270) (length 5.08)
(name "VCCAUXA0" (effects (font (size 1.27 1.27))))
(number "AJ16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 508 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AJ17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 388.62 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AJ18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 386.08 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AJ19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 487.68 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AJ20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 378.46 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AJ21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 375.92 27.94 270) (length 5.08)
(name "VCCA1" (effects (font (size 1.27 1.27))))
(number "AJ22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 469.9 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AJ23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 398.78 27.94 270) (length 5.08)
(name "VCCAUXA1" (effects (font (size 1.27 1.27))))
(number "AJ24" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 396.24 27.94 270) (length 5.08)
(name "VCCAUXA1" (effects (font (size 1.27 1.27))))
(number "AJ25" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 444.5 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AJ26" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 584.2 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AJ7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 574.04 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AJ8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 365.76 27.94 270) (length 5.08)
(name "VCCA0" (effects (font (size 1.27 1.27))))
(number "AJ9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 563.88 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AK11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 543.56 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AK14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "RESERVED" (effects (font (size 1.27 1.27))))
(number "AK15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "RESERVED" (effects (font (size 1.27 1.27))))
(number "AK16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 510.54 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AK17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 490.22 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AK20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 472.44 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AK23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "RESERVED" (effects (font (size 1.27 1.27))))
(number "AK24" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "RESERVED" (effects (font (size 1.27 1.27))))
(number "AK25" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 447.04 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AK26" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 586.74 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AK7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 576.58 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AK8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 566.42 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 551.18 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 546.1 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 530.86 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 513.08 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 497.84 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 439.42 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 492.76 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 477.52 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 474.98 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 459.74 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL24" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 449.58 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL26" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 48.26 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL29" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 43.18 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 414.02 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 589.28 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 579.12 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 568.96 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AL9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 452.12 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AM26" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 591.82 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "AM7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 312.42 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 256.54 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 175.26 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 416.56 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 119.38 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 68.58 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B24" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 50.8 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B28" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 20.32 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 393.7 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 388.62 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 314.96 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 259.08 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 177.8 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 419.1 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 121.92 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 71.12 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E24" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -25.4 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "E26" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 53.34 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E28" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 396.24 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E5" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -63.5 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "E7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 391.16 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E9" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -58.42 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G10" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -55.88 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G11" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -53.34 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G14" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -50.8 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G15" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -48.26 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G16" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -45.72 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G17" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -43.18 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G18" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -40.64 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G19" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -38.1 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G22" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -35.56 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G23" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -30.48 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G24" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -60.96 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "G9" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -15.24 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "H29" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -73.66 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "H4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 421.64 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "J2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 55.88 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "J28" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "J31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 398.78 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "J5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 383.54 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "K10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 350.52 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "K11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 337.82 27.94 270) (length 5.08)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "K13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 335.28 27.94 270) (length 5.08)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "K20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 78.74 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "K22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 73.66 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "K23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 386.08 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 353.06 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 342.9 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 317.5 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 287.02 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 261.62 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 231.14 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 205.74 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 180.34 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 149.86 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 124.46 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 111.76 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 81.28 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 76.2 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L23" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -20.32 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "L28" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -71.12 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "L5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 355.6 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "M11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 302.26 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 297.18 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 292.1 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 287.02 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 281.94 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 276.86 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 271.78 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 266.7 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 261.62 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 236.22 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "M21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 83.82 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "M22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 342.9 27.94 270) (length 5.08)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "N10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 358.14 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 304.8 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "N12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 320.04 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 289.56 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 264.16 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 233.68 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 208.28 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 182.88 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 152.4 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 424.18 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 127 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 238.76 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "N21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 86.36 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 327.66 27.94 270) (length 5.08)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "N23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 58.42 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N28" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 27.94 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 401.32 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 360.68 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 307.34 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "P12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 322.58 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 292.1 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 266.7 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 236.22 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 210.82 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 185.42 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 154.94 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 129.54 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 241.3 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "P21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 88.9 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 363.22 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 309.88 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "R12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 325.12 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 294.64 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 269.24 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 238.76 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 213.36 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 187.96 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 157.48 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 426.72 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 132.08 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 243.84 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "R21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 91.44 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 60.96 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R28" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 30.48 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 403.86 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 365.76 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 312.42 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "T12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 327.66 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 297.18 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 271.78 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 241.3 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 215.9 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 190.5 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 160.02 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 134.62 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 246.38 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "T21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 93.98 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 368.3 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 314.96 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "U12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 330.2 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 299.72 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 274.32 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 243.84 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 218.44 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 193.04 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 162.56 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 137.16 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 248.92 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "U21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 96.52 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "U22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 370.84 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 317.5 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "V12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 332.74 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 302.26 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 276.86 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 246.38 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 220.98 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 195.58 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 165.1 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 429.26 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 139.7 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 251.46 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "V21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 99.06 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 63.5 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V28" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -78.74 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "V3" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -12.7 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "V30" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 33.02 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 406.4 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "V5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 373.38 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 320.04 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "W12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 335.28 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 304.8 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 279.4 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 248.92 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 223.52 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 198.12 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 167.64 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 142.24 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 254 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "W21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 101.6 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "W22" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -22.86 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "W27" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 0 -68.58 0) (length 5.08)
(name "NC" (effects (font (size 1.27 1.27))))
(number "W6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 345.44 27.94 270) (length 5.08)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "Y10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 375.92 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 322.58 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "Y12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 337.82 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 307.34 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 281.94 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 251.46 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 226.06 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 200.66 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 170.18 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 431.8 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 144.78 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 256.54 27.94 270) (length 5.08)
(name "VCC" (effects (font (size 1.27 1.27))))
(number "Y21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 104.14 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 330.2 27.94 270) (length 5.08)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "Y23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 35.56 -109.22 90) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "Y31" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_2_1"
(rectangle (start 5.08 12.7) (end 27.94 -142.24)
(stroke (width 0.3048)) (fill (type background))
)
(pin bidirectional line (at 0 -73.66 0) (length 5.08)
(name "PT31B" (effects (font (size 1.27 1.27))))
(number "A10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -60.96 0) (length 5.08)
(name "PT38B" (effects (font (size 1.27 1.27))))
(number "A11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -50.8 0) (length 5.08)
(name "PT42B" (effects (font (size 1.27 1.27))))
(number "A13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -33.02 0) (length 5.08)
(name "PT49B" (effects (font (size 1.27 1.27))))
(number "A14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "PT54B" (effects (font (size 1.27 1.27))))
(number "A15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "PT60B" (effects (font (size 1.27 1.27))))
(number "A16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "PT63A" (effects (font (size 1.27 1.27))))
(number "A17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -137.16 0) (length 5.08)
(name "PT6A" (effects (font (size 1.27 1.27))))
(number "A2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -132.08 0) (length 5.08)
(name "PT6B" (effects (font (size 1.27 1.27))))
(number "A3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -129.54 0) (length 5.08)
(name "PT9A" (effects (font (size 1.27 1.27))))
(number "A4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -124.46 0) (length 5.08)
(name "PT9B" (effects (font (size 1.27 1.27))))
(number "A5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -114.3 0) (length 5.08)
(name "PT13B" (effects (font (size 1.27 1.27))))
(number "A7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -101.6 0) (length 5.08)
(name "PT20B" (effects (font (size 1.27 1.27))))
(number "A8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -91.44 0) (length 5.08)
(name "PT24B" (effects (font (size 1.27 1.27))))
(number "A9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -78.74 0) (length 5.08)
(name "PT31A" (effects (font (size 1.27 1.27))))
(number "B10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -66.04 0) (length 5.08)
(name "PT38A" (effects (font (size 1.27 1.27))))
(number "B11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -38.1 0) (length 5.08)
(name "PT49A" (effects (font (size 1.27 1.27))))
(number "B14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "PT60A" (effects (font (size 1.27 1.27))))
(number "B16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "PT63B" (effects (font (size 1.27 1.27))))
(number "B17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -139.7 0) (length 5.08)
(name "PT4A" (effects (font (size 1.27 1.27))))
(number "B3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -134.62 0) (length 5.08)
(name "PT4B" (effects (font (size 1.27 1.27))))
(number "B4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -119.38 0) (length 5.08)
(name "PT13A" (effects (font (size 1.27 1.27))))
(number "B7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -106.68 0) (length 5.08)
(name "PT20A" (effects (font (size 1.27 1.27))))
(number "B8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -81.28 0) (length 5.08)
(name "PT29B" (effects (font (size 1.27 1.27))))
(number "C10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -63.5 0) (length 5.08)
(name "PT36B" (effects (font (size 1.27 1.27))))
(number "C11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -55.88 0) (length 5.08)
(name "PT42A" (effects (font (size 1.27 1.27))))
(number "C13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -40.64 0) (length 5.08)
(name "PT47B" (effects (font (size 1.27 1.27))))
(number "C14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -27.94 0) (length 5.08)
(name "PT54A" (effects (font (size 1.27 1.27))))
(number "C15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "PT58B" (effects (font (size 1.27 1.27))))
(number "C16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "PT65A" (effects (font (size 1.27 1.27))))
(number "C17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -121.92 0) (length 5.08)
(name "PT11B" (effects (font (size 1.27 1.27))))
(number "C7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -104.14 0) (length 5.08)
(name "PT18B" (effects (font (size 1.27 1.27))))
(number "C8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -96.52 0) (length 5.08)
(name "PT24A" (effects (font (size 1.27 1.27))))
(number "C9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -86.36 0) (length 5.08)
(name "PT29A" (effects (font (size 1.27 1.27))))
(number "D10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -68.58 0) (length 5.08)
(name "PT36A" (effects (font (size 1.27 1.27))))
(number "D11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -53.34 0) (length 5.08)
(name "PT40B" (effects (font (size 1.27 1.27))))
(number "D13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -45.72 0) (length 5.08)
(name "PT47A" (effects (font (size 1.27 1.27))))
(number "D14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -30.48 0) (length 5.08)
(name "PT51B" (effects (font (size 1.27 1.27))))
(number "D15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "PT58A" (effects (font (size 1.27 1.27))))
(number "D16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "PT65B" (effects (font (size 1.27 1.27))))
(number "D17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -127 0) (length 5.08)
(name "PT11A" (effects (font (size 1.27 1.27))))
(number "D7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -109.22 0) (length 5.08)
(name "PT18A" (effects (font (size 1.27 1.27))))
(number "D8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -93.98 0) (length 5.08)
(name "PT22B" (effects (font (size 1.27 1.27))))
(number "D9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -83.82 0) (length 5.08)
(name "PT27B" (effects (font (size 1.27 1.27))))
(number "E10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -71.12 0) (length 5.08)
(name "PT33B" (effects (font (size 1.27 1.27))))
(number "E11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -43.18 0) (length 5.08)
(name "PT45B" (effects (font (size 1.27 1.27))))
(number "E14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "PT56B" (effects (font (size 1.27 1.27))))
(number "E16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -111.76 0) (length 5.08)
(name "PT15B" (effects (font (size 1.27 1.27))))
(number "E8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -88.9 0) (length 5.08)
(name "PT27A" (effects (font (size 1.27 1.27))))
(number "F10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -76.2 0) (length 5.08)
(name "PT33A" (effects (font (size 1.27 1.27))))
(number "F11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -58.42 0) (length 5.08)
(name "PT40A" (effects (font (size 1.27 1.27))))
(number "F13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -48.26 0) (length 5.08)
(name "PT45A" (effects (font (size 1.27 1.27))))
(number "F14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -35.56 0) (length 5.08)
(name "PT51A" (effects (font (size 1.27 1.27))))
(number "F15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -25.4 0) (length 5.08)
(name "PT56A" (effects (font (size 1.27 1.27))))
(number "F16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -116.84 0) (length 5.08)
(name "PT15A" (effects (font (size 1.27 1.27))))
(number "F8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -99.06 0) (length 5.08)
(name "PT22A" (effects (font (size 1.27 1.27))))
(number "F9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 17.78 270) (length 5.08)
(name "VCCIO0" (effects (font (size 1.27 1.27))))
(number "K12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 17.78 270) (length 5.08)
(name "VCCIO0" (effects (font (size 1.27 1.27))))
(number "K14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 20.32 17.78 270) (length 5.08)
(name "VCCIO0" (effects (font (size 1.27 1.27))))
(number "K15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 17.78 17.78 270) (length 5.08)
(name "VCCIO0" (effects (font (size 1.27 1.27))))
(number "K16" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_3_1"
(rectangle (start 5.08 12.7) (end 27.94 -121.92)
(stroke (width 0.3048)) (fill (type background))
)
(pin bidirectional line (at 0 -116.84 0) (length 5.08)
(name "PT71A" (effects (font (size 1.27 1.27))))
(number "A18" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -106.68 0) (length 5.08)
(name "PT76A" (effects (font (size 1.27 1.27))))
(number "A19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -88.9 0) (length 5.08)
(name "PT83A" (effects (font (size 1.27 1.27))))
(number "A20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -78.74 0) (length 5.08)
(name "PT87A" (effects (font (size 1.27 1.27))))
(number "A22" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -66.04 0) (length 5.08)
(name "PT94A" (effects (font (size 1.27 1.27))))
(number "A23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -48.26 0) (length 5.08)
(name "PT101A" (effects (font (size 1.27 1.27))))
(number "A24" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -38.1 0) (length 5.08)
(name "PT105A" (effects (font (size 1.27 1.27))))
(number "A25" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -25.4 0) (length 5.08)
(name "PT112A" (effects (font (size 1.27 1.27))))
(number "A26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "PT116A" (effects (font (size 1.27 1.27))))
(number "A28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "PT116B" (effects (font (size 1.27 1.27))))
(number "A29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "PT119A" (effects (font (size 1.27 1.27))))
(number "A30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "PT119B" (effects (font (size 1.27 1.27))))
(number "A31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -101.6 0) (length 5.08)
(name "PT76B" (effects (font (size 1.27 1.27))))
(number "B19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -73.66 0) (length 5.08)
(name "PT87B" (effects (font (size 1.27 1.27))))
(number "B22" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -60.96 0) (length 5.08)
(name "PT94B" (effects (font (size 1.27 1.27))))
(number "B23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -33.02 0) (length 5.08)
(name "PT105B" (effects (font (size 1.27 1.27))))
(number "B25" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "PT112B" (effects (font (size 1.27 1.27))))
(number "B26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "PT121A" (effects (font (size 1.27 1.27))))
(number "B29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "PT121B" (effects (font (size 1.27 1.27))))
(number "B30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -111.76 0) (length 5.08)
(name "PT71B" (effects (font (size 1.27 1.27))))
(number "C18" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -99.06 0) (length 5.08)
(name "PT78A" (effects (font (size 1.27 1.27))))
(number "C19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -83.82 0) (length 5.08)
(name "PT83B" (effects (font (size 1.27 1.27))))
(number "C20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -76.2 0) (length 5.08)
(name "PT89A" (effects (font (size 1.27 1.27))))
(number "C22" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -58.42 0) (length 5.08)
(name "PT96A" (effects (font (size 1.27 1.27))))
(number "C23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -43.18 0) (length 5.08)
(name "PT101B" (effects (font (size 1.27 1.27))))
(number "C24" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -35.56 0) (length 5.08)
(name "PT107A" (effects (font (size 1.27 1.27))))
(number "C25" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "PT114A" (effects (font (size 1.27 1.27))))
(number "C26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -109.22 0) (length 5.08)
(name "PT74A" (effects (font (size 1.27 1.27))))
(number "D18" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -93.98 0) (length 5.08)
(name "PT78B" (effects (font (size 1.27 1.27))))
(number "D19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -86.36 0) (length 5.08)
(name "PT85A" (effects (font (size 1.27 1.27))))
(number "D20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -71.12 0) (length 5.08)
(name "PT89B" (effects (font (size 1.27 1.27))))
(number "D22" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -53.34 0) (length 5.08)
(name "PT96B" (effects (font (size 1.27 1.27))))
(number "D23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -45.72 0) (length 5.08)
(name "PT103A" (effects (font (size 1.27 1.27))))
(number "D24" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -30.48 0) (length 5.08)
(name "PT107B" (effects (font (size 1.27 1.27))))
(number "D25" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "PT114B" (effects (font (size 1.27 1.27))))
(number "D26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -119.38 0) (length 5.08)
(name "PT69A" (effects (font (size 1.27 1.27))))
(number "E17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -96.52 0) (length 5.08)
(name "PT80A" (effects (font (size 1.27 1.27))))
(number "E19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -68.58 0) (length 5.08)
(name "PT92A" (effects (font (size 1.27 1.27))))
(number "E22" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -55.88 0) (length 5.08)
(name "PT98A" (effects (font (size 1.27 1.27))))
(number "E23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -27.94 0) (length 5.08)
(name "PT110A" (effects (font (size 1.27 1.27))))
(number "E25" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -114.3 0) (length 5.08)
(name "PT69B" (effects (font (size 1.27 1.27))))
(number "F17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -104.14 0) (length 5.08)
(name "PT74B" (effects (font (size 1.27 1.27))))
(number "F18" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -91.44 0) (length 5.08)
(name "PT80B" (effects (font (size 1.27 1.27))))
(number "F19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -81.28 0) (length 5.08)
(name "PT85B" (effects (font (size 1.27 1.27))))
(number "F20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -63.5 0) (length 5.08)
(name "PT92B" (effects (font (size 1.27 1.27))))
(number "F22" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -50.8 0) (length 5.08)
(name "PT98B" (effects (font (size 1.27 1.27))))
(number "F23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -40.64 0) (length 5.08)
(name "PT103B" (effects (font (size 1.27 1.27))))
(number "F24" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "PT110B" (effects (font (size 1.27 1.27))))
(number "F25" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 17.78 270) (length 5.08)
(name "VCCIO1" (effects (font (size 1.27 1.27))))
(number "K17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 17.78 270) (length 5.08)
(name "VCCIO1" (effects (font (size 1.27 1.27))))
(number "K18" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 20.32 17.78 270) (length 5.08)
(name "VCCIO1" (effects (font (size 1.27 1.27))))
(number "K19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 17.78 17.78 270) (length 5.08)
(name "VCCIO1" (effects (font (size 1.27 1.27))))
(number "K21" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_4_1"
(rectangle (start 5.08 12.7) (end 27.94 -121.92)
(stroke (width 0.3048)) (fill (type background))
)
(pin bidirectional line (at 0 -99.06 0) (length 5.08)
(name "PR17A" (effects (font (size 1.27 1.27))))
(number "B32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -119.38 0) (length 5.08)
(name "PR11A" (effects (font (size 1.27 1.27))))
(number "C28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -114.3 0) (length 5.08)
(name "PR11C" (effects (font (size 1.27 1.27))))
(number "C29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -111.76 0) (length 5.08)
(name "PR11D" (effects (font (size 1.27 1.27))))
(number "C30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -93.98 0) (length 5.08)
(name "PR17B" (effects (font (size 1.27 1.27))))
(number "C31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -86.36 0) (length 5.08)
(name "PR20C" (effects (font (size 1.27 1.27))))
(number "C32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -116.84 0) (length 5.08)
(name "PR11B" (effects (font (size 1.27 1.27))))
(number "D28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -109.22 0) (length 5.08)
(name "PR14A" (effects (font (size 1.27 1.27))))
(number "D29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -96.52 0) (length 5.08)
(name "PR17C" (effects (font (size 1.27 1.27))))
(number "D30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -91.44 0) (length 5.08)
(name "PR17D" (effects (font (size 1.27 1.27))))
(number "D31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -81.28 0) (length 5.08)
(name "PR20D" (effects (font (size 1.27 1.27))))
(number "D32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -104.14 0) (length 5.08)
(name "PR14B" (effects (font (size 1.27 1.27))))
(number "E29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -83.82 0) (length 5.08)
(name "PR20B" (effects (font (size 1.27 1.27))))
(number "E30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -73.66 0) (length 5.08)
(name "PR23B" (effects (font (size 1.27 1.27))))
(number "E32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -101.6 0) (length 5.08)
(name "PR14D" (effects (font (size 1.27 1.27))))
(number "F28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -106.68 0) (length 5.08)
(name "PR14C" (effects (font (size 1.27 1.27))))
(number "F29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -88.9 0) (length 5.08)
(name "PR20A" (effects (font (size 1.27 1.27))))
(number "F30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -78.74 0) (length 5.08)
(name "PR23A" (effects (font (size 1.27 1.27))))
(number "F31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -76.2 0) (length 5.08)
(name "PR23C" (effects (font (size 1.27 1.27))))
(number "F32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -38.1 0) (length 5.08)
(name "PR35A" (effects (font (size 1.27 1.27))))
(number "H27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -33.02 0) (length 5.08)
(name "PR35B" (effects (font (size 1.27 1.27))))
(number "H28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -63.5 0) (length 5.08)
(name "PR26B" (effects (font (size 1.27 1.27))))
(number "H30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -68.58 0) (length 5.08)
(name "PR26A" (effects (font (size 1.27 1.27))))
(number "H31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -71.12 0) (length 5.08)
(name "PR23D" (effects (font (size 1.27 1.27))))
(number "H32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -35.56 0) (length 5.08)
(name "PR35C" (effects (font (size 1.27 1.27))))
(number "J26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -30.48 0) (length 5.08)
(name "PR35D" (effects (font (size 1.27 1.27))))
(number "J27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -45.72 0) (length 5.08)
(name "PR32C" (effects (font (size 1.27 1.27))))
(number "J29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -66.04 0) (length 5.08)
(name "PR26C" (effects (font (size 1.27 1.27))))
(number "J30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -53.34 0) (length 5.08)
(name "PR29B" (effects (font (size 1.27 1.27))))
(number "J32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "PR38B" (effects (font (size 1.27 1.27))))
(number "K26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -27.94 0) (length 5.08)
(name "PR38A" (effects (font (size 1.27 1.27))))
(number "K27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -25.4 0) (length 5.08)
(name "PR38C" (effects (font (size 1.27 1.27))))
(number "K28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -40.64 0) (length 5.08)
(name "PR32D" (effects (font (size 1.27 1.27))))
(number "K29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -60.96 0) (length 5.08)
(name "PR26D" (effects (font (size 1.27 1.27))))
(number "K30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -58.42 0) (length 5.08)
(name "PR29A" (effects (font (size 1.27 1.27))))
(number "K31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -55.88 0) (length 5.08)
(name "PR29C" (effects (font (size 1.27 1.27))))
(number "K32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "PR41C" (effects (font (size 1.27 1.27))))
(number "L26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "PR41D" (effects (font (size 1.27 1.27))))
(number "L27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "PR38D" (effects (font (size 1.27 1.27))))
(number "L29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -43.18 0) (length 5.08)
(name "PR32B" (effects (font (size 1.27 1.27))))
(number "L30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -48.26 0) (length 5.08)
(name "PR32A" (effects (font (size 1.27 1.27))))
(number "L31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -50.8 0) (length 5.08)
(name "PR29D" (effects (font (size 1.27 1.27))))
(number "L32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 17.78 17.78 270) (length 5.08)
(name "VCCIO2" (effects (font (size 1.27 1.27))))
(number "M23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "PR44B" (effects (font (size 1.27 1.27))))
(number "N26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "PR44A" (effects (font (size 1.27 1.27))))
(number "N27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "PR41B" (effects (font (size 1.27 1.27))))
(number "N29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "PR41A" (effects (font (size 1.27 1.27))))
(number "N30" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 20.32 17.78 270) (length 5.08)
(name "VCCIO2" (effects (font (size 1.27 1.27))))
(number "P23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "PR44D" (effects (font (size 1.27 1.27))))
(number "P26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "PR44C" (effects (font (size 1.27 1.27))))
(number "P27" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 17.78 270) (length 5.08)
(name "VCCIO2" (effects (font (size 1.27 1.27))))
(number "R23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 17.78 270) (length 5.08)
(name "VCCIO2" (effects (font (size 1.27 1.27))))
(number "T23" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_5_1"
(rectangle (start 5.08 12.7) (end 27.94 -162.56)
(stroke (width 0.3048)) (fill (type background))
)
(pin power_in line (at 25.4 17.78 270) (length 5.08)
(name "VCCIO3" (effects (font (size 1.27 1.27))))
(number "AA23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -66.04 0) (length 5.08)
(name "PR74C" (effects (font (size 1.27 1.27))))
(number "AB26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -53.34 0) (length 5.08)
(name "PR77B" (effects (font (size 1.27 1.27))))
(number "AB27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -58.42 0) (length 5.08)
(name "PR77A" (effects (font (size 1.27 1.27))))
(number "AB28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -33.02 0) (length 5.08)
(name "PR83B" (effects (font (size 1.27 1.27))))
(number "AB29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -38.1 0) (length 5.08)
(name "PR83A" (effects (font (size 1.27 1.27))))
(number "AB30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "PR89B" (effects (font (size 1.27 1.27))))
(number "AB31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "PR92A" (effects (font (size 1.27 1.27))))
(number "AB32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -55.88 0) (length 5.08)
(name "PR77C" (effects (font (size 1.27 1.27))))
(number "AC26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -60.96 0) (length 5.08)
(name "PR74D" (effects (font (size 1.27 1.27))))
(number "AC27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -35.56 0) (length 5.08)
(name "PR83C" (effects (font (size 1.27 1.27))))
(number "AC28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "PR89A" (effects (font (size 1.27 1.27))))
(number "AC30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "PR89C" (effects (font (size 1.27 1.27))))
(number "AC31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "PR92B" (effects (font (size 1.27 1.27))))
(number "AC32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -50.8 0) (length 5.08)
(name "PR77D" (effects (font (size 1.27 1.27))))
(number "AD26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -48.26 0) (length 5.08)
(name "PR80A" (effects (font (size 1.27 1.27))))
(number "AD27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -30.48 0) (length 5.08)
(name "PR83D" (effects (font (size 1.27 1.27))))
(number "AD29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -25.4 0) (length 5.08)
(name "PR86C" (effects (font (size 1.27 1.27))))
(number "AD30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "PR92C" (effects (font (size 1.27 1.27))))
(number "AD32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -43.18 0) (length 5.08)
(name "PR80B" (effects (font (size 1.27 1.27))))
(number "AE27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -45.72 0) (length 5.08)
(name "PR80C" (effects (font (size 1.27 1.27))))
(number "AE28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -40.64 0) (length 5.08)
(name "PR80D" (effects (font (size 1.27 1.27))))
(number "AE29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "PR86D" (effects (font (size 1.27 1.27))))
(number "AE30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "PR89D" (effects (font (size 1.27 1.27))))
(number "AE31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "PR92D" (effects (font (size 1.27 1.27))))
(number "AE32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -109.22 0) (length 5.08)
(name "PR62A" (effects (font (size 1.27 1.27))))
(number "N32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -160.02 0) (length 5.08)
(name "PR47A" (effects (font (size 1.27 1.27))))
(number "P28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -154.94 0) (length 5.08)
(name "PR47B" (effects (font (size 1.27 1.27))))
(number "P29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -114.3 0) (length 5.08)
(name "PR59B" (effects (font (size 1.27 1.27))))
(number "P30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -119.38 0) (length 5.08)
(name "PR59A" (effects (font (size 1.27 1.27))))
(number "P31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -104.14 0) (length 5.08)
(name "PR62B" (effects (font (size 1.27 1.27))))
(number "P32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -157.48 0) (length 5.08)
(name "PR47C" (effects (font (size 1.27 1.27))))
(number "R26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -149.86 0) (length 5.08)
(name "PR50A" (effects (font (size 1.27 1.27))))
(number "R27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -139.7 0) (length 5.08)
(name "PR53A" (effects (font (size 1.27 1.27))))
(number "R29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -116.84 0) (length 5.08)
(name "PR59C" (effects (font (size 1.27 1.27))))
(number "R30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -99.06 0) (length 5.08)
(name "PR65A" (effects (font (size 1.27 1.27))))
(number "R32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -152.4 0) (length 5.08)
(name "PR47D" (effects (font (size 1.27 1.27))))
(number "T26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -144.78 0) (length 5.08)
(name "PR50B" (effects (font (size 1.27 1.27))))
(number "T27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -134.62 0) (length 5.08)
(name "PR53B" (effects (font (size 1.27 1.27))))
(number "T28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -137.16 0) (length 5.08)
(name "PR53C" (effects (font (size 1.27 1.27))))
(number "T29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -111.76 0) (length 5.08)
(name "PR59D" (effects (font (size 1.27 1.27))))
(number "T30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -93.98 0) (length 5.08)
(name "PR65B" (effects (font (size 1.27 1.27))))
(number "T31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -88.9 0) (length 5.08)
(name "PR68A" (effects (font (size 1.27 1.27))))
(number "T32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 17.78 17.78 270) (length 5.08)
(name "VCCIO3" (effects (font (size 1.27 1.27))))
(number "U23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -142.24 0) (length 5.08)
(name "PR50D" (effects (font (size 1.27 1.27))))
(number "U26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -147.32 0) (length 5.08)
(name "PR50C" (effects (font (size 1.27 1.27))))
(number "U27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -132.08 0) (length 5.08)
(name "PR53D" (effects (font (size 1.27 1.27))))
(number "U28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -129.54 0) (length 5.08)
(name "PR56A" (effects (font (size 1.27 1.27))))
(number "U29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -101.6 0) (length 5.08)
(name "PR62D" (effects (font (size 1.27 1.27))))
(number "U30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -106.68 0) (length 5.08)
(name "PR62C" (effects (font (size 1.27 1.27))))
(number "U31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -83.82 0) (length 5.08)
(name "PR68B" (effects (font (size 1.27 1.27))))
(number "U32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 20.32 17.78 270) (length 5.08)
(name "VCCIO3" (effects (font (size 1.27 1.27))))
(number "V23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -121.92 0) (length 5.08)
(name "PR56D" (effects (font (size 1.27 1.27))))
(number "V26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -127 0) (length 5.08)
(name "PR56C" (effects (font (size 1.27 1.27))))
(number "V27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -124.46 0) (length 5.08)
(name "PR56B" (effects (font (size 1.27 1.27))))
(number "V29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -86.36 0) (length 5.08)
(name "PR68C" (effects (font (size 1.27 1.27))))
(number "V32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 17.78 270) (length 5.08)
(name "VCCIO3" (effects (font (size 1.27 1.27))))
(number "W23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -71.12 0) (length 5.08)
(name "PR71D" (effects (font (size 1.27 1.27))))
(number "W28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -63.5 0) (length 5.08)
(name "PR74B" (effects (font (size 1.27 1.27))))
(number "W29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -96.52 0) (length 5.08)
(name "PR65C" (effects (font (size 1.27 1.27))))
(number "W30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -27.94 0) (length 5.08)
(name "PR86A" (effects (font (size 1.27 1.27))))
(number "W31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -81.28 0) (length 5.08)
(name "PR68D" (effects (font (size 1.27 1.27))))
(number "W32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -78.74 0) (length 5.08)
(name "PR71A" (effects (font (size 1.27 1.27))))
(number "Y26" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -73.66 0) (length 5.08)
(name "PR71B" (effects (font (size 1.27 1.27))))
(number "Y27" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -76.2 0) (length 5.08)
(name "PR71C" (effects (font (size 1.27 1.27))))
(number "Y28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -68.58 0) (length 5.08)
(name "PR74A" (effects (font (size 1.27 1.27))))
(number "Y29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -91.44 0) (length 5.08)
(name "PR65D" (effects (font (size 1.27 1.27))))
(number "Y30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "PR86B" (effects (font (size 1.27 1.27))))
(number "Y32" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_6_1"
(rectangle (start 5.08 12.7) (end 22.86 -60.96)
(stroke (width 0.3048)) (fill (type background))
)
(pin power_in line (at 17.78 17.78 270) (length 5.08)
(name "VCCIO4" (effects (font (size 1.27 1.27))))
(number "AB23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 20.32 17.78 270) (length 5.08)
(name "VCCIO4" (effects (font (size 1.27 1.27))))
(number "AC23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -30.48 0) (length 5.08)
(name "PB110A" (effects (font (size 1.27 1.27))))
(number "AG28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -35.56 0) (length 5.08)
(name "PB110B" (effects (font (size 1.27 1.27))))
(number "AG29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -45.72 0) (length 5.08)
(name "PB114B" (effects (font (size 1.27 1.27))))
(number "AG30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -55.88 0) (length 5.08)
(name "PB119B" (effects (font (size 1.27 1.27))))
(number "AG32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -38.1 0) (length 5.08)
(name "PB112B" (effects (font (size 1.27 1.27))))
(number "AH28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -40.64 0) (length 5.08)
(name "PB114A" (effects (font (size 1.27 1.27))))
(number "AH30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -50.8 0) (length 5.08)
(name "PB119A" (effects (font (size 1.27 1.27))))
(number "AH32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -33.02 0) (length 5.08)
(name "PB112A" (effects (font (size 1.27 1.27))))
(number "AJ28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -53.34 0) (length 5.08)
(name "PB121A" (effects (font (size 1.27 1.27))))
(number "AJ29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -58.42 0) (length 5.08)
(name "PB121B" (effects (font (size 1.27 1.27))))
(number "AJ30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -25.4 0) (length 5.08)
(name "PB105B" (effects (font (size 1.27 1.27))))
(number "AJ31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "PB101B" (effects (font (size 1.27 1.27))))
(number "AJ32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "PB98B" (effects (font (size 1.27 1.27))))
(number "AK28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -43.18 0) (length 5.08)
(name "PB116A" (effects (font (size 1.27 1.27))))
(number "AK29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -48.26 0) (length 5.08)
(name "PB116B" (effects (font (size 1.27 1.27))))
(number "AK30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "PB105A" (effects (font (size 1.27 1.27))))
(number "AK31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "PB101A" (effects (font (size 1.27 1.27))))
(number "AK32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "PB98A" (effects (font (size 1.27 1.27))))
(number "AL28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "PB103B" (effects (font (size 1.27 1.27))))
(number "AL30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -27.94 0) (length 5.08)
(name "PB107B" (effects (font (size 1.27 1.27))))
(number "AL32" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "PB96A" (effects (font (size 1.27 1.27))))
(number "AM28" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "PB96B" (effects (font (size 1.27 1.27))))
(number "AM29" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "PB103A" (effects (font (size 1.27 1.27))))
(number "AM30" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "PB107A" (effects (font (size 1.27 1.27))))
(number "AM31" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_7_1"
(rectangle (start 5.08 12.7) (end 27.94 -162.56)
(stroke (width 0.3048)) (fill (type background))
)
(pin power_in line (at 25.4 17.78 270) (length 5.08)
(name "VCCIO6" (effects (font (size 1.27 1.27))))
(number "AA10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -152.4 0) (length 5.08)
(name "PL92A" (effects (font (size 1.27 1.27))))
(number "AB1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -147.32 0) (length 5.08)
(name "PL89B" (effects (font (size 1.27 1.27))))
(number "AB2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -121.92 0) (length 5.08)
(name "PL83A" (effects (font (size 1.27 1.27))))
(number "AB3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -127 0) (length 5.08)
(name "PL83B" (effects (font (size 1.27 1.27))))
(number "AB4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -101.6 0) (length 5.08)
(name "PL77A" (effects (font (size 1.27 1.27))))
(number "AB5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -106.68 0) (length 5.08)
(name "PL77B" (effects (font (size 1.27 1.27))))
(number "AB6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -93.98 0) (length 5.08)
(name "PL74C" (effects (font (size 1.27 1.27))))
(number "AB7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -154.94 0) (length 5.08)
(name "PL92B" (effects (font (size 1.27 1.27))))
(number "AC1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -144.78 0) (length 5.08)
(name "PL89C" (effects (font (size 1.27 1.27))))
(number "AC2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -142.24 0) (length 5.08)
(name "PL89A" (effects (font (size 1.27 1.27))))
(number "AC3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -124.46 0) (length 5.08)
(name "PL83C" (effects (font (size 1.27 1.27))))
(number "AC5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -99.06 0) (length 5.08)
(name "PL74D" (effects (font (size 1.27 1.27))))
(number "AC6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -104.14 0) (length 5.08)
(name "PL77C" (effects (font (size 1.27 1.27))))
(number "AC7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -157.48 0) (length 5.08)
(name "PL92C" (effects (font (size 1.27 1.27))))
(number "AD1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -134.62 0) (length 5.08)
(name "PL86C" (effects (font (size 1.27 1.27))))
(number "AD3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -129.54 0) (length 5.08)
(name "PL83D" (effects (font (size 1.27 1.27))))
(number "AD4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -111.76 0) (length 5.08)
(name "PL80A" (effects (font (size 1.27 1.27))))
(number "AD6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -109.22 0) (length 5.08)
(name "PL77D" (effects (font (size 1.27 1.27))))
(number "AD7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -160.02 0) (length 5.08)
(name "PL92D" (effects (font (size 1.27 1.27))))
(number "AE1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -149.86 0) (length 5.08)
(name "PL89D" (effects (font (size 1.27 1.27))))
(number "AE2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -139.7 0) (length 5.08)
(name "PL86D" (effects (font (size 1.27 1.27))))
(number "AE3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -119.38 0) (length 5.08)
(name "PL80D" (effects (font (size 1.27 1.27))))
(number "AE4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -114.3 0) (length 5.08)
(name "PL80C" (effects (font (size 1.27 1.27))))
(number "AE5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -116.84 0) (length 5.08)
(name "PL80B" (effects (font (size 1.27 1.27))))
(number "AE6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -50.8 0) (length 5.08)
(name "PL62A" (effects (font (size 1.27 1.27))))
(number "N1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -55.88 0) (length 5.08)
(name "PL62B" (effects (font (size 1.27 1.27))))
(number "P1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -40.64 0) (length 5.08)
(name "PL59A" (effects (font (size 1.27 1.27))))
(number "P2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -45.72 0) (length 5.08)
(name "PL59B" (effects (font (size 1.27 1.27))))
(number "P3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "PL47B" (effects (font (size 1.27 1.27))))
(number "P4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "PL47A" (effects (font (size 1.27 1.27))))
(number "P5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -60.96 0) (length 5.08)
(name "PL65A" (effects (font (size 1.27 1.27))))
(number "R1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -43.18 0) (length 5.08)
(name "PL59C" (effects (font (size 1.27 1.27))))
(number "R3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "PL53A" (effects (font (size 1.27 1.27))))
(number "R4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "PL50A" (effects (font (size 1.27 1.27))))
(number "R6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "PL47C" (effects (font (size 1.27 1.27))))
(number "R7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -71.12 0) (length 5.08)
(name "PL68A" (effects (font (size 1.27 1.27))))
(number "T1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -66.04 0) (length 5.08)
(name "PL65B" (effects (font (size 1.27 1.27))))
(number "T2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -48.26 0) (length 5.08)
(name "PL59D" (effects (font (size 1.27 1.27))))
(number "T3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "PL53C" (effects (font (size 1.27 1.27))))
(number "T4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -25.4 0) (length 5.08)
(name "PL53B" (effects (font (size 1.27 1.27))))
(number "T5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "PL50B" (effects (font (size 1.27 1.27))))
(number "T6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "PL47D" (effects (font (size 1.27 1.27))))
(number "T7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -76.2 0) (length 5.08)
(name "PL68B" (effects (font (size 1.27 1.27))))
(number "U1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 17.78 17.78 270) (length 5.08)
(name "VCCIO6" (effects (font (size 1.27 1.27))))
(number "U10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -53.34 0) (length 5.08)
(name "PL62C" (effects (font (size 1.27 1.27))))
(number "U2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -58.42 0) (length 5.08)
(name "PL62D" (effects (font (size 1.27 1.27))))
(number "U3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -30.48 0) (length 5.08)
(name "PL56A" (effects (font (size 1.27 1.27))))
(number "U4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -27.94 0) (length 5.08)
(name "PL53D" (effects (font (size 1.27 1.27))))
(number "U5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "PL50C" (effects (font (size 1.27 1.27))))
(number "U6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "PL50D" (effects (font (size 1.27 1.27))))
(number "U7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -73.66 0) (length 5.08)
(name "PL68C" (effects (font (size 1.27 1.27))))
(number "V1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 20.32 17.78 270) (length 5.08)
(name "VCCIO6" (effects (font (size 1.27 1.27))))
(number "V10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -35.56 0) (length 5.08)
(name "PL56B" (effects (font (size 1.27 1.27))))
(number "V4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -33.02 0) (length 5.08)
(name "PL56C" (effects (font (size 1.27 1.27))))
(number "V6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -38.1 0) (length 5.08)
(name "PL56D" (effects (font (size 1.27 1.27))))
(number "V7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -78.74 0) (length 5.08)
(name "PL68D" (effects (font (size 1.27 1.27))))
(number "W1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 17.78 270) (length 5.08)
(name "VCCIO6" (effects (font (size 1.27 1.27))))
(number "W10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -132.08 0) (length 5.08)
(name "PL86A" (effects (font (size 1.27 1.27))))
(number "W2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -63.5 0) (length 5.08)
(name "PL65C" (effects (font (size 1.27 1.27))))
(number "W3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -96.52 0) (length 5.08)
(name "PL74B" (effects (font (size 1.27 1.27))))
(number "W4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -88.9 0) (length 5.08)
(name "PL71D" (effects (font (size 1.27 1.27))))
(number "W5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -137.16 0) (length 5.08)
(name "PL86B" (effects (font (size 1.27 1.27))))
(number "Y1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -68.58 0) (length 5.08)
(name "PL65D" (effects (font (size 1.27 1.27))))
(number "Y3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -91.44 0) (length 5.08)
(name "PL74A" (effects (font (size 1.27 1.27))))
(number "Y4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -83.82 0) (length 5.08)
(name "PL71C" (effects (font (size 1.27 1.27))))
(number "Y5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -86.36 0) (length 5.08)
(name "PL71B" (effects (font (size 1.27 1.27))))
(number "Y6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -81.28 0) (length 5.08)
(name "PL71A" (effects (font (size 1.27 1.27))))
(number "Y7" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_8_1"
(rectangle (start 5.08 12.7) (end 27.94 -121.92)
(stroke (width 0.3048)) (fill (type background))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "PL17A" (effects (font (size 1.27 1.27))))
(number "B1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -33.02 0) (length 5.08)
(name "PL20C" (effects (font (size 1.27 1.27))))
(number "C1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -25.4 0) (length 5.08)
(name "PL17B" (effects (font (size 1.27 1.27))))
(number "C2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "PL11D" (effects (font (size 1.27 1.27))))
(number "C3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "PL11C" (effects (font (size 1.27 1.27))))
(number "C4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "PL11A" (effects (font (size 1.27 1.27))))
(number "C5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -38.1 0) (length 5.08)
(name "PL20D" (effects (font (size 1.27 1.27))))
(number "D1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -27.94 0) (length 5.08)
(name "PL17D" (effects (font (size 1.27 1.27))))
(number "D2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "PL17C" (effects (font (size 1.27 1.27))))
(number "D3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "PL14A" (effects (font (size 1.27 1.27))))
(number "D4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "PL11B" (effects (font (size 1.27 1.27))))
(number "D5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -45.72 0) (length 5.08)
(name "PL23B" (effects (font (size 1.27 1.27))))
(number "E1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -35.56 0) (length 5.08)
(name "PL20B" (effects (font (size 1.27 1.27))))
(number "E3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "PL14B" (effects (font (size 1.27 1.27))))
(number "E4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -43.18 0) (length 5.08)
(name "PL23C" (effects (font (size 1.27 1.27))))
(number "F1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -40.64 0) (length 5.08)
(name "PL23A" (effects (font (size 1.27 1.27))))
(number "F2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -30.48 0) (length 5.08)
(name "PL20A" (effects (font (size 1.27 1.27))))
(number "F3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "PL14C" (effects (font (size 1.27 1.27))))
(number "F4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "PL14D" (effects (font (size 1.27 1.27))))
(number "F5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -48.26 0) (length 5.08)
(name "PL23D" (effects (font (size 1.27 1.27))))
(number "H1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -50.8 0) (length 5.08)
(name "PL26A" (effects (font (size 1.27 1.27))))
(number "H2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -55.88 0) (length 5.08)
(name "PL26B" (effects (font (size 1.27 1.27))))
(number "H3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -86.36 0) (length 5.08)
(name "PL35B" (effects (font (size 1.27 1.27))))
(number "H5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -81.28 0) (length 5.08)
(name "PL35A" (effects (font (size 1.27 1.27))))
(number "H6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -66.04 0) (length 5.08)
(name "PL29B" (effects (font (size 1.27 1.27))))
(number "J1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -53.34 0) (length 5.08)
(name "PL26C" (effects (font (size 1.27 1.27))))
(number "J3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -73.66 0) (length 5.08)
(name "PL32C" (effects (font (size 1.27 1.27))))
(number "J4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -88.9 0) (length 5.08)
(name "PL35D" (effects (font (size 1.27 1.27))))
(number "J6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -83.82 0) (length 5.08)
(name "PL35C" (effects (font (size 1.27 1.27))))
(number "J7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -63.5 0) (length 5.08)
(name "PL29C" (effects (font (size 1.27 1.27))))
(number "K1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -60.96 0) (length 5.08)
(name "PL29A" (effects (font (size 1.27 1.27))))
(number "K2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -58.42 0) (length 5.08)
(name "PL26D" (effects (font (size 1.27 1.27))))
(number "K3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -78.74 0) (length 5.08)
(name "PL32D" (effects (font (size 1.27 1.27))))
(number "K4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -93.98 0) (length 5.08)
(name "PL38C" (effects (font (size 1.27 1.27))))
(number "K5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -91.44 0) (length 5.08)
(name "PL38A" (effects (font (size 1.27 1.27))))
(number "K6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -96.52 0) (length 5.08)
(name "PL38B" (effects (font (size 1.27 1.27))))
(number "K7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -68.58 0) (length 5.08)
(name "PL29D" (effects (font (size 1.27 1.27))))
(number "L1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -71.12 0) (length 5.08)
(name "PL32A" (effects (font (size 1.27 1.27))))
(number "L2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -76.2 0) (length 5.08)
(name "PL32B" (effects (font (size 1.27 1.27))))
(number "L3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -99.06 0) (length 5.08)
(name "PL38D" (effects (font (size 1.27 1.27))))
(number "L4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -109.22 0) (length 5.08)
(name "PL41D" (effects (font (size 1.27 1.27))))
(number "L6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -104.14 0) (length 5.08)
(name "PL41C" (effects (font (size 1.27 1.27))))
(number "L7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 17.78 17.78 270) (length 5.08)
(name "VCCIO7" (effects (font (size 1.27 1.27))))
(number "M10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -101.6 0) (length 5.08)
(name "PL41A" (effects (font (size 1.27 1.27))))
(number "N3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -106.68 0) (length 5.08)
(name "PL41B" (effects (font (size 1.27 1.27))))
(number "N4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -111.76 0) (length 5.08)
(name "PL44A" (effects (font (size 1.27 1.27))))
(number "N6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -116.84 0) (length 5.08)
(name "PL44B" (effects (font (size 1.27 1.27))))
(number "N7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 20.32 17.78 270) (length 5.08)
(name "VCCIO7" (effects (font (size 1.27 1.27))))
(number "P10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -114.3 0) (length 5.08)
(name "PL44C" (effects (font (size 1.27 1.27))))
(number "P6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -119.38 0) (length 5.08)
(name "PL44D" (effects (font (size 1.27 1.27))))
(number "P7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 17.78 270) (length 5.08)
(name "VCCIO7" (effects (font (size 1.27 1.27))))
(number "R10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 17.78 270) (length 5.08)
(name "VCCIO7" (effects (font (size 1.27 1.27))))
(number "T10" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_9_1"
(rectangle (start 5.08 12.7) (end 25.4 -50.8)
(stroke (width 0.3048)) (fill (type background))
)
(pin power_in line (at 20.32 17.78 270) (length 5.08)
(name "VCCIO8" (effects (font (size 1.27 1.27))))
(number "AB10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 17.78 270) (length 5.08)
(name "VCCIO8" (effects (font (size 1.27 1.27))))
(number "AC10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "PB4A" (effects (font (size 1.27 1.27))))
(number "AG1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "PB13A" (effects (font (size 1.27 1.27))))
(number "AG3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -33.02 0) (length 5.08)
(name "INITN" (effects (font (size 1.27 1.27))))
(number "AG4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "PB4B" (effects (font (size 1.27 1.27))))
(number "AH1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -25.4 0) (length 5.08)
(name "PB13B" (effects (font (size 1.27 1.27))))
(number "AH3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -38.1 0) (length 5.08)
(name "PROGRAMN" (effects (font (size 1.27 1.27))))
(number "AH4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "PB6A" (effects (font (size 1.27 1.27))))
(number "AJ1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "PB11A" (effects (font (size 1.27 1.27))))
(number "AJ2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "PB15A" (effects (font (size 1.27 1.27))))
(number "AJ3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -40.64 0) (length 5.08)
(name "DONE" (effects (font (size 1.27 1.27))))
(number "AJ4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "PB6B" (effects (font (size 1.27 1.27))))
(number "AK1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "PB11B" (effects (font (size 1.27 1.27))))
(number "AK2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -27.94 0) (length 5.08)
(name "PB15B" (effects (font (size 1.27 1.27))))
(number "AK3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -45.72 0) (length 5.08)
(name "CFG_2" (effects (font (size 1.27 1.27))))
(number "AK4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "PB9A" (effects (font (size 1.27 1.27))))
(number "AL1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -30.48 0) (length 5.08)
(name "PB18A" (effects (font (size 1.27 1.27))))
(number "AL3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -43.18 0) (length 5.08)
(name "CFG_1" (effects (font (size 1.27 1.27))))
(number "AL4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "PB9B" (effects (font (size 1.27 1.27))))
(number "AM2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -35.56 0) (length 5.08)
(name "CCLK" (effects (font (size 1.27 1.27))))
(number "AM3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -48.26 0) (length 5.08)
(name "CFG_0" (effects (font (size 1.27 1.27))))
(number "AM4" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_10_1"
(rectangle (start 5.08 2.54) (end 12.7 -10.16)
(stroke (width 0.3048)) (fill (type background))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "TDO" (effects (font (size 1.27 1.27))))
(number "AG5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "TDI" (effects (font (size 1.27 1.27))))
(number "AJ5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "TCK" (effects (font (size 1.27 1.27))))
(number "AK5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "TMS" (effects (font (size 1.27 1.27))))
(number "AM5" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_11_1"
(rectangle (start 5.08 2.54) (end 22.86 -25.4)
(stroke (width 0.3048)) (fill (type background))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "HDTXN0_D0CH0" (effects (font (size 1.27 1.27))))
(number "AK10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "HDTXP0_D0CH1" (effects (font (size 1.27 1.27))))
(number "AK12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "HDTXN0_D0CH1" (effects (font (size 1.27 1.27))))
(number "AK13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "HDTXP0_D0CH0" (effects (font (size 1.27 1.27))))
(number "AK9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "HDRXP0_D0CH1" (effects (font (size 1.27 1.27))))
(number "AM11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "HDRXN0_D0CH1" (effects (font (size 1.27 1.27))))
(number "AM12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "REFCLKP_D0" (effects (font (size 1.27 1.27))))
(number "AM14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "REFCLKN_D0" (effects (font (size 1.27 1.27))))
(number "AM15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "HDRXP0_D0CH0" (effects (font (size 1.27 1.27))))
(number "AM8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "HDRXN0_D0CH0" (effects (font (size 1.27 1.27))))
(number "AM9" (effects (font (size 1.27 1.27))))
)
)
(symbol "ECP5UM5G_85_CABGA756_12_1"
(rectangle (start 5.08 2.54) (end 22.86 -25.4)
(stroke (width 0.3048)) (fill (type background))
)
(pin bidirectional line (at 0 0 0) (length 5.08)
(name "HDTXP0_D1CH0" (effects (font (size 1.27 1.27))))
(number "AK18" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -2.54 0) (length 5.08)
(name "HDTXN0_D1CH0" (effects (font (size 1.27 1.27))))
(number "AK19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -15.24 0) (length 5.08)
(name "HDTXP0_D1CH1" (effects (font (size 1.27 1.27))))
(number "AK21" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -17.78 0) (length 5.08)
(name "HDTXN0_D1CH1" (effects (font (size 1.27 1.27))))
(number "AK22" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -5.08 0) (length 5.08)
(name "HDRXP0_D1CH0" (effects (font (size 1.27 1.27))))
(number "AM17" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -7.62 0) (length 5.08)
(name "HDRXN0_D1CH0" (effects (font (size 1.27 1.27))))
(number "AM18" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -10.16 0) (length 5.08)
(name "HDRXP0_D1CH1" (effects (font (size 1.27 1.27))))
(number "AM20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -12.7 0) (length 5.08)
(name "HDRXN0_D1CH1" (effects (font (size 1.27 1.27))))
(number "AM21" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -20.32 0) (length 5.08)
(name "REFCLKP_D1" (effects (font (size 1.27 1.27))))
(number "AM23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 0 -22.86 0) (length 5.08)
(name "REFCLKN_D1" (effects (font (size 1.27 1.27))))
(number "AM24" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Jumper:Jumper_3_Open" (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
(property "Reference" "JP" (id 0) (at -2.54 -2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Jumper_3_Open" (id 1) (at 0 2.794 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Jumper SPDT" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Jumper, 3-pole, both open" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Jumper* TestPoint*3Pads* TestPoint*Bridge*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Jumper_3_Open_0_0"
(circle (center -3.302 0) (radius 0.508) (stroke (width 0)) (fill (type none)))
(circle (center 0 0) (radius 0.508) (stroke (width 0)) (fill (type none)))
(circle (center 3.302 0) (radius 0.508) (stroke (width 0)) (fill (type none)))
)
(symbol "Jumper_3_Open_0_1"
(arc (start -3.048 1.016) (end -0.254 1.016) (radius (at -1.651 -0.762) (length 2.2606) (angles 128.2 51.8))
(stroke (width 0)) (fill (type none))
)
(arc (start 0.254 1.016) (end 3.048 1.016) (radius (at 1.651 -0.762) (length 2.2606) (angles 128.2 51.8))
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 -0.508)
(xy 0 -1.27)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "Jumper_3_Open_1_1"
(pin passive line (at -6.35 0 0) (length 2.54)
(name "A" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -3.81 90) (length 2.54)
(name "C" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 6.35 0 180) (length 2.54)
(name "B" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Oscillator:5P49V6965" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -11.43 29.21 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "5P49V6965" (id 1) (at 13.97 29.21 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm" (id 2) (at -1.27 -27.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.idt.com/document/dst/5p49v6965-datasheet" (id 3) (at -11.43 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Low-noise PLL Reference Clock" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Programmable Clock Generator, I2C interface, 1kHz-350MHz, QFN-24" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "QFN*1EP*4x4mm*P0.5mm*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "5P49V6965_0_1"
(rectangle (start -10.16 27.94) (end 10.16 -25.4)
(stroke (width 0.254)) (fill (type background))
)
)
(symbol "5P49V6965_1_1"
(pin input line (at -12.7 7.62 0) (length 2.54)
(name "CLKIN" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 7.62 30.48 270) (length 2.54)
(name "VDDO4" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 -10.16 180) (length 2.54)
(name "OUT4" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 -12.7 180) (length 2.54)
(name "OUT4B" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 -5.08 180) (length 2.54)
(name "OUT3B" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 -2.54 180) (length 2.54)
(name "OUT3" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 5.08 30.48 270) (length 2.54)
(name "VDDO3" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 2.54 180) (length 2.54)
(name "OUT2B" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 5.08 180) (length 2.54)
(name "OUT2" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 2.54 30.48 270) (length 2.54)
(name "VDDO2" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 10.16 180) (length 2.54)
(name "OUT1B" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 5.08 0) (length 2.54)
(name "CLKINB" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 12.7 180) (length 2.54)
(name "OUT1" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 30.48 270) (length 2.54)
(name "VDDO1" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -5.08 30.48 270) (length 2.54)
(name "VDDD" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -2.54 30.48 270) (length 2.54)
(name "VDDO0" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -17.78 180) (length 2.54)
(name "OUT0_SEL_I2CB" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -27.94 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin output line (at -12.7 17.78 0) (length 2.54)
(name "XOUT" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 12.7 0) (length 2.54)
(name "XIN/REF" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -7.62 30.48 270) (length 2.54)
(name "VDDA" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 0 0) (length 2.54)
(name "CLKSEL" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -12.7 0) (length 2.54)
(name "SD/OE" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -12.7 -5.08 0) (length 2.54)
(name "SEL1/SDA" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -7.62 0) (length 2.54)
(name "SEL0/SCL" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Power_Protection:TPD4E02B04DQA" (pin_names hide) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at 7.62 3.81 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "TPD4E02B04DQA" (id 1) (at 7.62 1.905 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Package_SON:USON-10_2.5x1.0mm_P0.5mm" (id 2) (at 7.62 0 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/tpd4e02b04.pdf" (id 3) (at 3.175 8.255 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "ESD protection USB HDMI" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "4-Channel ESD Protection Diode for USB Type-C and HDMI 2.0, USON-10" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "USON*2.5x1.0mm*P0.5mm*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "TPD4E02B04DQA_0_0"
(pin passive line (at 0 -5.08 90) (length 2.54)
(name "G" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
)
(symbol "TPD4E02B04DQA_0_1"
(circle (center 0 -1.27) (radius 0.254) (stroke (width 0)) (fill (type outline)))
(circle (center 2.54 -1.27) (radius 0.254) (stroke (width 0)) (fill (type outline)))
(rectangle (start -6.35 5.08) (end 6.35 -2.54)
(stroke (width 0.254)) (fill (type background))
)
(polyline
(pts
(xy -2.54 5.08)
(xy -2.54 -1.27)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 -1.27)
(xy 0 -2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 2.54 5.08)
(xy 2.54 -1.27)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -5.08 5.08)
(xy -5.08 -1.27)
(xy 5.08 -1.27)
(xy 5.08 5.08)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -4.445 0)
(xy -5.715 0)
(xy -5.08 1.27)
(xy -4.445 0)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -3.175 1.524)
(xy -2.921 1.27)
(xy -2.159 1.27)
(xy -1.905 1.016)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -2.54 1.27)
(xy -1.905 0)
(xy -3.175 0)
(xy -2.54 1.27)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 2.54 1.27)
(xy 1.905 0)
(xy 3.175 0)
(xy 2.54 1.27)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 5.08 1.27)
(xy 4.445 0)
(xy 5.715 0)
(xy 5.08 1.27)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "TPD4E02B04DQA_1_1"
(circle (center -2.54 -1.27) (radius 0.254) (stroke (width 0)) (fill (type outline)))
(polyline
(pts
(xy -5.715 1.524)
(xy -5.461 1.27)
(xy -4.699 1.27)
(xy -4.445 1.016)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -5.08 1.27)
(xy -5.715 2.54)
(xy -4.445 2.54)
(xy -5.08 1.27)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -2.54 1.27)
(xy -3.175 2.54)
(xy -1.905 2.54)
(xy -2.54 1.27)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 1.905 1.524)
(xy 2.159 1.27)
(xy 2.921 1.27)
(xy 3.175 1.016)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 2.54 1.27)
(xy 1.905 2.54)
(xy 3.175 2.54)
(xy 2.54 1.27)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 4.445 1.524)
(xy 4.699 1.27)
(xy 5.461 1.27)
(xy 5.715 1.016)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 5.08 1.27)
(xy 4.445 2.54)
(xy 5.715 2.54)
(xy 5.08 1.27)
)
(stroke (width 0)) (fill (type none))
)
(pin passive line (at -5.08 7.62 270) (length 2.54)
(name "IO1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at -5.08 -2.54 90) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -2.54 7.62 270) (length 2.54)
(name "IO2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 2.54 7.62 270) (length 2.54)
(name "IO3" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 7.62 270) (length 2.54)
(name "IO4" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 5.08 -2.54 90) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 2.54 -2.54 90) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -5.08 90) (length 2.54) hide
(name "G" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at -2.54 -2.54 90) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "eco_connectors:M2_SOCKET_E" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "P" (id 0) (at -17.78 -59.69 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "M2_SOCKET_E" (id 1) (at 0 31.75 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 13.97 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 13.97 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "M2_SOCKET_E_0_1"
(rectangle (start -17.78 33.02) (end 17.78 -58.42)
(stroke (width 0)) (fill (type background))
)
)
(symbol "M2_SOCKET_E_1_1"
(pin power_in line (at -22.86 30.48 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 20.32 180) (length 5.08)
(name "PCM_SYNC" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -22.86 17.78 0) (length 5.08)
(name "SDIO_CMD" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 17.78 180) (length 5.08)
(name "PCM_OUT" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -22.86 15.24 0) (length 5.08)
(name "SDIO_D0" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 15.24 180) (length 5.08)
(name "PCM_IN" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -22.86 12.7 0) (length 5.08)
(name "SDIO_D1" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin output line (at 22.86 12.7 180) (length 5.08)
(name "~{LED_2}" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -22.86 10.16 0) (length 5.08)
(name "SDIO_D2" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 10.16 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -22.86 7.62 0) (length 5.08)
(name "SDIO_D3" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 30.48 180) (length 5.08)
(name "3.3V" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin output line (at 22.86 7.62 180) (length 5.08)
(name "~{UART_WAKE}" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -22.86 5.08 0) (length 5.08)
(name "SDIO_~{WAKE}" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 5.08 180) (length 5.08)
(name "UART_TXD" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 2.54 0) (length 5.08)
(name "SDIO_~{RESET}" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -22.86 27.94 0) (length 5.08)
(name "USB_D+" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 0 180) (length 5.08)
(name "UART_RXD" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -2.54 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -2.54 180) (length 5.08)
(name "UART_RTS" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 -5.08 0) (length 5.08)
(name "PER0+" (effects (font (size 1.27 1.27))))
(number "35" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -5.08 180) (length 5.08)
(name "UART_CTS" (effects (font (size 1.27 1.27))))
(number "36" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 -7.62 0) (length 5.08)
(name "PER0-" (effects (font (size 1.27 1.27))))
(number "37" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -7.62 180) (length 5.08)
(name "VENDOR_1" (effects (font (size 1.27 1.27))))
(number "38" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -10.16 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "39" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 27.94 180) (length 5.08)
(name "3.3V" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -10.16 180) (length 5.08)
(name "VENDOR_2" (effects (font (size 1.27 1.27))))
(number "40" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -12.7 0) (length 5.08)
(name "PET0+" (effects (font (size 1.27 1.27))))
(number "41" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -12.7 180) (length 5.08)
(name "VENDOR_3" (effects (font (size 1.27 1.27))))
(number "42" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -15.24 0) (length 5.08)
(name "PET0-" (effects (font (size 1.27 1.27))))
(number "43" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -15.24 180) (length 5.08)
(name "COEX3" (effects (font (size 1.27 1.27))))
(number "44" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -17.78 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "45" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -17.78 180) (length 5.08)
(name "COEX_TXD" (effects (font (size 1.27 1.27))))
(number "46" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 -20.32 0) (length 5.08)
(name "REFCLK0+" (effects (font (size 1.27 1.27))))
(number "47" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -20.32 180) (length 5.08)
(name "COEX_RXD" (effects (font (size 1.27 1.27))))
(number "48" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 -22.86 0) (length 5.08)
(name "REFCLK0-" (effects (font (size 1.27 1.27))))
(number "49" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -22.86 25.4 0) (length 5.08)
(name "USB_D-" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -22.86 180) (length 5.08)
(name "SUSCLK" (effects (font (size 1.27 1.27))))
(number "50" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -25.4 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "51" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -25.4 180) (length 5.08)
(name "~{PERST0}" (effects (font (size 1.27 1.27))))
(number "52" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -27.94 0) (length 5.08)
(name "~{CLKREQ0}" (effects (font (size 1.27 1.27))))
(number "53" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -27.94 180) (length 5.08)
(name "W_DISABLE2" (effects (font (size 1.27 1.27))))
(number "54" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -30.48 0) (length 5.08)
(name "~{PEWAKE0}" (effects (font (size 1.27 1.27))))
(number "55" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -30.48 180) (length 5.08)
(name "W_DISABLE1" (effects (font (size 1.27 1.27))))
(number "56" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -33.02 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "57" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -33.02 180) (length 5.08)
(name "I2C_DATA" (effects (font (size 1.27 1.27))))
(number "58" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 -35.56 0) (length 5.08)
(name "PER1+" (effects (font (size 1.27 1.27))))
(number "59" (effects (font (size 1.27 1.27))))
)
(pin output line (at 22.86 25.4 180) (length 5.08)
(name "~{LED_1}" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -35.56 180) (length 5.08)
(name "I2C_CLK" (effects (font (size 1.27 1.27))))
(number "60" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 -38.1 0) (length 5.08)
(name "PER1-" (effects (font (size 1.27 1.27))))
(number "61" (effects (font (size 1.27 1.27))))
)
(pin output line (at 22.86 -38.1 180) (length 5.08)
(name "~{ALERT}" (effects (font (size 1.27 1.27))))
(number "62" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -40.64 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "63" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 22.86 -40.64 180) (length 5.08)
(name "RFU" (effects (font (size 1.27 1.27))))
(number "64" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -43.18 0) (length 5.08)
(name "PET1+" (effects (font (size 1.27 1.27))))
(number "65" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -43.18 180) (length 5.08)
(name "UIM_SWP" (effects (font (size 1.27 1.27))))
(number "66" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -45.72 0) (length 5.08)
(name "PET1-" (effects (font (size 1.27 1.27))))
(number "67" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -45.72 180) (length 5.08)
(name "UIM_POWER_SNK" (effects (font (size 1.27 1.27))))
(number "68" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -48.26 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "69" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 22.86 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 -48.26 180) (length 5.08)
(name "UIM_POWER_SRC" (effects (font (size 1.27 1.27))))
(number "70" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 -50.8 0) (length 5.08)
(name "REFCLK1+" (effects (font (size 1.27 1.27))))
(number "71" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -50.8 180) (length 5.08)
(name "3.3V" (effects (font (size 1.27 1.27))))
(number "72" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 -53.34 0) (length 5.08)
(name "REFCLK1-" (effects (font (size 1.27 1.27))))
(number "73" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -53.34 180) (length 5.08)
(name "3.3V" (effects (font (size 1.27 1.27))))
(number "74" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -55.88 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "75" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 22.86 22.86 180) (length 5.08)
(name "PCM_CLK" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin input line (at -22.86 20.32 0) (length 5.08)
(name "SDIO_CLK" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -63.5 90) (length 5.08)
(name "M" (effects (font (size 1.27 1.27))))
(number "M" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "eco_connectors:PCIe_x4_EDGE" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "P" (id 0) (at 0 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "PCIe_x4_EDGE" (id 1) (at 0 -1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 1.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 1.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "pcie x4 edge" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "PCIe x4 edge connector" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "PCIe_x4_EDGE_0_1"
(rectangle (start 17.78 -55.88) (end -17.78 30.48)
(stroke (width 0)) (fill (type background))
)
)
(symbol "PCIe_x4_EDGE_1_1"
(pin passive line (at 22.86 27.94 180) (length 5.08)
(name "~{PRSNT1}" (effects (font (size 1.27 1.27))))
(number "A1" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 22.86 5.08 180) (length 5.08)
(name "+3.3V" (effects (font (size 1.27 1.27))))
(number "A10" (effects (font (size 1.27 1.27))))
)
(pin output line (at 22.86 2.54 180) (length 5.08)
(name "~{PERST}" (effects (font (size 1.27 1.27))))
(number "A11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -2.54 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A12" (effects (font (size 1.27 1.27))))
)
(pin output line (at 22.86 -5.08 180) (length 5.08)
(name "REFCLK+" (effects (font (size 1.27 1.27))))
(number "A13" (effects (font (size 1.27 1.27))))
)
(pin output line (at 22.86 -7.62 180) (length 5.08)
(name "REFCLK-" (effects (font (size 1.27 1.27))))
(number "A14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -10.16 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A15" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -12.7 180) (length 5.08)
(name "HSI0+" (effects (font (size 1.27 1.27))))
(number "A16" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -15.24 180) (length 5.08)
(name "HSI0-" (effects (font (size 1.27 1.27))))
(number "A17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -17.78 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A18" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 22.86 -20.32 180) (length 5.08)
(name "RSVD" (effects (font (size 1.27 1.27))))
(number "A19" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 25.4 180) (length 5.08)
(name "+12V" (effects (font (size 1.27 1.27))))
(number "A2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -22.86 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A20" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -25.4 180) (length 5.08)
(name "HSI1+" (effects (font (size 1.27 1.27))))
(number "A21" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -27.94 180) (length 5.08)
(name "HSI1-" (effects (font (size 1.27 1.27))))
(number "A22" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -30.48 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A23" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -33.02 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A24" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -35.56 180) (length 5.08)
(name "HSI2+" (effects (font (size 1.27 1.27))))
(number "A25" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -38.1 180) (length 5.08)
(name "HSI2-" (effects (font (size 1.27 1.27))))
(number "A26" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -40.64 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A27" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -43.18 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A28" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -45.72 180) (length 5.08)
(name "HSI3+" (effects (font (size 1.27 1.27))))
(number "A29" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 22.86 180) (length 5.08)
(name "+12V" (effects (font (size 1.27 1.27))))
(number "A3" (effects (font (size 1.27 1.27))))
)
(pin input line (at 22.86 -48.26 180) (length 5.08)
(name "HSI3-" (effects (font (size 1.27 1.27))))
(number "A30" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 -50.8 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A31" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 22.86 -53.34 180) (length 5.08)
(name "RSVD" (effects (font (size 1.27 1.27))))
(number "A32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 22.86 20.32 180) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 17.78 180) (length 5.08)
(name "TCK" (effects (font (size 1.27 1.27))))
(number "A5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 15.24 180) (length 5.08)
(name "TDI" (effects (font (size 1.27 1.27))))
(number "A6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 12.7 180) (length 5.08)
(name "TDO" (effects (font (size 1.27 1.27))))
(number "A7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 22.86 10.16 180) (length 5.08)
(name "TMS" (effects (font (size 1.27 1.27))))
(number "A8" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 22.86 7.62 180) (length 5.08)
(name "+3.3V" (effects (font (size 1.27 1.27))))
(number "A9" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -22.86 27.94 0) (length 5.08)
(name "+12V" (effects (font (size 1.27 1.27))))
(number "B1" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -22.86 5.08 0) (length 5.08)
(name "+3.3VA" (effects (font (size 1.27 1.27))))
(number "B10" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at -22.86 2.54 0) (length 5.08)
(name "~{WAKE}" (effects (font (size 1.27 1.27))))
(number "B11" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at -22.86 -2.54 0) (length 5.08)
(name "RSVD" (effects (font (size 1.27 1.27))))
(number "B12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -5.08 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B13" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -7.62 0) (length 5.08)
(name "HSO0+" (effects (font (size 1.27 1.27))))
(number "B14" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -10.16 0) (length 5.08)
(name "HSO0-" (effects (font (size 1.27 1.27))))
(number "B15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -12.7 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B16" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -22.86 -15.24 0) (length 5.08)
(name "~{PRSNT2}" (effects (font (size 1.27 1.27))))
(number "B17" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -17.78 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B18" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -20.32 0) (length 5.08)
(name "HSO1+" (effects (font (size 1.27 1.27))))
(number "B19" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -22.86 25.4 0) (length 5.08)
(name "+12V" (effects (font (size 1.27 1.27))))
(number "B2" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -22.86 0) (length 5.08)
(name "HSO1-" (effects (font (size 1.27 1.27))))
(number "B20" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -25.4 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B21" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -27.94 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B22" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -30.48 0) (length 5.08)
(name "HSO2+" (effects (font (size 1.27 1.27))))
(number "B23" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -33.02 0) (length 5.08)
(name "HSO2-" (effects (font (size 1.27 1.27))))
(number "B24" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -35.56 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B25" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -38.1 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B26" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -40.64 0) (length 5.08)
(name "HSO3+" (effects (font (size 1.27 1.27))))
(number "B27" (effects (font (size 1.27 1.27))))
)
(pin output line (at -22.86 -43.18 0) (length 5.08)
(name "HSO3-" (effects (font (size 1.27 1.27))))
(number "B28" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -45.72 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B29" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -22.86 22.86 0) (length 5.08)
(name "+12V" (effects (font (size 1.27 1.27))))
(number "B3" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at -22.86 -48.26 0) (length 5.08)
(name "RSVD" (effects (font (size 1.27 1.27))))
(number "B30" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -22.86 -50.8 0) (length 5.08)
(name "~{PRSNT2}" (effects (font (size 1.27 1.27))))
(number "B31" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 -53.34 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B32" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 20.32 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B4" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at -22.86 17.78 0) (length 5.08)
(name "SMCLK" (effects (font (size 1.27 1.27))))
(number "B5" (effects (font (size 1.27 1.27))))
)
(pin open_collector line (at -22.86 15.24 0) (length 5.08)
(name "SMDAT" (effects (font (size 1.27 1.27))))
(number "B6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -22.86 12.7 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B7" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -22.86 10.16 0) (length 5.08)
(name "+3.3V" (effects (font (size 1.27 1.27))))
(number "B8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -22.86 7.62 0) (length 5.08)
(name "~{TRST}" (effects (font (size 1.27 1.27))))
(number "B9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+1V8" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+1V8" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+1V8\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+1V8_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "+1V8_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+1V8" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:PWR_FLAG" (power) (pin_numbers hide) (pin_names (offset 0) hide) (in_bom yes) (on_board yes)
(property "Reference" "#FLG" (id 0) (at 0 1.905 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 0 3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Special symbol for telling ERC where power comes from" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "PWR_FLAG_0_0"
(pin power_out line (at 0 0 90) (length 0)
(name "pwr" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
(symbol "PWR_FLAG_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 1.27)
(xy -1.016 1.905)
(xy 0 2.54)
(xy 1.016 1.905)
(xy 0 1.27)
)
(stroke (width 0)) (fill (type none))
)
)
)
)
(junction (at 35.56 34.29) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 46.99) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 64.77) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 72.39) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 77.47) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 85.09) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 87.63) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 95.25) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 97.79) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 105.41) (diameter 0) (color 0 0 0 0))
(junction (at 35.56 113.03) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 34.29) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 62.23) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 69.85) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 77.47) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 82.55) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 90.17) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 92.71) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 100.33) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 102.87) (diameter 0) (color 0 0 0 0))
(junction (at 86.36 110.49) (diameter 0) (color 0 0 0 0))
(junction (at 160.02 273.05) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 167.64) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 193.04) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 200.66) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 208.28) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 215.9) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 223.52) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 231.14) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 238.76) (diameter 0) (color 0 0 0 0))
(junction (at 177.8 246.38) (diameter 0) (color 0 0 0 0))
(junction (at 212.09 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 222.25 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 222.25 74.93) (diameter 0) (color 0 0 0 0))
(junction (at 231.14 160.02) (diameter 0) (color 0 0 0 0))
(junction (at 231.14 162.56) (diameter 0) (color 0 0 0 0))
(junction (at 231.14 241.3) (diameter 0) (color 0 0 0 0))
(junction (at 241.3 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 250.19 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 250.19 74.93) (diameter 0) (color 0 0 0 0))
(junction (at 259.08 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 259.08 74.93) (diameter 0) (color 0 0 0 0))
(junction (at 264.16 101.6) (diameter 0) (color 0 0 0 0))
(junction (at 264.16 110.49) (diameter 0) (color 0 0 0 0))
(junction (at 267.97 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 267.97 74.93) (diameter 0) (color 0 0 0 0))
(junction (at 273.05 113.03) (diameter 0) (color 0 0 0 0))
(junction (at 276.86 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 276.86 74.93) (diameter 0) (color 0 0 0 0))
(junction (at 280.67 90.17) (diameter 0) (color 0 0 0 0))
(junction (at 287.02 99.06) (diameter 0) (color 0 0 0 0))
(junction (at 289.56 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 289.56 74.93) (diameter 0) (color 0 0 0 0))
(junction (at 293.37 90.17) (diameter 0) (color 0 0 0 0))
(junction (at 298.45 66.04) (diameter 0) (color 0 0 0 0))
(junction (at 313.69 132.08) (diameter 0) (color 0 0 0 0))
(junction (at 318.77 167.64) (diameter 0) (color 0 0 0 0))
(junction (at 325.12 134.62) (diameter 0) (color 0 0 0 0))
(junction (at 326.39 180.34) (diameter 0) (color 0 0 0 0))
(junction (at 330.2 134.62) (diameter 0) (color 0 0 0 0))
(junction (at 339.09 62.23) (diameter 0) (color 0 0 0 0))
(junction (at 346.71 62.23) (diameter 0) (color 0 0 0 0))
(junction (at 351.79 62.23) (diameter 0) (color 0 0 0 0))
(no_connect (at 38.1 41.91) (uuid 4e47d606-145f-4f18-8379-166b0ca48aeb))
(no_connect (at 38.1 44.45) (uuid 2f50de05-bc02-4b4a-acac-5d6c5861487f))
(no_connect (at 38.1 49.53) (uuid 1a9f494b-f280-49ce-b647-6761a0eb2308))
(no_connect (at 38.1 52.07) (uuid ee2fb852-e24e-4ebb-a28e-a77a9c604ad0))
(no_connect (at 38.1 54.61) (uuid 545ef75c-e179-4467-a611-68e3b6af3869))
(no_connect (at 38.1 90.17) (uuid 6af6642a-e169-4131-ad8b-07a22bb26fd2))
(no_connect (at 38.1 92.71) (uuid 4395d0bc-8367-448c-966b-f843af02a13e))
(no_connect (at 38.1 100.33) (uuid 93738f13-8f3f-43f4-ad52-81177b880cfe))
(no_connect (at 38.1 102.87) (uuid cf41eb0e-d693-461e-82dc-3a2a07138292))
(no_connect (at 83.82 41.91) (uuid 9eff9e55-f40e-4dfa-94c2-8641e9ec6164))
(no_connect (at 83.82 44.45) (uuid 40b64486-ac62-4459-9e3f-3de4eedbac56))
(no_connect (at 83.82 46.99) (uuid 0db0c744-a283-4073-981e-e3cec93f5578))
(no_connect (at 83.82 49.53) (uuid 94577ac3-94da-4487-93ed-37a0ca53758b))
(no_connect (at 83.82 52.07) (uuid ec021f54-61a3-4528-bc51-7059c0793323))
(no_connect (at 83.82 54.61) (uuid 82cd8cb5-3101-4e70-b783-cfc12f991da0))
(no_connect (at 83.82 95.25) (uuid de96f086-4160-474e-aa2c-f1c9c442c437))
(no_connect (at 83.82 97.79) (uuid 96845ecd-37cc-48ac-85a3-5ae45dfdc809))
(no_connect (at 83.82 105.41) (uuid 8f7675c7-f956-43e2-bece-bf18c235929c))
(no_connect (at 83.82 107.95) (uuid 36e700b1-cd1c-4549-aee0-af170b9cdaac))
(no_connect (at 180.34 162.56) (uuid dac9be4a-1574-4772-a6ef-198e6d4f370e))
(no_connect (at 180.34 165.1) (uuid fc069253-f470-4677-ad4a-befc7fca8dc9))
(no_connect (at 180.34 185.42) (uuid e603df57-2f4c-47a7-a88c-4644768f25b6))
(no_connect (at 180.34 187.96) (uuid 90598364-608e-44cd-a51b-0ea68af57682))
(no_connect (at 180.34 218.44) (uuid dec4d848-7103-4751-8249-bc1490b6aa69))
(no_connect (at 180.34 220.98) (uuid e682ccac-5d9f-4593-8c31-cc19a2da35bd))
(no_connect (at 226.06 165.1) (uuid 16112602-c3d1-406f-bf80-c74ac727d655))
(no_connect (at 226.06 167.64) (uuid b50e93b9-c4bb-465e-a5a5-b03ada1282b1))
(no_connect (at 226.06 170.18) (uuid 31b4d1c5-9a86-4982-a6c9-d2a350874a37))
(no_connect (at 226.06 172.72) (uuid e60fcb76-1e53-4550-86d0-3c1db1b0964b))
(no_connect (at 226.06 175.26) (uuid 2e69c43f-9a9b-4ec0-ab97-1f689e84d942))
(no_connect (at 226.06 177.8) (uuid 47f7132e-ebf4-49b9-9e08-7d96a675b6b3))
(no_connect (at 226.06 182.88) (uuid c674fade-3247-45c1-abce-f12d7839b650))
(no_connect (at 226.06 198.12) (uuid 85a8a886-f137-4b86-ae8a-54ace100c139))
(no_connect (at 226.06 200.66) (uuid 541b9397-73c0-4c46-bcee-99e808fb959a))
(no_connect (at 226.06 203.2) (uuid df0a7f99-c6b8-4260-bad8-19e6fdc3cc88))
(no_connect (at 226.06 205.74) (uuid b4a3da29-7f7f-499f-a094-c1dc3b309481))
(no_connect (at 226.06 208.28) (uuid aed4003a-6df2-4eec-ba5d-eff75de0a6d5))
(no_connect (at 226.06 210.82) (uuid 98874052-d092-4efb-8af1-50d9e7a32e49))
(no_connect (at 226.06 213.36) (uuid 49541774-eda4-43d2-9424-f962a1f4a008))
(no_connect (at 226.06 218.44) (uuid ca9abec6-1fdf-4d97-b0a6-f6eb09697b06))
(no_connect (at 226.06 220.98) (uuid d15714c7-9183-4237-ba48-57f2808dffcf))
(no_connect (at 226.06 223.52) (uuid 5de885ef-bce8-447c-83e7-42ec64a2ee04))
(no_connect (at 226.06 226.06) (uuid 6b9ff890-010d-420f-8780-04e4a8471378))
(no_connect (at 226.06 228.6) (uuid 1c08bac9-a137-4f75-b867-499019bbbce8))
(no_connect (at 226.06 231.14) (uuid 96a78c7b-98da-4bad-806b-c6151b9005ac))
(no_connect (at 226.06 233.68) (uuid abf7647d-11de-490a-8350-06c99e87131c))
(no_connect (at 226.06 236.22) (uuid 9891a48c-6330-458e-a211-5f43f94bc740))
(no_connect (at 226.06 238.76) (uuid 70b48d36-7ec6-4d2f-9572-f862f8ce5732))
(no_connect (at 356.87 95.25) (uuid 98c6045e-a845-4085-9bac-f082e748384a))
(no_connect (at 356.87 115.57) (uuid 97ee426c-930e-4b29-8729-f40e99c9a985))
(no_connect (at 361.95 149.86) (uuid b4b1fc4c-cbdc-494d-b12f-4c3a9ac91ce5))
(no_connect (at 361.95 152.4) (uuid 5f909d6e-fb8d-4cd1-887d-9665f9055231))
(wire (pts (xy 17.78 130.81) (xy 30.48 130.81))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6b10415f-e2fc-4470-9747-ceaea05f46bb)
)
(wire (pts (xy 17.78 148.59) (xy 30.48 148.59))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 56a32c12-e228-4085-a303-51aae676f970)
)
(wire (pts (xy 24.13 67.31) (xy 38.1 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a3c96721-760f-40a6-81ad-6c38987b4f10)
)
(wire (pts (xy 24.13 69.85) (xy 38.1 69.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f33655eb-7777-4fe3-8825-d955e596c81f)
)
(wire (pts (xy 24.13 74.93) (xy 38.1 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2d259f0d-bffa-4065-826e-ac7d4fe4fba9)
)
(wire (pts (xy 24.13 80.01) (xy 38.1 80.01))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8670f41a-5e5b-44a6-8c5c-34be2cd3406f)
)
(wire (pts (xy 24.13 82.55) (xy 38.1 82.55))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 22a48c45-a9b4-476c-88ca-c4ac2ddc2e85)
)
(wire (pts (xy 24.13 110.49) (xy 38.1 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 14bfa546-6c6b-47c5-8fce-274ed33a4594)
)
(wire (pts (xy 30.48 130.81) (xy 30.48 133.35))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 02723f49-ca7d-449d-a825-d7ebf29dca7a)
)
(wire (pts (xy 30.48 148.59) (xy 30.48 146.05))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f7e2e09a-a9fc-4626-9323-133e1a20ec83)
)
(wire (pts (xy 33.02 34.29) (xy 35.56 34.29))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ec27b7b0-2b77-48bf-977f-915cecb3be18)
)
(wire (pts (xy 34.29 139.7) (xy 45.72 139.7))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 14e61729-4a2a-4349-8d5d-6fac770d4a56)
)
(wire (pts (xy 35.56 31.75) (xy 38.1 31.75))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9c06c2f2-b855-4370-a01e-9bce6f7a6aad)
)
(wire (pts (xy 35.56 34.29) (xy 35.56 31.75))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4748714f-ea31-4b7d-9fa0-d3ae00345cdd)
)
(wire (pts (xy 35.56 36.83) (xy 35.56 34.29))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 45efbf9a-6f88-426b-b5ad-0145f28934d0)
)
(wire (pts (xy 35.56 39.37) (xy 35.56 46.99))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 210b5a41-d8f4-4893-bf71-90b5cc9ca353)
)
(wire (pts (xy 35.56 39.37) (xy 38.1 39.37))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 21109481-79ba-4763-8474-c8c6c1acf5ac)
)
(wire (pts (xy 35.56 46.99) (xy 35.56 64.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e3e7e8a0-8703-4ecb-9ac5-60a94e8cb555)
)
(wire (pts (xy 35.56 46.99) (xy 38.1 46.99))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8cd37ac8-bb5a-4f6d-aefa-81e3ff575752)
)
(wire (pts (xy 35.56 64.77) (xy 35.56 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8646f334-f500-42c7-910c-12e8effe39be)
)
(wire (pts (xy 35.56 64.77) (xy 38.1 64.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 88e8a516-c6d7-476f-b6f5-63bcf00e3bf8)
)
(wire (pts (xy 35.56 72.39) (xy 35.56 77.47))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fd551377-3def-4d36-9a27-03f4b5cdafaf)
)
(wire (pts (xy 35.56 72.39) (xy 38.1 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4434c313-3685-4383-9c65-e13cbbf23381)
)
(wire (pts (xy 35.56 77.47) (xy 35.56 85.09))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bad87862-e6a9-45f6-ba72-f95033675e7c)
)
(wire (pts (xy 35.56 77.47) (xy 38.1 77.47))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 48fafeb3-4a4f-44d0-b4a3-f654644da942)
)
(wire (pts (xy 35.56 85.09) (xy 38.1 85.09))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6ec49565-d299-400e-a088-2920c9457e4f)
)
(wire (pts (xy 35.56 87.63) (xy 35.56 85.09))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a03901dc-b18f-4341-b2c2-3d6e7007d5c9)
)
(wire (pts (xy 35.56 87.63) (xy 35.56 95.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b76b6b78-3dc1-4f37-8c76-16a18d59cd5e)
)
(wire (pts (xy 35.56 95.25) (xy 38.1 95.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 74667106-9f50-4ada-abe6-204f93d895e6)
)
(wire (pts (xy 35.56 97.79) (xy 35.56 95.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b67384f4-bef3-4d88-9261-8dac5ec929c4)
)
(wire (pts (xy 35.56 97.79) (xy 35.56 105.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 27a647e7-20d1-4f1e-952c-5070e36d91e4)
)
(wire (pts (xy 35.56 105.41) (xy 35.56 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 996eb097-1fe2-4d3f-b0ac-b17ef5436180)
)
(wire (pts (xy 35.56 105.41) (xy 38.1 105.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a151915f-ccca-48a9-b4ae-a0e20777cb9c)
)
(wire (pts (xy 35.56 113.03) (xy 38.1 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6afb53cc-e724-4a80-8e84-579168458ef7)
)
(wire (pts (xy 35.56 116.84) (xy 35.56 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f2f058f4-3a15-478a-a26c-7115e513eba8)
)
(wire (pts (xy 38.1 34.29) (xy 35.56 34.29))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c23b3bb9-b45f-4a37-b17f-68438ba716e8)
)
(wire (pts (xy 38.1 36.83) (xy 35.56 36.83))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b6e2340f-c061-4678-b5ca-90140d95407a)
)
(wire (pts (xy 38.1 57.15) (xy 30.48 57.15))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 891d137c-2e88-4585-bae4-b7fce7386434)
)
(wire (pts (xy 38.1 87.63) (xy 35.56 87.63))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8685cd9e-5b85-46fa-aabb-71fe8df50d47)
)
(wire (pts (xy 38.1 97.79) (xy 35.56 97.79))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d473b69b-02e7-4ebf-ad5f-2fbf2095cf75)
)
(wire (pts (xy 67.31 173.99) (xy 81.28 173.99))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e75ae24e-0c68-434b-a097-bc24764675eb)
)
(wire (pts (xy 67.31 176.53) (xy 81.28 176.53))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b8e70502-c801-4d72-8484-b7e97671f1fd)
)
(wire (pts (xy 67.31 181.61) (xy 81.28 181.61))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 73683daa-4179-46fa-a37a-64690083fd02)
)
(wire (pts (xy 67.31 184.15) (xy 81.28 184.15))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 223f8474-48c3-4a80-b7b9-6df4bb9468b6)
)
(wire (pts (xy 67.31 214.63) (xy 81.28 214.63))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0f6b1650-6d21-452a-accc-ae7b93cd6cba)
)
(wire (pts (xy 67.31 217.17) (xy 81.28 217.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 744c6eeb-8ed1-4333-8ab8-b322f17e67c4)
)
(wire (pts (xy 67.31 222.25) (xy 81.28 222.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5e45a276-2f29-4b88-8b1e-857ed209dd5c)
)
(wire (pts (xy 67.31 224.79) (xy 81.28 224.79))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d160e05c-9260-48bf-9a8c-c1e861489439)
)
(wire (pts (xy 83.82 31.75) (xy 96.52 31.75))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 76ebb33d-9194-4277-9eba-e715012b8d51)
)
(wire (pts (xy 83.82 34.29) (xy 86.36 34.29))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c8708931-531b-46e9-9fff-6f7808d997e4)
)
(wire (pts (xy 83.82 64.77) (xy 102.87 64.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 87b20615-e911-4075-bb53-e406d141fc84)
)
(wire (pts (xy 83.82 67.31) (xy 102.87 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f460b63b-ecc8-4d45-85bc-c2e765b1c4eb)
)
(wire (pts (xy 83.82 90.17) (xy 86.36 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c980ab9e-181b-4442-b65e-b52b5fae678a)
)
(wire (pts (xy 83.82 100.33) (xy 86.36 100.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid efde54a5-315f-4ba1-b368-9cca0f148705)
)
(wire (pts (xy 86.36 34.29) (xy 86.36 36.83))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8cc1d683-47a7-40d6-89bc-01ba023de640)
)
(wire (pts (xy 86.36 36.83) (xy 83.82 36.83))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b66b86a2-850d-4061-b079-c8d679cb4b62)
)
(wire (pts (xy 86.36 39.37) (xy 83.82 39.37))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c86e1a67-135a-4f01-a747-bc281997209a)
)
(wire (pts (xy 86.36 39.37) (xy 86.36 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3791f097-804f-482b-823e-aff39b00b8bf)
)
(wire (pts (xy 86.36 62.23) (xy 83.82 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ed5c9302-cb72-42d5-882d-3514aa952810)
)
(wire (pts (xy 86.36 69.85) (xy 83.82 69.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5e927818-44f1-4fc9-ba1c-717765c46e43)
)
(wire (pts (xy 86.36 69.85) (xy 86.36 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 98d687f0-9c92-4f34-aba2-b0fceeeceb42)
)
(wire (pts (xy 86.36 77.47) (xy 83.82 77.47))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15fd8def-9f4f-4bee-80c9-7dcf1b639143)
)
(wire (pts (xy 86.36 77.47) (xy 86.36 69.85))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5a8bf126-a50a-43f2-8033-29e6469bfd6d)
)
(wire (pts (xy 86.36 82.55) (xy 83.82 82.55))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 96b930f5-8c12-47fa-81f3-56995f38e28b)
)
(wire (pts (xy 86.36 82.55) (xy 86.36 77.47))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 56c2963e-4cb5-4406-ba3d-ff84419b18de)
)
(wire (pts (xy 86.36 90.17) (xy 86.36 82.55))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 671c1228-1085-4dba-9080-fe65250c1bb4)
)
(wire (pts (xy 86.36 90.17) (xy 86.36 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2b1b0df1-001b-431b-9054-b85f81e60f69)
)
(wire (pts (xy 86.36 92.71) (xy 83.82 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b041679b-4c3b-47f0-9329-ccc1837f431a)
)
(wire (pts (xy 86.36 100.33) (xy 86.36 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 834f6e84-91d2-47a5-9246-d5a10e9bb1dd)
)
(wire (pts (xy 86.36 100.33) (xy 86.36 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bd5e804c-928c-4ee6-9595-2439fda372ae)
)
(wire (pts (xy 86.36 102.87) (xy 83.82 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5ba04fff-6369-42d8-9295-56ae89c057dc)
)
(wire (pts (xy 86.36 110.49) (xy 83.82 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid aeac6fa5-f11c-4d9d-b56a-a7d8ab5ea8be)
)
(wire (pts (xy 86.36 110.49) (xy 86.36 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6ade8eba-5f88-4a16-936a-137dbde20a53)
)
(wire (pts (xy 86.36 116.84) (xy 86.36 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4fbace2c-0f72-4afd-a223-9a3aa894358c)
)
(wire (pts (xy 88.9 34.29) (xy 86.36 34.29))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5761097c-8282-4ffb-af71-2b7473569052)
)
(wire (pts (xy 95.25 57.15) (xy 83.82 57.15))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6ea05e04-e725-4692-921c-4b31d21713b0)
)
(wire (pts (xy 97.79 179.07) (xy 93.98 179.07))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d300636b-288a-4fba-8836-fc1dad35d9ff)
)
(wire (pts (xy 97.79 179.07) (xy 97.79 184.15))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2df8be63-cdca-4549-870f-cc0818cf1577)
)
(wire (pts (xy 97.79 219.71) (xy 93.98 219.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cdba6656-7713-4bd3-93b3-ed7896d49a9f)
)
(wire (pts (xy 97.79 219.71) (xy 97.79 224.79))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e58be205-1830-4c06-800f-376ce4d36a26)
)
(wire (pts (xy 100.33 57.15) (xy 109.22 57.15))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7469f62d-237c-4954-a1e8-649ebd5f514b)
)
(wire (pts (xy 102.87 21.59) (xy 109.22 21.59))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0915b1aa-b004-4a14-ad09-d48ba3521ac3)
)
(wire (pts (xy 107.95 72.39) (xy 83.82 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4c5680eb-6a17-4870-9bb3-50ff9f05855e)
)
(wire (pts (xy 107.95 74.93) (xy 83.82 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6d688193-69f4-496a-af94-ecfc7b94fec2)
)
(wire (pts (xy 107.95 85.09) (xy 83.82 85.09))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b0bff825-29da-4c9d-8171-4024f4625115)
)
(wire (pts (xy 107.95 87.63) (xy 83.82 87.63))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1be349bf-9f35-42e7-b34e-cc1f79782d5e)
)
(wire (pts (xy 113.03 72.39) (xy 125.73 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6140c025-1685-4d54-b1da-83694fb0d9dc)
)
(wire (pts (xy 113.03 74.93) (xy 125.73 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2bd96595-c2d5-4c50-b018-d674928471f6)
)
(wire (pts (xy 113.03 85.09) (xy 125.73 85.09))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4e84da88-7031-4c72-826c-a9f8aebc429d)
)
(wire (pts (xy 113.03 87.63) (xy 125.73 87.63))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6aa1041a-1d94-41ce-8185-4db0f32efaec)
)
(wire (pts (xy 123.19 21.59) (xy 114.3 21.59))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b5db762a-d603-4f98-85a5-7c1e6222e1ef)
)
(wire (pts (xy 133.35 21.59) (xy 128.27 21.59))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6902d7cf-f0e1-4a09-a350-04bf0c4de6ee)
)
(wire (pts (xy 152.4 52.07) (xy 166.37 52.07))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 03d40881-30fe-4e35-a0a0-6da46c4f3120)
)
(wire (pts (xy 152.4 54.61) (xy 166.37 54.61))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f627a113-5621-4683-a7c2-a2ba1d874e8d)
)
(wire (pts (xy 152.4 59.69) (xy 166.37 59.69))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dfd6de5e-fe1c-4079-89e3-e9cb8ed93ef1)
)
(wire (pts (xy 152.4 62.23) (xy 166.37 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6d9717e3-200d-42e9-b34f-3281562f5562)
)
(wire (pts (xy 152.4 92.71) (xy 166.37 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9afefa3d-8bf7-41f2-9dbc-b58b19319309)
)
(wire (pts (xy 152.4 95.25) (xy 166.37 95.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6aca7d57-8808-40e4-a22d-5eb9cf0eca91)
)
(wire (pts (xy 152.4 100.33) (xy 166.37 100.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 46942a20-7e6f-41b8-a9f1-484503125591)
)
(wire (pts (xy 152.4 102.87) (xy 166.37 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 433aa21a-897e-4f55-8235-2287ec62ce6c)
)
(wire (pts (xy 157.48 195.58) (xy 144.78 195.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 78052525-8293-4a02-b9f0-e384a9d4dcdf)
)
(wire (pts (xy 157.48 198.12) (xy 144.78 198.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e6ebb029-86ea-4cb1-a836-44666686978d)
)
(wire (pts (xy 157.48 226.06) (xy 144.78 226.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 42cd4be4-2a92-47e5-9cf2-3617e6d52b70)
)
(wire (pts (xy 157.48 228.6) (xy 144.78 228.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9485e772-ea8b-476c-8e44-bf5addf6458f)
)
(wire (pts (xy 158.75 273.05) (xy 160.02 273.05))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6f338dea-5166-4ff7-ab1b-9d715f11d1ff)
)
(wire (pts (xy 160.02 270.51) (xy 162.56 270.51))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 927062d2-ed3b-4538-8ac0-c3e53f698bd6)
)
(wire (pts (xy 160.02 273.05) (xy 160.02 270.51))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 697ad594-2883-43c8-a289-3f403bcc6208)
)
(wire (pts (xy 160.02 275.59) (xy 160.02 273.05))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e6d18059-9ae6-47cb-b7c0-61c53376fef6)
)
(wire (pts (xy 162.56 275.59) (xy 160.02 275.59))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 68679d62-761f-4c59-b954-8811a141a409)
)
(wire (pts (xy 163.83 203.2) (xy 180.34 203.2))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ddff6f75-6202-48d6-a84c-e81f8f4baff1)
)
(wire (pts (xy 163.83 210.82) (xy 180.34 210.82))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0b7c38cd-e0d3-4dc8-a744-8e859f44201e)
)
(wire (pts (xy 163.83 213.36) (xy 180.34 213.36))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6eafa685-6d36-4b79-baec-a127d49c5371)
)
(wire (pts (xy 163.83 233.68) (xy 180.34 233.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 68687440-b40f-4bf1-908f-b4a216a78a7d)
)
(wire (pts (xy 170.18 170.18) (xy 180.34 170.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 646dfad1-716c-4fde-8ded-8ef7c6d886f9)
)
(wire (pts (xy 170.18 172.72) (xy 180.34 172.72))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1c56196b-12c5-445c-845f-49be3a3b4a78)
)
(wire (pts (xy 170.18 175.26) (xy 180.34 175.26))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a755ddff-6677-4797-a5b9-7749ec5ece08)
)
(wire (pts (xy 170.18 177.8) (xy 180.34 177.8))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d0ccf9fc-ceac-44ab-8d5f-98cb745c492a)
)
(wire (pts (xy 170.18 180.34) (xy 180.34 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f9dc2c35-018e-4f3d-ab4a-84c244399d69)
)
(wire (pts (xy 170.18 182.88) (xy 180.34 182.88))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 26295865-f18c-449b-8c02-639aaf72a4af)
)
(wire (pts (xy 177.8 160.02) (xy 177.8 167.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 975e3b73-91c3-41d5-a89c-fd8c7105b6a7)
)
(wire (pts (xy 177.8 167.64) (xy 177.8 193.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 84e05e6c-a871-496d-8b86-51a44c92969a)
)
(wire (pts (xy 177.8 167.64) (xy 180.34 167.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a00c1a40-f38a-485e-ba3f-3c3fa000af7f)
)
(wire (pts (xy 177.8 193.04) (xy 177.8 200.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 439798bd-fda2-48f6-a51a-edc3df5f4c4f)
)
(wire (pts (xy 177.8 200.66) (xy 177.8 208.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6080a6a4-89b9-4fa0-b16b-f40e27671467)
)
(wire (pts (xy 177.8 208.28) (xy 177.8 215.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cd911828-29da-4b49-8ca1-cd4210d99e09)
)
(wire (pts (xy 177.8 208.28) (xy 180.34 208.28))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 07de049f-4c1c-499b-8dc0-ed67c54df8b5)
)
(wire (pts (xy 177.8 215.9) (xy 177.8 223.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c95f0bfc-24ab-4ed2-8945-b3d6fd9728a0)
)
(wire (pts (xy 177.8 215.9) (xy 180.34 215.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d6a286fd-6cd6-4425-a4f6-c46c5b8d53ab)
)
(wire (pts (xy 177.8 223.52) (xy 177.8 231.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a30cec8b-773a-434d-adc5-507ae0652e91)
)
(wire (pts (xy 177.8 231.14) (xy 177.8 238.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 92cf49d9-c033-4fd4-b7c7-a7cce2607888)
)
(wire (pts (xy 177.8 238.76) (xy 177.8 246.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5947a2e9-f40e-4c2a-8880-fd35b17036ae)
)
(wire (pts (xy 177.8 238.76) (xy 180.34 238.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 145bbb0d-ba05-481a-937f-2a9690de1bc4)
)
(wire (pts (xy 177.8 246.38) (xy 177.8 254))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 761a7e70-2925-43f6-b9fc-8731bbab17df)
)
(wire (pts (xy 177.8 246.38) (xy 180.34 246.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a7547c32-0183-4b94-ad30-5dccb099791d)
)
(wire (pts (xy 180.34 160.02) (xy 177.8 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 185b29c3-f051-49f2-8720-c4c2396cfebe)
)
(wire (pts (xy 180.34 193.04) (xy 177.8 193.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3878165c-2a04-42c2-9295-a70d102d01f6)
)
(wire (pts (xy 180.34 195.58) (xy 162.56 195.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a369fb52-d96e-4cf5-8eeb-307f92eba424)
)
(wire (pts (xy 180.34 198.12) (xy 162.56 198.12))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b4f565d8-47a3-4169-815c-9e112aedc142)
)
(wire (pts (xy 180.34 200.66) (xy 177.8 200.66))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 58888d5c-cc22-4f8c-b35f-5f233cbd2d7a)
)
(wire (pts (xy 180.34 205.74) (xy 163.83 205.74))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 527eb42f-4c91-40bf-b186-2cca803dabf6)
)
(wire (pts (xy 180.34 223.52) (xy 177.8 223.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 46151977-37d8-4544-a080-335235ff7ffc)
)
(wire (pts (xy 180.34 226.06) (xy 162.56 226.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1ac98540-0d5c-4606-8c42-7af3e1d23d13)
)
(wire (pts (xy 180.34 228.6) (xy 162.56 228.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0c96238d-a5ed-4506-a022-a11e37f83d5e)
)
(wire (pts (xy 180.34 231.14) (xy 177.8 231.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid debb424f-320d-494c-a23b-df73b5ea9b96)
)
(wire (pts (xy 180.34 236.22) (xy 163.83 236.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7e301591-547c-4c1e-ab43-361d3711934a)
)
(wire (pts (xy 180.34 241.3) (xy 161.29 241.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 962aa54a-cb22-46ca-8492-43eaa06049ec)
)
(wire (pts (xy 180.34 243.84) (xy 161.29 243.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f7c6c783-7139-45b1-b668-a71997b53f23)
)
(wire (pts (xy 182.88 57.15) (xy 179.07 57.15))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7978ad3a-a993-480b-84f7-95b7d7433be4)
)
(wire (pts (xy 182.88 57.15) (xy 182.88 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid aff49d95-0334-48d2-abc9-2a106cb2d5ea)
)
(wire (pts (xy 182.88 97.79) (xy 179.07 97.79))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 02f0817d-e1d8-4e98-8dc2-1633525518da)
)
(wire (pts (xy 182.88 97.79) (xy 182.88 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5971f309-1255-4ec1-8e05-fe374f5485f7)
)
(wire (pts (xy 203.2 256.54) (xy 203.2 254))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d8c23e52-b712-425e-9d3f-72bc37be1ced)
)
(wire (pts (xy 204.47 54.61) (xy 204.47 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 95881fc8-9078-49be-8da0-f4798ab0ad43)
)
(wire (pts (xy 204.47 66.04) (xy 212.09 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4fc22cbd-a107-421e-a866-d2f7592cc72c)
)
(wire (pts (xy 212.09 54.61) (xy 212.09 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e4e62a60-b66a-4208-84ab-ac8293743fc7)
)
(wire (pts (xy 212.09 66.04) (xy 212.09 63.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f63fb5b0-dff5-4f68-b489-9adeb8975c00)
)
(wire (pts (xy 212.09 67.31) (xy 212.09 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0422505d-7803-4ad3-a5eb-c96a0feb945b)
)
(wire (pts (xy 212.09 74.93) (xy 212.09 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 137ad6a5-eba0-4041-afba-ea7d9232bc0d)
)
(wire (pts (xy 213.36 88.9) (xy 228.6 88.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e0424a43-fb5c-4608-a474-5dd4ebfb84b9)
)
(wire (pts (xy 213.36 96.52) (xy 228.6 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 64266af6-6329-48cb-8140-e20a69c90d5b)
)
(wire (pts (xy 222.25 66.04) (xy 212.09 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9565f11c-dd0a-4929-8520-28b3011a74eb)
)
(wire (pts (xy 222.25 66.04) (xy 231.14 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 538e5f03-715b-4e8d-bffd-2761933aed5c)
)
(wire (pts (xy 222.25 67.31) (xy 222.25 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b547d98e-f38b-4df1-a5bf-1bb3791e3bd3)
)
(wire (pts (xy 222.25 72.39) (xy 222.25 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bec89bdd-11f4-492a-8693-3f6c207e44f6)
)
(wire (pts (xy 222.25 74.93) (xy 212.09 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 32e74b81-fdda-4f67-ab75-887ce89674ef)
)
(wire (pts (xy 222.25 77.47) (xy 222.25 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d7a3deb8-46f2-412e-b47b-46d0d2422b3b)
)
(wire (pts (xy 226.06 160.02) (xy 231.14 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 59609512-e1ff-43cb-90f3-57f97b89ed33)
)
(wire (pts (xy 226.06 162.56) (xy 231.14 162.56))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 28fca37c-3bb0-47f6-9267-79d7be5d73d1)
)
(wire (pts (xy 226.06 185.42) (xy 233.68 185.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d619d67c-5b30-42cd-b892-594247334388)
)
(wire (pts (xy 226.06 195.58) (xy 233.68 195.58))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2be70aeb-022b-4f18-9d46-39906297fcd7)
)
(wire (pts (xy 226.06 215.9) (xy 234.95 215.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid cc08a60e-f583-4fce-bd02-73f8c770d7f2)
)
(wire (pts (xy 226.06 241.3) (xy 231.14 241.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 92d83191-ee68-4585-975f-8ce9ef65d9f9)
)
(wire (pts (xy 226.06 243.84) (xy 231.14 243.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9ddc6bd1-2ba6-4350-a8fa-795040fd55b8)
)
(wire (pts (xy 228.6 88.9) (xy 228.6 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a3836b8b-6510-4061-af9d-890d00b52052)
)
(wire (pts (xy 228.6 96.52) (xy 228.6 95.25))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 87e3bf13-f61a-4559-b591-6a8b791c6fa6)
)
(wire (pts (xy 228.6 180.34) (xy 226.06 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 94e12b08-4f14-4597-a208-09973644095f)
)
(wire (pts (xy 228.6 254) (xy 228.6 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6492aaac-72a8-4fdf-b621-dd5f2a5717d7)
)
(wire (pts (xy 231.14 66.04) (xy 231.14 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2bb639f3-615b-4d6c-8024-aeec9e76a101)
)
(wire (pts (xy 231.14 72.39) (xy 231.14 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2d6fb7bc-4cfd-471b-84ad-60abf4735569)
)
(wire (pts (xy 231.14 74.93) (xy 222.25 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ab962c92-798d-4d9c-b871-4964489d9dbb)
)
(wire (pts (xy 231.14 160.02) (xy 231.14 152.4))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fe16a233-b7c2-4d01-b0ac-ea9f10e2f038)
)
(wire (pts (xy 231.14 162.56) (xy 231.14 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15d18dcd-eefd-4654-b175-70a6a8799d64)
)
(wire (pts (xy 231.14 241.3) (xy 231.14 162.56))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d4bd955d-be27-407e-bdcf-303647e4687b)
)
(wire (pts (xy 231.14 243.84) (xy 231.14 241.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0c9ea59e-2b12-4983-be16-35dca7e70205)
)
(wire (pts (xy 233.68 190.5) (xy 226.06 190.5))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 52fc6288-b74e-405b-b466-9469fe76beac)
)
(wire (pts (xy 233.68 193.04) (xy 226.06 193.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8ddce897-22eb-46a0-af5d-f109447969f5)
)
(wire (pts (xy 241.3 54.61) (xy 241.3 58.42))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5bdbd93d-1ea8-41b5-a093-f53fee4acbec)
)
(wire (pts (xy 241.3 63.5) (xy 241.3 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5434bd12-58cb-4a60-b8f8-b49dc7563581)
)
(wire (pts (xy 241.3 66.04) (xy 241.3 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4a17745e-40db-4611-b93e-647d924bc1cf)
)
(wire (pts (xy 241.3 66.04) (xy 250.19 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4e86f38e-5a85-4daa-8ca9-b4abb20c9bcd)
)
(wire (pts (xy 241.3 74.93) (xy 241.3 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9ed15584-2de3-4ea9-b3ea-af9a42311f69)
)
(wire (pts (xy 242.57 215.9) (xy 240.03 215.9))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b8277b5b-7039-475b-a0f6-4ab9ecfb8eca)
)
(wire (pts (xy 250.19 66.04) (xy 250.19 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5b28ee6b-53d4-4619-83d4-da5be5b064d2)
)
(wire (pts (xy 250.19 66.04) (xy 259.08 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 99065a3a-7e1e-486d-b263-5616c2fb1e05)
)
(wire (pts (xy 250.19 74.93) (xy 241.3 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e9424ed7-09bf-4fa8-9ae7-ef32cbe2dbeb)
)
(wire (pts (xy 250.19 74.93) (xy 250.19 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8dab1516-2226-467b-96df-9825ea957761)
)
(wire (pts (xy 250.19 74.93) (xy 250.19 77.47))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fa5a6ef3-13b9-4c32-8779-657468073384)
)
(wire (pts (xy 259.08 66.04) (xy 259.08 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dc138c65-639b-4d89-813c-9de973761108)
)
(wire (pts (xy 259.08 66.04) (xy 267.97 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a5599b1e-4f2d-45df-8a15-54136383368b)
)
(wire (pts (xy 259.08 74.93) (xy 250.19 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 552b9128-aa74-489b-b3b6-ed8beb29e97c)
)
(wire (pts (xy 259.08 74.93) (xy 259.08 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 98a3fbb7-79bd-452a-83b1-eb8fd215153f)
)
(wire (pts (xy 260.35 110.49) (xy 264.16 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 18fa5d11-2e37-44b6-ae14-36f9c2b29f14)
)
(wire (pts (xy 260.35 113.03) (xy 273.05 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a1901d11-b718-4e34-9a1d-52ce2394e43c)
)
(wire (pts (xy 264.16 100.33) (xy 264.16 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3af57ef8-c115-459f-90d6-fed785c261e8)
)
(wire (pts (xy 264.16 101.6) (xy 264.16 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 643383bd-c936-4134-9cb8-b12915c59a62)
)
(wire (pts (xy 264.16 101.6) (xy 273.05 101.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d1653207-2029-4bb8-863c-649fa869b0e6)
)
(wire (pts (xy 264.16 109.22) (xy 264.16 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 52828eeb-b0d9-46c3-a146-589d8fd9c6d1)
)
(wire (pts (xy 264.16 110.49) (xy 297.18 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0e163a75-b20e-447f-a9aa-68d8602361e7)
)
(wire (pts (xy 267.97 63.5) (xy 267.97 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6fcf8e0e-923c-4c97-b754-6de91c902e96)
)
(wire (pts (xy 267.97 66.04) (xy 267.97 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d061114c-76bc-46ed-95fa-adff4440813f)
)
(wire (pts (xy 267.97 72.39) (xy 267.97 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid a20d28b2-e46c-4ef1-a6a8-16711dde2693)
)
(wire (pts (xy 267.97 74.93) (xy 259.08 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6a1747c3-1d54-48f3-ab2b-e84f47b93ee8)
)
(wire (pts (xy 273.05 101.6) (xy 273.05 104.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3ba61946-3f25-4018-8f9d-89e1464c9a75)
)
(wire (pts (xy 273.05 109.22) (xy 273.05 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b7213243-a0ef-4a9d-b71c-aab3d24f6e59)
)
(wire (pts (xy 273.05 113.03) (xy 299.72 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 441a6c6e-cc81-47ff-a8a2-3c6a3c5a62ba)
)
(wire (pts (xy 276.86 66.04) (xy 267.97 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5a6fd6b5-a6ca-418d-a798-c91ad95b5ed0)
)
(wire (pts (xy 276.86 67.31) (xy 276.86 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 559a1515-ea68-4739-a89b-1bbf482e7dae)
)
(wire (pts (xy 276.86 72.39) (xy 276.86 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9f73ef94-b842-4c79-85df-a25e4214b3f5)
)
(wire (pts (xy 276.86 74.93) (xy 267.97 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 02ead988-a1f5-412b-82b5-f621a190ecfd)
)
(wire (pts (xy 276.86 74.93) (xy 289.56 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9b468ed0-b4a4-4e2f-abef-3b1d7c907504)
)
(wire (pts (xy 280.67 80.01) (xy 280.67 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f13015dd-115b-4043-8230-07bc80b8ab71)
)
(wire (pts (xy 280.67 80.01) (xy 331.47 80.01))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ba92bd81-c862-421d-b6ae-34ab44fa7143)
)
(wire (pts (xy 280.67 90.17) (xy 283.21 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c3b77bbb-e0fd-4437-9f7e-5888d02b4a01)
)
(wire (pts (xy 280.67 91.44) (xy 280.67 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c417c9e4-99b4-4494-b3df-b0de840e6783)
)
(wire (pts (xy 280.67 99.06) (xy 280.67 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 756160be-c8a2-4733-9cca-1652e0977869)
)
(wire (pts (xy 281.94 66.04) (xy 276.86 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6a078a14-a3a9-4674-98ac-1c65698d958a)
)
(wire (pts (xy 287.02 66.04) (xy 289.56 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 42441bba-3b62-4d34-9193-19f0ccfdb853)
)
(wire (pts (xy 287.02 95.25) (xy 287.02 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4c71f75d-1900-4d42-803b-8bf68815d1bc)
)
(wire (pts (xy 287.02 99.06) (xy 280.67 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 58718fc7-28b1-46f1-89f9-39f174ce0f67)
)
(wire (pts (xy 287.02 99.06) (xy 287.02 100.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 47778e5b-1d53-42d9-8977-28dffbb8f55e)
)
(wire (pts (xy 287.02 99.06) (xy 293.37 99.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b5232b28-5402-4af1-8364-ee588595a4eb)
)
(wire (pts (xy 289.56 66.04) (xy 298.45 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2866adf0-275a-4854-9e21-82251ad42abd)
)
(wire (pts (xy 289.56 67.31) (xy 289.56 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fa92145d-8299-4a75-b724-15f73cca0cd6)
)
(wire (pts (xy 289.56 72.39) (xy 289.56 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9d7b308e-2ea3-489f-8349-1e317da7b364)
)
(wire (pts (xy 289.56 74.93) (xy 298.45 74.93))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e2d1b2d7-509f-49f2-80f9-2e9eb1ea1bba)
)
(wire (pts (xy 290.83 90.17) (xy 293.37 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8b3cf9d8-449e-4d03-addc-d25ae8bcaf63)
)
(wire (pts (xy 293.37 85.09) (xy 331.47 85.09))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d8881d8d-0ebd-441b-a6ee-bbcda052b58d)
)
(wire (pts (xy 293.37 90.17) (xy 293.37 85.09))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6c5197ce-b3dc-418b-a3de-cf9a45efefe3)
)
(wire (pts (xy 293.37 91.44) (xy 293.37 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15d735dd-85d6-49d4-9f1a-1b3e61a82ba2)
)
(wire (pts (xy 293.37 99.06) (xy 293.37 96.52))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c9bad831-d4b7-437f-89b3-0cbc5d838a11)
)
(wire (pts (xy 297.18 102.87) (xy 297.18 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1d93c1e6-32a8-405c-b2a4-df3d885e89aa)
)
(wire (pts (xy 297.18 102.87) (xy 331.47 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d3959b0c-9ab4-4630-a527-a28955231adf)
)
(wire (pts (xy 298.45 63.5) (xy 298.45 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 88f7b8ed-826f-496c-9c47-c82f9c853d10)
)
(wire (pts (xy 298.45 66.04) (xy 336.55 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 758300fb-12e6-4d99-8370-84e0f368fd2e)
)
(wire (pts (xy 298.45 67.31) (xy 298.45 66.04))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6feb6c56-890a-4fd9-9efa-655aeb14dbbb)
)
(wire (pts (xy 298.45 74.93) (xy 298.45 72.39))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 419a0a9c-c172-44b1-80d2-3559c2faf315)
)
(wire (pts (xy 299.72 105.41) (xy 299.72 113.03))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 1624b476-783b-4d57-98e6-aacb8751563c)
)
(wire (pts (xy 299.72 105.41) (xy 331.47 105.41))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 37a1c24c-f310-4e6e-a64e-215dbe18f694)
)
(wire (pts (xy 303.53 132.08) (xy 313.69 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5b29c3d4-5a89-49be-a1d6-1f288e70da84)
)
(wire (pts (xy 311.15 22.86) (xy 330.2 22.86))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 986d646f-6024-4172-9d96-1f6f4a161572)
)
(wire (pts (xy 311.15 27.94) (xy 330.2 27.94))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 7161e001-3773-4bf6-844b-4976e9e5d4ab)
)
(wire (pts (xy 311.15 33.02) (xy 330.2 33.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b59aa701-2a24-404c-9ae7-2b19f8335966)
)
(wire (pts (xy 311.15 38.1) (xy 330.2 38.1))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 13794467-c2c3-4994-9af3-ddfadcffa067)
)
(wire (pts (xy 311.15 43.18) (xy 330.2 43.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6ebd9b0d-d1cc-4ae7-8ab0-7e3b6e1bba21)
)
(wire (pts (xy 311.15 228.6) (xy 330.2 228.6))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8d662ac6-2e9b-4f03-9828-ca46a042be7b)
)
(wire (pts (xy 311.15 233.68) (xy 330.2 233.68))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 15d8b890-8d49-4ba9-a9dd-977804df333a)
)
(wire (pts (xy 311.15 238.76) (xy 330.2 238.76))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b757557d-d680-47ca-bdb6-6fce8fc77b1a)
)
(wire (pts (xy 311.15 243.84) (xy 330.2 243.84))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6a628e3f-6c84-4b27-ab2e-34fdeb85f231)
)
(wire (pts (xy 311.15 248.92) (xy 330.2 248.92))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2472ed64-a081-485a-af34-a00755156ed9)
)
(wire (pts (xy 312.42 90.17) (xy 331.47 90.17))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c9f1dd51-a369-4e83-8d98-e16e695b3117)
)
(wire (pts (xy 312.42 92.71) (xy 331.47 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f2c98741-0c55-4cc9-be0a-67ba4dc37d49)
)
(wire (pts (xy 313.69 97.79) (xy 317.5 97.79))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 35750f18-40c0-46d6-b01a-04c8ad94f506)
)
(wire (pts (xy 313.69 132.08) (xy 317.5 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 473e2c71-8fda-4833-b760-d9ca1a000bb9)
)
(wire (pts (xy 313.69 137.16) (xy 313.69 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 23d6ee96-8b49-417c-b8c2-51653c75f0f7)
)
(wire (pts (xy 313.69 144.78) (xy 331.47 144.78))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid f88750d5-a001-4427-9d79-dc91c7dcdbd1)
)
(wire (pts (xy 313.69 147.32) (xy 331.47 147.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2263db10-964b-46ab-a8b4-d2b98235e4c2)
)
(wire (pts (xy 313.69 154.94) (xy 331.47 154.94))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5a974540-b307-447d-af13-83abaf74ffdd)
)
(wire (pts (xy 313.69 157.48) (xy 331.47 157.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 779f31f3-1090-4026-bfd0-a857c3597be4)
)
(wire (pts (xy 313.69 167.64) (xy 318.77 167.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e5493775-1198-4932-89f6-9d46116a1d98)
)
(wire (pts (xy 317.5 137.16) (xy 313.69 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid bb72cdb9-f636-422b-9d59-a553c1b1f88c)
)
(wire (pts (xy 318.77 167.64) (xy 331.47 167.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0875a3bd-abc3-49ea-9d56-c73874a2efa5)
)
(wire (pts (xy 318.77 171.45) (xy 318.77 167.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fe302c39-683d-4fcd-a92e-0d04b8419351)
)
(wire (pts (xy 318.77 180.34) (xy 318.77 176.53))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid afe7c3d7-a063-4277-aab9-ec4d81dee04f)
)
(wire (pts (xy 322.58 97.79) (xy 331.47 97.79))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c983a8f5-707b-413e-bf28-dc7c4b56a3a5)
)
(wire (pts (xy 322.58 132.08) (xy 325.12 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c48a4073-5d47-4942-8172-2dfb2a81cbc1)
)
(wire (pts (xy 322.58 137.16) (xy 325.12 137.16))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 12b25bb8-451e-4f6a-b138-da9f9b339ddd)
)
(wire (pts (xy 323.85 110.49) (xy 331.47 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5c5e11d7-4259-4e17-95a4-e59c3c67fb4e)
)
(wire (pts (xy 325.12 134.62) (xy 325.12 132.08))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c4c2eeb9-aafa-422c-a04d-ec0f3128c266)
)
(wire (pts (xy 325.12 134.62) (xy 330.2 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6943fe11-a59c-46d4-aa05-0b33f29eb917)
)
(wire (pts (xy 325.12 137.16) (xy 325.12 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 30c414df-64d1-407c-8af0-0adc02615046)
)
(wire (pts (xy 326.39 170.18) (xy 326.39 171.45))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 285d1f96-e8b2-46e5-858f-5f0b4972f5be)
)
(wire (pts (xy 326.39 170.18) (xy 331.47 170.18))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 42317ff2-6ed6-45b4-87cd-dace966fb175)
)
(wire (pts (xy 326.39 176.53) (xy 326.39 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9833fdeb-8f1a-4ac5-a308-6d244b4e5fe2)
)
(wire (pts (xy 326.39 180.34) (xy 318.77 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 89e6c467-3ecb-4288-9395-ec0348bf06de)
)
(wire (pts (xy 326.39 180.34) (xy 346.71 180.34))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8a1c7450-74d8-4870-91a4-5850044f8f22)
)
(wire (pts (xy 330.2 20.32) (xy 311.15 20.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 11be6e02-511a-4237-93da-b011f5efff5d)
)
(wire (pts (xy 330.2 25.4) (xy 311.15 25.4))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6a1c6d8f-e964-4e24-b673-ec87007dd135)
)
(wire (pts (xy 330.2 30.48) (xy 311.15 30.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ebc8450d-d103-4014-93be-eba5e229f4ac)
)
(wire (pts (xy 330.2 35.56) (xy 311.15 35.56))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid add1d32d-47d7-4655-8d1b-3c80b600b1a9)
)
(wire (pts (xy 330.2 40.64) (xy 311.15 40.64))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e606ede4-0192-44b9-b07a-7408d01d8d32)
)
(wire (pts (xy 330.2 132.08) (xy 330.2 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b3ad5fff-99b8-4be3-b229-7848178911be)
)
(wire (pts (xy 330.2 134.62) (xy 346.71 134.62))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 663d7543-2c8a-498b-8e41-e19ea11bdcbb)
)
(wire (pts (xy 330.2 226.06) (xy 311.15 226.06))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 4d67fc6c-0140-4690-b6d1-d07331eef47f)
)
(wire (pts (xy 330.2 231.14) (xy 311.15 231.14))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid efcb6348-e68f-4394-a3b1-f05c6d4532a4)
)
(wire (pts (xy 330.2 236.22) (xy 311.15 236.22))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d2027171-373c-44b7-aafa-c9087789d979)
)
(wire (pts (xy 330.2 241.3) (xy 311.15 241.3))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5f59d11f-522d-4be5-b164-7745415b1223)
)
(wire (pts (xy 330.2 246.38) (xy 311.15 246.38))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid c81fb5c1-0cd5-4d54-a599-958417d59de1)
)
(wire (pts (xy 331.47 62.23) (xy 339.09 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8021b685-a875-43c3-b3bc-e436eb6a5bce)
)
(wire (pts (xy 336.55 66.04) (xy 336.55 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid dbfd70e0-372f-42f6-98d4-53f9197ae065)
)
(wire (pts (xy 339.09 62.23) (xy 339.09 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 516f861f-8441-4c63-bdc9-18b2dd3759f2)
)
(wire (pts (xy 339.09 62.23) (xy 341.63 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 56d71702-7256-4b52-a1bf-23801b6a6fec)
)
(wire (pts (xy 341.63 62.23) (xy 341.63 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0c3babf0-b922-49e0-bac2-badcaff64fbc)
)
(wire (pts (xy 344.17 62.23) (xy 344.17 67.31))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 9296a385-1d62-4ee8-8aff-2cbe5d7f08e3)
)
(wire (pts (xy 344.17 62.23) (xy 346.71 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e08a498f-14ff-4160-8b1a-a80d1de1f68f)
)
(wire (pts (xy 346.71 62.23) (xy 351.79 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 709673b4-1eca-4bf6-8649-6ecda4859b7e)
)
(wire (pts (xy 346.71 67.31) (xy 346.71 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 33c1ab75-77c0-4c60-a452-ca6dea4164f3)
)
(wire (pts (xy 349.25 64.77) (xy 361.95 64.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 128b4384-ceed-437c-9c31-a4b744833273)
)
(wire (pts (xy 349.25 67.31) (xy 349.25 64.77))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d25ea540-6bd2-4399-81f2-033e3be9e472)
)
(wire (pts (xy 351.79 62.23) (xy 361.95 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid b45029b0-f51b-42e4-87e2-6f85c4efd729)
)
(wire (pts (xy 351.79 67.31) (xy 351.79 62.23))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e0a500e6-ee1c-4d6f-bfc5-014746dc2397)
)
(wire (pts (xy 356.87 85.09) (xy 375.92 85.09))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 36d50371-7642-4120-8901-a92edb7b1b3e)
)
(wire (pts (xy 356.87 100.33) (xy 375.92 100.33))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 2467d77f-f46e-42c2-88c3-3cffd01dd189)
)
(wire (pts (xy 356.87 102.87) (xy 375.92 102.87))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 5338019f-e98e-4abf-81b4-6a97f466db63)
)
(wire (pts (xy 356.87 107.95) (xy 375.92 107.95))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3bd099a4-244e-49ab-acce-db7b8780eab9)
)
(wire (pts (xy 356.87 110.49) (xy 375.92 110.49))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 8c881b80-ecec-4583-b7db-0dd816a2b02a)
)
(wire (pts (xy 361.95 154.94) (xy 381 154.94))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d2a817a8-99de-45e9-a08c-d90c9d22e496)
)
(wire (pts (xy 361.95 157.48) (xy 381 157.48))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid ac9c02e6-49fb-4954-a808-7d69849029c5)
)
(wire (pts (xy 361.95 160.02) (xy 381 160.02))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 6ff59251-3204-4b93-9302-53345339afc0)
)
(wire (pts (xy 361.95 162.56) (xy 381 162.56))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 3477588f-b54c-4f24-ad19-fc549574815e)
)
(wire (pts (xy 367.03 92.71) (xy 356.87 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid fe9490e2-1331-49e6-9d8c-2c4b95825425)
)
(wire (pts (xy 372.11 92.71) (xy 374.65 92.71))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid d95495c2-6799-4419-9d2b-4e58d77d032b)
)
(wire (pts (xy 375.92 87.63) (xy 356.87 87.63))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid e5c60647-ddbc-48d2-93fa-d17843ae7311)
)
(wire (pts (xy 379.73 144.78) (xy 361.95 144.78))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 10430bde-ea75-4196-b171-7877e51bdb1f)
)
(wire (pts (xy 379.73 147.32) (xy 361.95 147.32))
(stroke (width 0) (type default) (color 0 0 0 0))
(uuid 0e144c75-9654-4c32-9f73-a78ce9a42ee7)
)
(text "M.2 standoffs" (at 160.02 279.4 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f6e426bf-1b91-49e3-acd3-00e6f7e5db73)
)
(label "~{PRSNT2}_X4" (at 17.78 130.81 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b4433851-f43c-40f1-99ab-e2463143bc65)
)
(label "~{PRSNT2}_X1" (at 17.78 148.59 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid fea4c3f8-2ae0-4bec-b7d6-42be24f3e086)
)
(label "DCU0_RX0+" (at 24.13 67.31 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid be4bb1fd-a36d-4c09-9c5c-4d68f6e6f49d)
)
(label "DCU0_RX0-" (at 24.13 69.85 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e75881c9-a1a8-4651-a299-65997b45fa35)
)
(label "~{PRSNT2}_X1" (at 24.13 74.93 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid cc60646a-6e5b-4921-ba8d-4b2d487100b3)
)
(label "DCU0_RX1+" (at 24.13 80.01 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 78d55b85-84a5-40fd-8f41-8170c1e591d7)
)
(label "DCU0_RX1-" (at 24.13 82.55 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 41012d9d-6b89-4d29-bb81-535629337423)
)
(label "~{PRSNT2}_X4" (at 24.13 110.49 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1b7ee0a5-433f-411e-b1f0-ed8f6900980e)
)
(label "~{PRSNT1}" (at 45.72 139.7 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 9b86bcdb-5116-4676-9714-e952eef8c2b7)
)
(label "M2_RX0+" (at 67.31 173.99 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f32779c3-d919-4145-a8f3-d64b38ffbc49)
)
(label "M2_RX0-" (at 67.31 176.53 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e1247df4-25c0-45e7-9fcf-110f6267a440)
)
(label "DCU1_RX0-" (at 67.31 181.61 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 73f1220c-64cf-4166-9017-a55f2e89bbad)
)
(label "DCU1_RX0+" (at 67.31 184.15 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 218a1a93-21a7-43c2-8e36-c3be083489c6)
)
(label "M2_RX1+" (at 67.31 214.63 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 91c12cd1-7c24-4d00-b1c3-3eb1e73b9dd0)
)
(label "M2_RX1-" (at 67.31 217.17 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4a7f7bc7-b118-4c1b-84cc-f3b4e6354322)
)
(label "DCU1_RX1-" (at 67.31 222.25 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid cd67b902-573e-4475-baee-2ce5dfebdb13)
)
(label "DCU1_RX1+" (at 67.31 224.79 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a101e45e-d023-44ac-b306-de54ba53a558)
)
(label "PCIe_HSI0+" (at 88.9 72.39 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8c1021c1-1b15-4af2-bfd2-b44a794050c7)
)
(label "PCIe_HSI0-" (at 88.9 74.93 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 73aea5c1-ba4b-4cf2-8344-4c3cd6aff779)
)
(label "PCIe_HSI1+" (at 88.9 85.09 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 08e04971-3895-45da-a98c-06013243f04e)
)
(label "PCIe_HSI1-" (at 88.9 87.63 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 6d5d5d27-d0d1-4b7f-aa82-2e4783848859)
)
(label "~{PRSNT1}" (at 96.52 31.75 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid f2ef2409-249e-4ab9-a9e4-c9854121d06a)
)
(label "PCIe_REFCLK+" (at 102.87 64.77 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e9dc5c75-34d5-440d-86ae-3f200e3cb1a3)
)
(label "PCIe_REFCLK-" (at 102.87 67.31 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 53623d36-3baf-4cf2-834b-61c8fa2b15d6)
)
(label "DCU0_TX0+" (at 125.73 72.39 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid cdfce6ed-a722-45fd-bbc5-60a26105ae6b)
)
(label "DCU0_TX0-" (at 125.73 74.93 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid c170555f-19c3-4d51-af68-3f3b1e55b91f)
)
(label "DCU0_TX1+" (at 125.73 85.09 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8a9d8b38-7170-43ef-8a84-bafd04863a67)
)
(label "DCU0_TX1-" (at 125.73 87.63 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid c71ca62f-1441-4949-a71a-a0d682ea0933)
)
(label "DCU1_TX0+" (at 144.78 195.58 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1ce00f21-34d3-4c28-b6c0-f7d26cf03a19)
)
(label "DCU1_TX0-" (at 144.78 198.12 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid db7994a3-6fb6-4db9-b171-2689018a76bb)
)
(label "DCU1_TX1+" (at 144.78 226.06 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 52ba3690-0e73-4f0c-8f97-0d1815e943b4)
)
(label "DCU1_TX1-" (at 144.78 228.6 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid d75940be-80b7-412e-a45e-d22afc3f5cfa)
)
(label "PCIe_HSI0+" (at 152.4 52.07 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 43aaf129-e046-44f0-a7f7-0fe3c55291d5)
)
(label "PCIe_HSI0-" (at 152.4 54.61 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 82d8989d-39d7-4a71-9db2-85d1d047a4cb)
)
(label "PCIe_HSI1+" (at 152.4 59.69 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 6706c54d-323a-4214-8765-9009c5543732)
)
(label "PCIe_HSI1-" (at 152.4 62.23 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 683a8f6e-312a-429d-b6a4-b1a148e88e7f)
)
(label "DCU0_RX0+" (at 152.4 92.71 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid acf1b6d5-1ddf-4639-a63a-0f0465125de5)
)
(label "DCU0_RX0-" (at 152.4 95.25 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid eb5080e3-c293-493c-9207-807c822eddbc)
)
(label "DCU0_RX1+" (at 152.4 100.33 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 13c39b90-3c64-452c-a380-56811953026d)
)
(label "DCU0_RX1-" (at 152.4 102.87 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid bd0d3ca1-8456-40b4-b707-e01786359858)
)
(label "CLKREFO+" (at 161.29 241.3 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e7c86097-a2a4-42a0-a533-0da2a2a7281d)
)
(label "CLKREFO-" (at 161.29 243.84 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid bc6389b4-8946-40d7-b788-f698adcdb450)
)
(label "DCU1_RX0-" (at 163.83 203.2 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0caba319-5ca0-499a-80f5-989a57235a63)
)
(label "DCU1_RX0+" (at 163.83 205.74 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 96e5ff0b-3be5-448a-97d9-c2e03047c3ba)
)
(label "M2_REFCLK+" (at 163.83 210.82 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b0df297b-2e03-430a-b710-da77e10038ab)
)
(label "M2_REFCLK-" (at 163.83 213.36 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c929a595-6772-4bb3-9f99-c06e797883db)
)
(label "DCU1_RX1-" (at 163.83 233.68 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid fc8e445a-15d7-4b13-814c-12b12f6f55d3)
)
(label "DCU1_RX1+" (at 163.83 236.22 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid ad74ee15-1d40-4612-abda-1a47172c4fb0)
)
(label "M2_RX0+" (at 175.26 195.58 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d9d0c3f2-c647-4175-86d8-37dbc22a12db)
)
(label "M2_RX0-" (at 175.26 198.12 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 93245c48-d8c6-4843-85b2-88500512d57f)
)
(label "M2_RX1+" (at 175.26 226.06 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid ef36c802-f94a-46bb-9e3b-28038dfc7815)
)
(label "M2_RX1-" (at 175.26 228.6 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 2ff4e9c2-d115-4f40-b351-508115600d66)
)
(label "PCIe_REFCLK+" (at 213.36 88.9 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 6eada9a7-c478-40bb-b6e0-e744622f9173)
)
(label "PCIe_REFCLK-" (at 213.36 96.52 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7540d079-cff4-4eec-b615-d537de1be703)
)
(label "1V8_C" (at 214.63 66.04 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 12f41679-bb5b-4736-9a43-bfdddfc8002b)
)
(label "3V3_C" (at 260.35 66.04 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid fad33264-149c-4372-9516-e728d41cf368)
)
(label "3V3_CA" (at 307.34 66.04 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 530e907c-96b8-4de3-a40b-c960a3f536a7)
)
(label "DCU0_TX0+" (at 311.15 20.32 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 46a8e930-afed-4780-b160-a5624bd05290)
)
(label "DCU0_TX0-" (at 311.15 22.86 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b2a892c3-e158-4048-900a-e7ac5b690e57)
)
(label "DCU0_RX0+" (at 311.15 25.4 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 24aac2b4-bf7a-435a-8a6c-b5410b026371)
)
(label "DCU0_RX0-" (at 311.15 27.94 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1ccb51ca-efd6-4542-86f1-60afbea615f2)
)
(label "DCU0_TX1+" (at 311.15 30.48 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c18a3049-fced-45d2-beb3-8faa10a381a4)
)
(label "DCU0_TX1-" (at 311.15 33.02 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c047115e-fd29-4510-ad47-1927c94f1438)
)
(label "DCU0_RX1+" (at 311.15 35.56 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 649677f3-01f7-4f69-856b-4630bf75a227)
)
(label "DCU0_RX1-" (at 311.15 38.1 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4b26d498-ba05-425d-be7e-f7f6e4c6b763)
)
(label "DCU0_REFCLK+" (at 311.15 40.64 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid ef192915-ba55-4a0e-92b8-b7223f819713)
)
(label "DCU0_REFCLK-" (at 311.15 43.18 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f684e57d-27e7-449d-87ad-b962c91f8e8b)
)
(label "DCU1_TX0+" (at 311.15 226.06 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 6a2463c6-77a9-44f0-95a1-897554f19bdf)
)
(label "DCU1_TX0-" (at 311.15 228.6 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid bff337e5-71ab-49ec-a94b-cca73982cf4d)
)
(label "DCU1_RX0+" (at 311.15 231.14 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8a8dadd3-53b0-476a-95ce-3bcda8eb4b76)
)
(label "DCU1_RX0-" (at 311.15 233.68 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid bc0f3839-ae3a-4bd5-bb93-3cba56dcf465)
)
(label "DCU1_TX1+" (at 311.15 236.22 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 84f6abf3-9491-47a1-8ce6-8ef234fde0f2)
)
(label "DCU1_TX1-" (at 311.15 238.76 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 54eaa920-335b-4a83-8c4b-f45f08c6d31f)
)
(label "DCU1_RX1+" (at 311.15 241.3 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid e4c3aa09-a07a-4a98-8a14-7fc3f05dec30)
)
(label "DCU1_RX1-" (at 311.15 243.84 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c6f790a3-f15b-46e8-9ae8-cc300fe26f0b)
)
(label "DCU1_REFCLK+" (at 311.15 246.38 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 5b872a6d-734e-43b7-8c18-84ab0b563661)
)
(label "DCU1_REFCLK-" (at 311.15 248.92 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a678cb65-f3d8-4030-ad75-b0bd149e093b)
)
(label "PCIe_REFCLK+" (at 312.42 90.17 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid bf1448db-9751-4aa0-91a8-b6c4411db1f0)
)
(label "PCIe_REFCLK-" (at 312.42 92.71 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 0e4372a0-6a38-4a62-980e-0dfab28c2b42)
)
(label "DCU1_REFCLK+" (at 313.69 144.78 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b364ae79-120b-41b1-86cf-e2a48192c9be)
)
(label "DCU1_REFCLK-" (at 313.69 147.32 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 348f262c-c927-41a8-b65b-5d1fb4b0773a)
)
(label "M2_REFCLK+" (at 313.69 154.94 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b212a14b-df7c-481d-a7bc-3fc1a998765b)
)
(label "M2_REFCLK-" (at 313.69 157.48 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 7062e0be-a537-4033-8dfa-e80891b66fbf)
)
(label "3V3_C" (at 331.47 62.23 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 5661ca7e-abc8-4e13-8be0-21b8c60664eb)
)
(label "1V8_C" (at 361.95 62.23 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 71a1179b-30e3-478d-9686-85848d6782d9)
)
(label "3V3_C" (at 361.95 64.77 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8c9ae5dd-4a93-4373-baeb-dd5cf24a6270)
)
(label "DCU0_REFCLK+" (at 375.92 85.09 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 0608d75f-d708-49d0-85a8-7b4a8b1d8cd8)
)
(label "DCU0_REFCLK-" (at 375.92 87.63 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 810e6d54-b24e-4f7d-8fe6-b749717de425)
)
(label "CLKAUXO+" (at 375.92 100.33 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid f6ab5e51-884e-4959-8dcf-7d127150eca4)
)
(label "CLKAUXO-" (at 375.92 102.87 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8336af1c-a57b-44a1-a4d7-ed1a2aeee684)
)
(label "CLKREFO+" (at 375.92 107.95 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 084c4e27-5ef0-4a68-95e4-03e05a5453ec)
)
(label "CLKREFO-" (at 375.92 110.49 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 1afa1ce6-5328-45dd-9af0-2bc509d11436)
)
(label "M2_REFCLK+" (at 379.73 144.78 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d8a1254d-34ae-442c-9468-c87a17907f65)
)
(label "M2_REFCLK-" (at 379.73 147.32 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d8a7e335-61c6-46fa-b241-448c56c2891a)
)
(label "CLKAUXO+" (at 381 154.94 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid ad4b75a5-bd0b-40f7-b7c4-6102b7215016)
)
(label "CLKAUXO-" (at 381 157.48 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 715deedd-4398-483c-85cf-1161e071ef05)
)
(label "CLKREFO+" (at 381 160.02 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e279a407-3577-4b75-bee7-9bc209ce91fa)
)
(label "CLKREFO-" (at 381 162.56 180)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 2ec10efc-c891-407d-9661-33d0b3488336)
)
(global_label "PCIe_~{WAKE}" (shape output) (at 30.48 57.15 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 11fe975a-bfb9-40c6-acf7-a62d6c5da7d9)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "PCIe_12V" (shape output) (at 33.02 34.29 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b425f8a2-2ee5-4a22-88c2-e5e829178f06)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "PCIe_12V" (shape output) (at 88.9 34.29 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cf57cc42-1c78-46c5-88ab-d67cc38bd8c5)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "PCIe_12V" (shape input) (at 102.87 21.59 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid b2bcd1cc-52d3-4ebc-8be6-7c9ef28359c7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "~{PERST}" (shape output) (at 109.22 57.15 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid db915ff5-5158-4fea-bbef-2a2fe708bae9)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_SDIO_CLK" (shape input) (at 170.18 170.18 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid ba2af107-1e41-4d35-aba1-84f1a6d42c36)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_SDIO_CMD" (shape bidirectional) (at 170.18 172.72 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e5a6c4f0-eab1-47f5-81a2-c9992a3314a2)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_SDIO_D0" (shape bidirectional) (at 170.18 175.26 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid a8b6e853-d6ec-4fcf-8577-ddbe3a617d73)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_SDIO_D1" (shape bidirectional) (at 170.18 177.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 56934a6c-10c9-4719-bac3-c36e295392f1)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_SDIO_D2" (shape bidirectional) (at 170.18 180.34 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0a68eb59-37cd-4a87-ad4b-99597b53ff6c)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_SDIO_D3" (shape bidirectional) (at 170.18 182.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 0cfab74c-0ec9-4913-ab7e-619602278212)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_TXD" (shape input) (at 233.68 185.42 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 58474696-e388-4541-b73a-357749055b26)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_RXD" (shape output) (at 233.68 190.5 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4b10359a-9cb4-451e-8cde-9caeeab0457d)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_RTS" (shape bidirectional) (at 233.68 193.04 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e3ab7359-7d4f-43eb-be82-6302e0664cb5)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_CTS" (shape bidirectional) (at 233.68 195.58 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 425e3182-900c-4abf-94f3-007bc3b824c3)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "CLK_SDA" (shape bidirectional) (at 260.35 110.49 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 668bdce8-f6a8-4714-81df-a845fab88570)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "CLK_SCL" (shape bidirectional) (at 260.35 113.03 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 31fdd4a2-0a83-4cab-9f59-1c8f6e957d91)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "M2_CLKSEL" (shape input) (at 313.69 167.64 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 546291d9-d064-466d-aa52-39a28359a46f)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "CLK_SD_OE" (shape bidirectional) (at 323.85 110.49 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 606b13ca-4979-4302-9fba-fe01c86ff898)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "FABRIC_REFCLK" (shape output) (at 374.65 92.71 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ac7eb5bc-36a5-41c4-917b-7da0903a97be)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(symbol (lib_id "power:+1V8") (at 212.09 54.61 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000062397e98)
(property "Reference" "#PWR095" (id 0) (at 212.09 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+1V8" (id 1) (at 212.471 50.2158 0))
(property "Footprint" "" (id 2) (at 212.09 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 212.09 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid bb406daa-cfa9-494f-a828-6c50203361de))
)
(symbol (lib_id "power:+3V3") (at 231.14 152.4 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000657ef089)
(property "Reference" "#PWR099" (id 0) (at 231.14 156.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 231.521 148.0058 0))
(property "Footprint" "" (id 2) (at 231.14 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 231.14 152.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 81ceafd5-e969-46ff-a1b8-56f82ee1a85b))
)
(symbol (lib_id "power:+3V3") (at 241.3 54.61 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000062375601)
(property "Reference" "#PWR097" (id 0) (at 241.3 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 241.681 50.2158 0))
(property "Footprint" "" (id 2) (at 241.3 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 241.3 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f75a9879-367f-4fdc-af25-46c8390c9f84))
)
(symbol (lib_id "power:+3V3") (at 242.57 215.9 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000065cacbde)
(property "Reference" "#PWR0101" (id 0) (at 238.76 215.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 245.8212 216.281 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 242.57 215.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 242.57 215.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 05049ae5-a992-4a49-89f7-0971411ef434))
)
(symbol (lib_id "power:+1V8") (at 264.16 100.33 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c329208)
(property "Reference" "#PWR0100" (id 0) (at 264.16 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+1V8" (id 1) (at 264.541 95.9358 0))
(property "Footprint" "" (id 2) (at 264.16 100.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 264.16 100.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 27f536ee-10cb-4f18-a197-205c960ba430))
)
(symbol (lib_id "power:+3V3") (at 330.2 132.08 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c9fa52c)
(property "Reference" "#PWR0255" (id 0) (at 330.2 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (id 1) (at 330.581 127.6858 0))
(property "Footprint" "" (id 2) (at 330.2 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 330.2 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f8eb4ed8-bd99-471f-b2bf-99edbd3604fc))
)
(symbol (lib_id "Connector:Conn_01x01_Male") (at 167.64 270.51 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c7023eb)
(property "Reference" "J8" (id 0) (at 168.3258 268.732 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "9774025151R" (id 1) (at 168.3258 271.0434 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Custom Parts:9774025151R" (id 2) (at 167.64 270.51 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 167.64 270.51 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 458f9ca4-c7e9-40f6-8791-c886914f0a0c))
)
(symbol (lib_id "Connector:Conn_01x01_Male") (at 167.64 275.59 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c751c23)
(property "Reference" "J14" (id 0) (at 168.3258 273.812 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "9774025151R" (id 1) (at 168.3258 276.1234 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Custom Parts:9774025151R" (id 2) (at 167.64 275.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 167.64 275.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d5480e54-17d7-494d-a1cb-af907203144f))
)
(symbol (lib_id "power:PWR_FLAG") (at 204.47 54.61 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000623d1167)
(property "Reference" "#FLG016" (id 0) (at 204.47 52.705 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 204.47 50.1904 0))
(property "Footprint" "" (id 2) (at 204.47 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 204.47 54.61 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ef16a6df-e905-4ce5-bb10-fac869122442))
)
(symbol (lib_id "power:PWR_FLAG") (at 267.97 63.5 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c260235)
(property "Reference" "#FLG017" (id 0) (at 267.97 61.595 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 267.97 59.0804 0))
(property "Footprint" "" (id 2) (at 267.97 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 267.97 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e15bb5c7-c965-4568-ba9f-3ba895a56ba6))
)
(symbol (lib_id "power:PWR_FLAG") (at 298.45 63.5 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2857b2)
(property "Reference" "#FLG018" (id 0) (at 298.45 61.595 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 298.45 59.0804 0))
(property "Footprint" "" (id 2) (at 298.45 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 298.45 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 61935fe1-62a8-47b2-b8e4-178816ed99dd))
)
(symbol (lib_id "power:GND") (at 35.56 116.84 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0aee0f)
(property "Reference" "#PWR086" (id 0) (at 35.56 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 35.687 121.2342 0))
(property "Footprint" "" (id 2) (at 35.56 116.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 35.56 116.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ca5fd9cc-26e4-44ff-b88a-adf4c53c6f28))
)
(symbol (lib_id "power:GND") (at 86.36 116.84 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0af1d8)
(property "Reference" "#PWR088" (id 0) (at 86.36 123.19 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 86.487 121.2342 0))
(property "Footprint" "" (id 2) (at 86.36 116.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 86.36 116.84 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1897246e-1218-49e5-b0fb-ddf93d4db005))
)
(symbol (lib_id "power:GND") (at 97.79 184.15 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c5e2fa8)
(property "Reference" "#PWR090" (id 0) (at 97.79 190.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 97.917 188.5442 0))
(property "Footprint" "" (id 2) (at 97.79 184.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 97.79 184.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 64b36f97-6cc7-4b12-a542-306b53ccd453))
)
(symbol (lib_id "power:GND") (at 97.79 224.79 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c642e2a)
(property "Reference" "#PWR091" (id 0) (at 97.79 231.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 97.917 229.1842 0))
(property "Footprint" "" (id 2) (at 97.79 224.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 97.79 224.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f1afb927-ed1f-4979-949d-4f43cd972704))
)
(symbol (lib_id "power:GND") (at 133.35 21.59 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c20cd42)
(property "Reference" "#PWR089" (id 0) (at 139.7 21.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 136.6012 21.463 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 133.35 21.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 133.35 21.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a2a94641-b681-4153-aad0-498429ba5035))
)
(symbol (lib_id "power:GND") (at 158.75 273.05 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c702223)
(property "Reference" "#PWR0258" (id 0) (at 152.4 273.05 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 154.3558 273.177 0))
(property "Footprint" "" (id 2) (at 158.75 273.05 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 158.75 273.05 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d81feeac-7f86-402c-b7f0-232e1bb1938e))
)
(symbol (lib_id "power:GND") (at 177.8 254 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000655a5c9a)
(property "Reference" "#PWR087" (id 0) (at 177.8 260.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 177.927 258.3942 0))
(property "Footprint" "" (id 2) (at 177.8 254 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 177.8 254 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 192d3de7-f59b-4df4-aa75-42e5b9a4b64c))
)
(symbol (lib_id "power:GND") (at 182.88 62.23 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c440b80)
(property "Reference" "#PWR092" (id 0) (at 182.88 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 183.007 66.6242 0))
(property "Footprint" "" (id 2) (at 182.88 62.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 182.88 62.23 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b5cdc690-730f-4746-81fa-575ce54b4982))
)
(symbol (lib_id "power:GND") (at 182.88 102.87 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c465b3e)
(property "Reference" "#PWR093" (id 0) (at 182.88 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 183.007 107.2642 0))
(property "Footprint" "" (id 2) (at 182.88 102.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 182.88 102.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d260f865-caa7-4fc7-9598-a63915afb76b))
)
(symbol (lib_id "power:GND") (at 203.2 256.54 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005cc6769b)
(property "Reference" "#PWR0257" (id 0) (at 203.2 262.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 203.327 260.9342 0))
(property "Footprint" "" (id 2) (at 203.2 256.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 203.2 256.54 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid aad7ed9c-3800-42f2-81d9-3b6868e93a21))
)
(symbol (lib_id "power:GND") (at 222.25 77.47 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006246401d)
(property "Reference" "#PWR096" (id 0) (at 222.25 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 222.377 81.8642 0))
(property "Footprint" "" (id 2) (at 222.25 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 222.25 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 83b5d340-cc40-4097-b8f9-c99bb3be21b4))
)
(symbol (lib_id "power:GND") (at 228.6 254 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000657ad7c1)
(property "Reference" "#PWR094" (id 0) (at 228.6 260.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 228.727 258.3942 0))
(property "Footprint" "" (id 2) (at 228.6 254 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 228.6 254 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ee8b8731-6700-4db1-8ffc-838512a42efa))
)
(symbol (lib_id "power:GND") (at 250.19 77.47 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c25a115)
(property "Reference" "#PWR098" (id 0) (at 250.19 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 250.317 81.8642 0))
(property "Footprint" "" (id 2) (at 250.19 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 250.19 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c973daad-5e8b-4ee6-9a23-2bd668501f3a))
)
(symbol (lib_id "power:GND") (at 287.02 85.09 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 190b431b-2749-4498-a957-c849987b7043)
(property "Reference" "#PWR0272" (id 0) (at 287.02 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 286.893 81.2038 0))
(property "Footprint" "" (id 2) (at 287.02 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 287.02 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b896feb5-2527-4835-bd3c-625b2fc83b02))
)
(symbol (lib_id "power:GND") (at 287.02 100.33 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2ae626)
(property "Reference" "#PWR0102" (id 0) (at 287.02 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 287.147 104.7242 0))
(property "Footprint" "" (id 2) (at 287.02 100.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 287.02 100.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6281c736-c3e9-48f8-ae7a-949cf52f2f6d))
)
(symbol (lib_id "power:GND") (at 303.53 132.08 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ca69a18)
(property "Reference" "#PWR0256" (id 0) (at 297.18 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 300.2788 132.207 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 303.53 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 303.53 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid aa4d568c-ec11-437d-bee6-9f479b0cb7b2))
)
(symbol (lib_id "power:GND") (at 313.69 97.79 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c33d936)
(property "Reference" "#PWR0103" (id 0) (at 307.34 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 310.4388 97.917 90)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 313.69 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 313.69 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6d3a650b-543b-4b13-a776-b3e03205ae4f))
)
(symbol (lib_id "power:GND") (at 326.39 180.34 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c78e250)
(property "Reference" "#PWR0207" (id 0) (at 326.39 186.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 326.517 184.7342 0))
(property "Footprint" "" (id 2) (at 326.39 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 326.39 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0c8dc288-8a6a-4d80-ad9b-cc2b9e77a5a9))
)
(symbol (lib_id "power:GND") (at 344.17 125.73 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2eb7c6)
(property "Reference" "#PWR0104" (id 0) (at 344.17 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 344.297 130.1242 0))
(property "Footprint" "" (id 2) (at 344.17 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 344.17 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid eee233d6-b492-4dfb-8096-ef1336f7d090))
)
(symbol (lib_id "Device:R_Small") (at 97.79 57.15 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000062339b3d)
(property "Reference" "R47" (id 0) (at 97.79 52.1716 90))
(property "Value" "1k" (id 1) (at 97.79 54.483 90))
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 97.79 57.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 97.79 57.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 94317625-e970-49ee-ab5b-7e608bfd2229))
(pin "2" (uuid ba26dd61-c1e7-4efe-88bc-6f93813d6c48))
)
(symbol (lib_id "Device:R_Small") (at 111.76 21.59 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0eccc8)
(property "Reference" "R48" (id 0) (at 111.76 16.6116 90))
(property "Value" "4.7k" (id 1) (at 111.76 18.923 90))
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 111.76 21.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 111.76 21.59 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid be63a06b-1817-4116-aec8-e67b6b68d8d9))
(pin "2" (uuid 4aceab90-d68b-4c18-b846-8be44396bf54))
)
(symbol (lib_id "Device:R_Small") (at 228.6 92.71 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2d6077)
(property "Reference" "R49" (id 0) (at 230.0986 91.5416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "DNP" (id 1) (at 230.0986 93.853 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 228.6 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 228.6 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d740da64-39a9-4f31-a3ae-b1a88def8a1c))
(pin "2" (uuid 0c600e9f-e4df-42f3-a4f4-8053f9ac8e62))
)
(symbol (lib_id "Device:R_Small") (at 237.49 215.9 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000065caca89)
(property "Reference" "R1" (id 0) (at 237.49 210.9216 90))
(property "Value" "10k" (id 1) (at 237.49 213.233 90))
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 237.49 215.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 237.49 215.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 21323a8c-b62b-4282-93fc-c62c53a00c02))
(pin "2" (uuid 3f58d691-bfb5-4dad-bb9c-027c4270c04e))
)
(symbol (lib_id "Device:R_Small") (at 264.16 106.68 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c3016f7)
(property "Reference" "R50" (id 0) (at 265.6586 105.5116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2.2k" (id 1) (at 265.6586 107.823 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 264.16 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 264.16 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2aed35a9-4ac6-4692-b105-6467e6f4f013))
(pin "2" (uuid 0e8c02fb-23f0-4a0c-8dc2-0fee25b960ca))
)
(symbol (lib_id "Device:R_Small") (at 273.05 106.68 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c30175b)
(property "Reference" "R51" (id 0) (at 274.5486 105.5116 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2.2k" (id 1) (at 274.5486 107.823 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 273.05 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 273.05 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 236e0ede-10a6-4495-a4c0-aef4059f9937))
(pin "2" (uuid 8f684f97-9028-4c87-aa13-f1524afd7c0a))
)
(symbol (lib_id "Device:R_Small") (at 284.48 66.04 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2632b8)
(property "Reference" "R52" (id 0) (at 284.48 61.0616 90))
(property "Value" "2.2" (id 1) (at 284.48 63.373 90))
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 284.48 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 284.48 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1086cc51-25e7-4ac5-866c-0c88b99a2129))
(pin "2" (uuid 3008ca8c-d447-4783-b604-e9476390cccd))
)
(symbol (lib_id "Device:R_Small") (at 318.77 173.99 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c8f7d85)
(property "Reference" "R53" (id 0) (at 320.04 172.72 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "10k" (id 1) (at 320.04 175.26 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 318.77 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 318.77 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3d2eae72-d578-4253-9162-d1070e0860a9))
(pin "2" (uuid 135cf74f-bbbe-4a08-99a0-f9210ab89895))
)
(symbol (lib_id "Device:R_Small") (at 320.04 97.79 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c33770a)
(property "Reference" "R57" (id 0) (at 316.23 96.52 90))
(property "Value" "10k" (id 1) (at 323.85 96.52 90))
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 320.04 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 320.04 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 32fc7a48-9744-4f5e-8818-1a1ae2b963dc))
(pin "2" (uuid fb25435e-09ef-4565-965b-21749ff9dc34))
)
(symbol (lib_id "Device:R_Small") (at 326.39 173.99 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c83c987)
(property "Reference" "R54" (id 0) (at 330.2 172.72 0))
(property "Value" "10k" (id 1) (at 330.2 175.26 0))
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 326.39 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 326.39 173.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9c0fb68c-60d0-422f-aae4-1b286e308686))
(pin "2" (uuid c367ef79-86d1-4091-b164-762995a97564))
)
(symbol (lib_id "Device:R_Small") (at 369.57 92.71 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c376e48)
(property "Reference" "R58" (id 0) (at 365.76 90.17 90))
(property "Value" "0R" (id 1) (at 372.11 90.17 90))
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (id 2) (at 369.57 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 369.57 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 71ec38b0-d385-487a-a786-f072d96d6bb4))
(pin "2" (uuid 4bf07dbd-1edf-4b25-a93f-7fc6ced8c15d))
)
(symbol (lib_id "Device:LED_Small") (at 125.73 21.59 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0ef245)
(property "Reference" "D13" (id 0) (at 125.73 16.383 0))
(property "Value" "GREEN" (id 1) (at 125.73 18.6944 0))
(property "Footprint" "LED_SMD:LED_0805_2012Metric" (id 2) (at 125.73 21.59 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 125.73 21.59 90)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 707353b0-7b99-41b1-89f8-11cf8231efa7))
(pin "2" (uuid 2fe49ede-8c55-4719-80ba-94c5fea07fba))
)
(symbol (lib_id "Device:C_Small") (at 110.49 72.39 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0bf7e6)
(property "Reference" "C103" (id 0) (at 110.49 67.31 90))
(property "Value" "100n" (id 1) (at 110.49 77.47 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 110.49 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 110.49 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9cde56ec-2cab-4ad7-b3c9-d42d6c564e44))
(pin "2" (uuid b90f03c9-5ea0-4caf-9260-8887e87d9762))
)
(symbol (lib_id "Device:C_Small") (at 110.49 74.93 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0c02e9)
(property "Reference" "C104" (id 0) (at 110.49 69.85 90))
(property "Value" "100n" (id 1) (at 110.49 80.01 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 110.49 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 110.49 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 642859ce-b9e7-4ebe-994b-6b3627cf860c))
(pin "2" (uuid 5a0a4f4e-647d-48f9-a978-9f13c7a95780))
)
(symbol (lib_id "Device:C_Small") (at 110.49 85.09 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0c2842)
(property "Reference" "C105" (id 0) (at 110.49 80.01 90))
(property "Value" "100n" (id 1) (at 110.49 90.17 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 110.49 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 110.49 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 09effc37-d5b5-4a12-98a2-8781f33b7039))
(pin "2" (uuid 948e77b0-9c1b-4159-b32c-32f884472f96))
)
(symbol (lib_id "Device:C_Small") (at 110.49 87.63 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0c2849)
(property "Reference" "C106" (id 0) (at 110.49 82.55 90))
(property "Value" "100n" (id 1) (at 110.49 92.71 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 110.49 87.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 110.49 87.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f130071a-3b68-44db-9c51-271ae52c1664))
(pin "2" (uuid d1c724ea-f133-4a51-b67d-f593f315d784))
)
(symbol (lib_id "Device:C_Small") (at 160.02 195.58 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c501992)
(property "Reference" "C99" (id 0) (at 160.02 190.5 90))
(property "Value" "100n" (id 1) (at 160.02 200.66 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 160.02 195.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 160.02 195.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ddc260bb-6548-41d8-a279-6a9595b0ea98))
(pin "2" (uuid c081359c-b5a3-46a8-a234-8886568ff04c))
)
(symbol (lib_id "Device:C_Small") (at 160.02 198.12 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c501998)
(property "Reference" "C100" (id 0) (at 160.02 193.04 90))
(property "Value" "100n" (id 1) (at 160.02 203.2 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 160.02 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 160.02 198.12 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 33e2d746-5568-4e93-aed0-b37bddf4d5a2))
(pin "2" (uuid 065113d9-b457-46a6-ba40-ccfd0a59c7e0))
)
(symbol (lib_id "Device:C_Small") (at 160.02 226.06 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c5965f0)
(property "Reference" "C101" (id 0) (at 160.02 220.98 90))
(property "Value" "100n" (id 1) (at 160.02 231.14 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 160.02 226.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 160.02 226.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid eac11f6a-9a0c-41d4-85cb-d856175a425a))
(pin "2" (uuid 3b50c82a-cb41-4087-9c1b-cd8d2c3b8eef))
)
(symbol (lib_id "Device:C_Small") (at 160.02 228.6 270) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c5965f6)
(property "Reference" "C102" (id 0) (at 160.02 223.52 90))
(property "Value" "100n" (id 1) (at 160.02 233.68 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 160.02 228.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 160.02 228.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 71d177db-491f-4ebb-969d-6df0193f61a4))
(pin "2" (uuid 91119e5d-e62b-4938-b573-16a3618aa7d3))
)
(symbol (lib_id "Device:C_Small") (at 212.09 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000623ee239)
(property "Reference" "C107" (id 0) (at 214.4268 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10µ" (id 1) (at 214.4268 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 212.09 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 212.09 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4dc1ff50-a962-4378-848f-8b1e5e0833f1))
(pin "2" (uuid 4893141e-1170-4289-a24b-784cd49a110e))
)
(symbol (lib_id "Device:C_Small") (at 222.25 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006240b6d8)
(property "Reference" "C108" (id 0) (at 224.5868 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "470n" (id 1) (at 224.5868 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 222.25 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 222.25 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 35e84eb2-a5e5-4829-ac84-6aa6ace79424))
(pin "2" (uuid e0f15f79-e2cf-4d82-84d8-636918d7a18a))
)
(symbol (lib_id "Device:C_Small") (at 231.14 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c9fd15e)
(property "Reference" "C259" (id 0) (at 233.4768 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "470n" (id 1) (at 233.4768 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 231.14 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 231.14 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid adea0809-4b6c-4129-abc1-8a43a6182d64))
(pin "2" (uuid 97ee36b1-3b7b-4b94-97bb-220074891a3c))
)
(symbol (lib_id "Device:C_Small") (at 241.3 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c23a6cf)
(property "Reference" "C109" (id 0) (at 243.6368 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10µ" (id 1) (at 243.6368 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 241.3 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 241.3 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f3520e35-1f8c-408c-84a7-d980977a5d9c))
(pin "2" (uuid 1f8a25da-0f8a-4d3a-9656-2f5236fa8646))
)
(symbol (lib_id "Device:C_Small") (at 250.19 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c23a747)
(property "Reference" "C110" (id 0) (at 252.5268 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "470n" (id 1) (at 252.5268 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 250.19 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 250.19 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8ba204c3-4253-4ed8-9c1f-9658c32f035b))
(pin "2" (uuid f2a40c89-ccb3-49fd-89c6-27e4f52db879))
)
(symbol (lib_id "Device:C_Small") (at 259.08 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c23a7a1)
(property "Reference" "C112" (id 0) (at 261.4168 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "470n" (id 1) (at 261.4168 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 259.08 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 259.08 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 241a73e8-a2ea-4aea-b66b-f05d4759a6e8))
(pin "2" (uuid bd6c525b-8d61-422b-b2ca-55922655fc7d))
)
(symbol (lib_id "Device:C_Small") (at 267.97 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c23a7e7)
(property "Reference" "C113" (id 0) (at 270.3068 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "470n" (id 1) (at 270.3068 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 267.97 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 267.97 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b940e9d1-c39f-483f-aac8-47ac285edb2a))
(pin "2" (uuid a297a09c-609a-491f-9ae1-588101d025c7))
)
(symbol (lib_id "Device:C_Small") (at 276.86 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2543ff)
(property "Reference" "C114" (id 0) (at 279.1968 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "470n" (id 1) (at 279.1968 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 276.86 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 276.86 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 14549f1b-9564-4887-9387-a09351e4c9e4))
(pin "2" (uuid 54939aa6-7fb7-4955-9e1b-5bd84f135cc8))
)
(symbol (lib_id "Device:C_Small") (at 280.67 93.98 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2b299f)
(property "Reference" "C117" (id 0) (at 274.32 92.71 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "DNP" (id 1) (at 274.32 95.25 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 280.67 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 280.67 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 487bc2f1-d382-4fb8-90c7-35b793302916))
(pin "2" (uuid 068aedd8-ee0c-4b33-93a0-17ba82c3e11b))
)
(symbol (lib_id "Device:C_Small") (at 289.56 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c273942)
(property "Reference" "C116" (id 0) (at 291.8968 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "470n" (id 1) (at 291.8968 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 289.56 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 289.56 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 63793c75-2d83-41c8-9810-7c3a48f6206b))
(pin "2" (uuid 7d3dbc42-070f-4c90-bcfb-c44bddb9e3c2))
)
(symbol (lib_id "Device:C_Small") (at 293.37 93.98 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2b2a15)
(property "Reference" "C115" (id 0) (at 299.72 92.71 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "DNP" (id 1) (at 299.72 95.25 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 293.37 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 293.37 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3c4e5d1a-5611-4984-80ac-95197b302898))
(pin "2" (uuid f2a8f81d-26e5-47fb-85d0-ed700b2536d1))
)
(symbol (lib_id "Device:C_Small") (at 298.45 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2739b3)
(property "Reference" "C118" (id 0) (at 300.7868 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "100n" (id 1) (at 300.7868 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 298.45 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 298.45 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6359e9db-6b33-411c-9d8b-6e72d123cbae))
(pin "2" (uuid a2bbb098-e940-4771-9c8a-5494c764878d))
)
(symbol (lib_id "Device:C_Small") (at 320.04 132.08 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ca4427d)
(property "Reference" "C111" (id 0) (at 316.23 129.54 90))
(property "Value" "470n" (id 1) (at 323.85 129.54 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 320.04 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 320.04 132.08 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f0887999-f8d5-4094-a0c6-9507d03821d2))
(pin "2" (uuid 2953b686-2138-4312-8a10-5512dde5a6b3))
)
(symbol (lib_id "Device:C_Small") (at 320.04 137.16 270) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c836f58)
(property "Reference" "C257" (id 0) (at 316.23 139.7 90))
(property "Value" "470n" (id 1) (at 323.85 139.7 90))
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 320.04 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 320.04 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2255ccb0-5ca7-4b08-b9a1-0f3cf5ac96b4))
(pin "2" (uuid beab6620-7bb5-42e2-bb12-4a0c81378dad))
)
(symbol (lib_id "Device:Ferrite_Bead_Small") (at 212.09 60.96 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006237b8c5)
(property "Reference" "FB1" (id 0) (at 214.63 59.7916 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "200R@100MHz" (id 1) (at 214.63 62.103 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Inductor_SMD:L_0603_1608Metric" (id 2) (at 213.868 60.96 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 212.09 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 800145ae-976c-485b-acb3-a584b998e78b))
(pin "2" (uuid a691c7ba-b656-49f4-b091-5bad057572ca))
)
(symbol (lib_id "Device:Ferrite_Bead_Small") (at 241.3 60.96 180) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c238099)
(property "Reference" "FB2" (id 0) (at 243.84 59.7916 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "200R@100MHz" (id 1) (at 243.84 62.103 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Inductor_SMD:L_0603_1608Metric" (id 2) (at 243.078 60.96 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 241.3 60.96 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 93b6b93e-7200-4195-b648-932421cc2c8b))
(pin "2" (uuid cb623eb6-b1e5-40c9-bf16-0430d2ef392b))
)
(symbol (lib_id "Jumper:Jumper_3_Open") (at 30.48 139.7 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0c771e)
(property "Reference" "JP1" (id 0) (at 28.2956 138.5316 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "PRSNT" (id 1) (at 28.2956 140.843 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (id 2) (at 30.48 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 30.48 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 9b604132-de55-44ea-9bd9-549d6e556a49))
(pin "2" (uuid 71cbc39d-0039-4375-b958-601426d24601))
(pin "3" (uuid b5250a18-a547-4cf5-a00a-3730260c893f))
)
(symbol (lib_id "Device:Crystal_GND24") (at 287.02 90.17 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c2ae518)
(property "Reference" "Y1" (id 0) (at 284.226 85.6488 0))
(property "Value" "25MHz" (id 1) (at 290.83 85.6742 0))
(property "Footprint" "Crystal:Crystal_SMD_SeikoEpson_TSX3225-4Pin_3.2x2.5mm" (id 2) (at 287.02 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 287.02 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b65f10ef-9385-4442-92cb-918c4347af1f))
(pin "2" (uuid a3f9288d-686b-40f3-93d9-b07732dd7c19))
(pin "3" (uuid fe821506-e5ad-42b2-90cf-2c6b766338f3))
(pin "4" (uuid 17aded94-347b-44b4-b714-e1e89133ddf3))
)
(symbol (lib_id "Power_Protection:TPD4E02B04DQA") (at 88.9 179.07 90) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000627e2afc)
(property "Reference" "DA1" (id 0) (at 87.63 168.4782 90))
(property "Value" "TPD4E02B04DQA" (id 1) (at 87.63 170.7896 90))
(property "Footprint" "Package_SON:USON-10_2.5x1.0mm_P0.5mm" (id 2) (at 88.9 186.69 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/tpd4e02b04.pdf" (id 3) (at 80.645 182.245 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "3" (uuid 16a35425-3adb-462b-a9a7-7b2b6b13ea42))
(pin "1" (uuid b6847d5b-fd02-483d-bb8e-77682993a68d))
(pin "10" (uuid 668fb8b0-290a-4464-b807-4d3cc1443e81))
(pin "2" (uuid 0e9085f8-fd11-4435-8c1e-023d264f0ef7))
(pin "4" (uuid 8d4ee485-05a4-457c-b484-c2de8460906a))
(pin "5" (uuid 378a9c05-a237-4f08-ae49-812583c6c085))
(pin "6" (uuid a46e00b6-8489-4504-8e9a-1dca1f9df996))
(pin "7" (uuid 0a43d6d0-3d28-422f-a3c9-067e709078fe))
(pin "8" (uuid d91a83b0-672c-40fb-ba6f-ff6a8a0d4a18))
(pin "9" (uuid a031ae99-1534-46ca-b425-83eb0b79d825))
)
(symbol (lib_id "Power_Protection:TPD4E02B04DQA") (at 88.9 219.71 90) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000062a377e8)
(property "Reference" "DA2" (id 0) (at 87.63 209.1182 90))
(property "Value" "TPD4E02B04DQA" (id 1) (at 87.63 211.4296 90))
(property "Footprint" "Package_SON:USON-10_2.5x1.0mm_P0.5mm" (id 2) (at 88.9 227.33 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/tpd4e02b04.pdf" (id 3) (at 80.645 222.885 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "3" (uuid 25f503f9-75dc-43fe-8cef-aca98cf966fa))
(pin "1" (uuid e18e6252-9507-46dd-ab8f-d590cff807a7))
(pin "10" (uuid b9d821f5-df38-4d29-8aeb-d44415bcfdac))
(pin "2" (uuid 5c785bf2-0211-4efc-b721-c2908aac2aad))
(pin "4" (uuid 945115ec-7b18-4696-b6c7-bfd95b2097c8))
(pin "5" (uuid 1912dde1-ae47-487a-99c8-e0f666bf064e))
(pin "6" (uuid c0ad1300-1266-48dd-82fc-9b5ad0e8c549))
(pin "7" (uuid b492ddc3-cf22-44bc-b978-3b60c50cb76f))
(pin "8" (uuid 4654369c-b92b-4739-80b1-e817eb6511da))
(pin "9" (uuid 9eb98986-608b-4e5c-b5f6-7db1f1584288))
)
(symbol (lib_id "Power_Protection:TPD4E02B04DQA") (at 173.99 57.15 90) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000062bf6d06)
(property "Reference" "DA3" (id 0) (at 172.72 46.5582 90))
(property "Value" "TPD4E02B04DQA" (id 1) (at 172.72 48.8696 90))
(property "Footprint" "Package_SON:USON-10_2.5x1.0mm_P0.5mm" (id 2) (at 173.99 64.77 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/tpd4e02b04.pdf" (id 3) (at 165.735 60.325 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "3" (uuid 736711cc-b5dc-490b-8177-3a4160885c24))
(pin "1" (uuid 7a2b7fcb-9804-4387-b1a0-d0ad317a51f2))
(pin "10" (uuid 6d57b999-1e68-47de-afa6-a557d7a39700))
(pin "2" (uuid 35e6b60e-ccc1-496a-af8a-85c846fbf885))
(pin "4" (uuid 14e03787-30c5-431b-9fa1-c08d96841f3a))
(pin "5" (uuid 22342324-6e7f-415d-b984-2b121da3bfa9))
(pin "6" (uuid 4ab0e2b0-b343-4bc9-8680-89cca4eecf0c))
(pin "7" (uuid 6749e74d-dfdd-420d-9426-d52d44bdeb49))
(pin "8" (uuid da1d5fbf-4d55-4b6c-a8a6-34f8135f5eab))
(pin "9" (uuid 1be55880-79bb-4ccd-a803-f8c37e3ec314))
)
(symbol (lib_id "Power_Protection:TPD4E02B04DQA") (at 173.99 97.79 90) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000062da2ff2)
(property "Reference" "DA4" (id 0) (at 172.72 87.1982 90))
(property "Value" "TPD4E02B04DQA" (id 1) (at 172.72 89.5096 90))
(property "Footprint" "Package_SON:USON-10_2.5x1.0mm_P0.5mm" (id 2) (at 173.99 105.41 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Datasheet" "http://www.ti.com/lit/ds/symlink/tpd4e02b04.pdf" (id 3) (at 165.735 100.965 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "3" (uuid ae8774be-3307-4ff0-a57a-6df8363c63c2))
(pin "1" (uuid 612620b6-6eac-4db9-aef5-9eedc3b3f87e))
(pin "10" (uuid 3dbc3cbe-e914-48bd-b65f-72433aa33fac))
(pin "2" (uuid 191febea-5064-4d6f-b995-8fb357857801))
(pin "4" (uuid 904d0247-3470-4182-8f3e-6993cd07580e))
(pin "5" (uuid 64cee5cc-83b4-414f-903b-6ed1130ccd38))
(pin "6" (uuid d7dd6073-0ff8-4d9a-8649-ed5559702a1c))
(pin "7" (uuid 286b4390-d94e-4575-9ade-42f8db809437))
(pin "8" (uuid 517fe4d6-660f-4271-b1ec-af61f1e66e4d))
(pin "9" (uuid ded0c680-d31a-4167-b4fe-618e2e06f0de))
)
(symbol (lib_id "ECP5UM5G:ECP5UM5G_85_CABGA756") (at 330.2 20.32 0) (unit 11)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c0d9ba4)
(property "Reference" "U15" (id 0) (at 353.8474 30.4038 0)
(effects (font (size 1.524 1.524)) (justify left))
)
(property "Value" "ECP5UM5G_85_CABGA756" (id 1) (at 353.8474 33.0962 0)
(effects (font (size 1.524 1.524)) (justify left))
)
(property "Footprint" "Package_BGA:Lattice_caBGA-756_27.0x27.0mm_Layout32x32_P0.8mm" (id 2) (at 330.2 20.32 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 330.2 20.32 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "manf#" "ECP5UM5G_85" (id 4) (at 334.01 21.59 0)
(effects (font (size 1.524 1.524)) (justify right) hide)
)
(pin "AK10" (uuid 5a90c061-10f8-45c4-9d69-fc8f6d839fe4))
(pin "AK12" (uuid dd3ce599-bf5e-4996-bab9-fbb7a2492ece))
(pin "AK13" (uuid 28a34b01-3d3c-4335-8eb3-24164a14f735))
(pin "AK9" (uuid 3d8832a3-418f-400d-aa6f-a68685660ad9))
(pin "AM11" (uuid 358d5757-d749-4350-bce8-f85acf11be5a))
(pin "AM12" (uuid aeaceac2-a314-4e1e-aaab-dce12e893a09))
(pin "AM14" (uuid 8dd5be25-f9f8-40e4-9db4-8771e739b252))
(pin "AM15" (uuid da1a5b5d-c13f-4847-b0c2-a748cc951c0d))
(pin "AM8" (uuid e2fd10b0-f5f3-4a4a-8b0a-7514229d9d59))
(pin "AM9" (uuid 9f7e4d19-3a20-4a93-88d3-2fef36c80892))
)
(symbol (lib_id "ECP5UM5G:ECP5UM5G_85_CABGA756") (at 330.2 226.06 0) (unit 12)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c6ca603)
(property "Reference" "U15" (id 0) (at 353.8474 236.1438 0)
(effects (font (size 1.524 1.524)) (justify left))
)
(property "Value" "ECP5UM5G_85_CABGA756" (id 1) (at 353.8474 238.8362 0)
(effects (font (size 1.524 1.524)) (justify left))
)
(property "Footprint" "Package_BGA:Lattice_caBGA-756_27.0x27.0mm_Layout32x32_P0.8mm" (id 2) (at 330.2 226.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 330.2 226.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "manf#" "ECP5UM5G_85" (id 4) (at 334.01 227.33 0)
(effects (font (size 1.524 1.524)) (justify right) hide)
)
(pin "AK18" (uuid 23bfb16f-af91-47c4-9014-017e0ecb5aa0))
(pin "AK19" (uuid 4ba0ca49-6018-4f4c-bb09-9cb9a663b2f4))
(pin "AK21" (uuid a4d67e70-2203-49cb-a6da-dc580e1adc42))
(pin "AK22" (uuid 54db9101-9883-4e72-a699-084c35c0b97b))
(pin "AM17" (uuid 0f8e60e4-c19d-435f-bd6b-ea7e24014b11))
(pin "AM18" (uuid fc1e6ffb-2804-43cb-aadd-45a284d9f353))
(pin "AM20" (uuid f401cbf3-0021-4327-a9a4-1ba670478c33))
(pin "AM21" (uuid 28e264ec-e750-43e9-9ba8-2814b3e9b832))
(pin "AM23" (uuid e40d9675-7429-451b-8812-bc3823b7e9fc))
(pin "AM24" (uuid 49237e08-7de6-4a7f-a435-76fb682e261f))
)
(symbol (lib_id "Analog_Switch:CBTL02043A") (at 346.71 157.48 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000673d84e7)
(property "Reference" "U11" (id 0) (at 336.55 135.89 0))
(property "Value" "CBTL02043A" (id 1) (at 354.33 135.89 0))
(property "Footprint" "Package_DFN_QFN:WQFN-20-1EP_2.5x4.5mm_P0.5mm_EP1x2.9mm" (id 2) (at 348.615 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.nxp.com/docs/en/data-sheet/CBTL02043A_CBTL02043B.pdf" (id 3) (at 349.885 166.37 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a9d53388-085b-43ea-bd75-5a114894e16f))
(pin "10" (uuid 6d4ad106-eac2-4852-9b64-69748f246990))
(pin "11" (uuid 04061167-c037-4887-9ed3-e04db092290c))
(pin "12" (uuid 083a385c-7e69-4d4c-8ee1-839bb890b208))
(pin "13" (uuid 4c81caa4-5b23-4709-bdcc-89916a158957))
(pin "14" (uuid 24802b4b-07aa-4977-8156-56ce070f70eb))
(pin "15" (uuid 12ec9f1e-4715-4c87-b851-a4f33e5ce7e0))
(pin "16" (uuid af5bfc83-5ce4-4eed-85af-60e4409ac416))
(pin "17" (uuid 6653a5bf-0f18-432e-95cb-9b92462881a0))
(pin "18" (uuid 61c8aa24-d0a2-4cd6-a0c7-b5efe17131fa))
(pin "19" (uuid 0fa029be-df3b-4f03-9f69-e70d60e357eb))
(pin "2" (uuid 2c2cce03-b730-42d0-a5f6-8d310f128696))
(pin "20" (uuid 72581273-74bd-40d0-a51e-aaedfaba2381))
(pin "21" (uuid c17da016-c26a-417b-8e38-4bde704362b3))
(pin "3" (uuid 0dafc404-4dbc-4724-843e-2a9d30362aa3))
(pin "4" (uuid 3fef9bbd-66f7-4edf-85b7-4b5f0ddd3b8b))
(pin "5" (uuid 65a6cc04-c621-46f2-8b36-d8c780b017c3))
(pin "6" (uuid 3ceb6f4a-26ed-4249-88db-9349cc8fce0e))
(pin "7" (uuid eadd80ca-bb61-47cf-b9d2-d970c80a690e))
(pin "8" (uuid bf5e6d75-a4c8-47d8-94ae-4632f3245237))
(pin "9" (uuid 519740e9-f402-416f-8bc9-ef098d919335))
)
(symbol (lib_id "Oscillator:5P49V6965") (at 344.17 97.79 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000063dbe52d)
(property "Reference" "U16" (id 0) (at 332.74 67.31 0))
(property "Value" "5P49V6965" (id 1) (at 358.14 67.31 0))
(property "Footprint" "Package_DFN_QFN:QFN-24-1EP_4x4mm_P0.5mm_EP2.8x2.8mm" (id 2) (at 342.9 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://www.idt.com/document/dst/5p49v6965-datasheet" (id 3) (at 332.74 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 52ab796b-5850-462f-9d91-0e479b698895))
(pin "10" (uuid 419caf94-0d80-44b1-8f9c-528fc197d6ec))
(pin "11" (uuid e7fd486a-8b8a-489c-bb41-ba5ab67c276b))
(pin "12" (uuid 8dc49aff-6c83-4ab9-9d58-b3e6b7c4f0f2))
(pin "13" (uuid 293bb7fe-33fd-4a50-9807-2c1798b35be1))
(pin "14" (uuid a067f3dd-7f3c-478e-9e5b-cc4f3b0e163a))
(pin "15" (uuid e1b15b70-21d9-47be-9bad-0c4834f844d1))
(pin "16" (uuid 51b3ceb2-3dd3-4671-8126-7defea6d5a29))
(pin "17" (uuid 40c06a4f-d15f-4102-a7b4-80b4a98f7fba))
(pin "18" (uuid 4d637f3e-3ee9-47b8-aa54-96fab0d58987))
(pin "19" (uuid fe37db70-90bd-4af1-a253-398d5f978480))
(pin "2" (uuid 14970396-4a13-4273-8b30-dae8cc063f30))
(pin "20" (uuid 326e8194-217d-4173-83f7-98bb13d529d9))
(pin "21" (uuid 3eabb771-a180-414c-bd1b-8c71df740e5a))
(pin "22" (uuid 6c7401a0-a712-400a-8e89-8adb2e3eeb68))
(pin "23" (uuid 14821203-c456-43c9-b46d-8a1940098154))
(pin "24" (uuid 95210e09-1534-4b3e-8d34-b8be7ab44334))
(pin "25" (uuid ffc5c9ea-e901-4748-8778-76e873655d88))
(pin "3" (uuid f9f6826f-eff6-44bc-be0c-d88f80c3314c))
(pin "4" (uuid 39fce8c7-1455-4e80-b78c-ad6b5547cd82))
(pin "5" (uuid bbd8491d-c8b4-4203-9253-12a07bd4962f))
(pin "6" (uuid 3289fa25-001e-4e5d-b7c2-3aeb4df54439))
(pin "7" (uuid 274ed9c0-4631-4db5-8824-c31f1c4d63cc))
(pin "8" (uuid 9cad0c65-41c7-44e7-ad48-70fc26f30a32))
(pin "9" (uuid ca0a8ec4-2775-4626-92ed-a428f66f5f2b))
)
(symbol (lib_id "eco_connectors:PCIe_x4_EDGE") (at 60.96 59.69 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005c060ee2)
(property "Reference" "P3" (id 0) (at 60.96 25.019 0))
(property "Value" "PCIe_x4_EDGE" (id 1) (at 60.96 27.3304 0))
(property "Footprint" "Connector_PCBEdge:BUS_PCIexpress_x4" (id 2) (at 60.96 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 60.96 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "A1" (uuid d17b47e7-549a-48da-883f-111204c7ea3c))
(pin "A10" (uuid fabd1965-7c28-4b77-94d0-a07d1ed5580a))
(pin "A11" (uuid 5a595f2f-2ab8-48fe-b927-af6cf2965c37))
(pin "A12" (uuid 04ba463e-c8da-4fa7-b31d-f03313790422))
(pin "A13" (uuid d473274d-d108-4d5c-80dc-853dfc9a70d6))
(pin "A14" (uuid 28e166ab-e8da-408e-9fee-40f7beff168a))
(pin "A15" (uuid 296279a2-ab9f-40b7-bfa8-a41500bd003d))
(pin "A16" (uuid 8996610a-30f7-478b-b065-9b682ca90600))
(pin "A17" (uuid 193646e6-7514-455a-b04b-77cdbecf370a))
(pin "A18" (uuid 4b7c32f4-bdaf-4333-9496-6b7053f06aab))
(pin "A19" (uuid 6889d0e3-6963-4a50-95a7-b78304839034))
(pin "A2" (uuid 3e35a5f6-cc9b-40c1-8f49-4f8ea50db205))
(pin "A20" (uuid f12b8e76-d6d6-4bac-b3db-9948fd24524f))
(pin "A21" (uuid f16501dd-4249-43f7-b95c-58802482a4e0))
(pin "A22" (uuid d9ac4122-6a8e-4497-be3d-7c0afa0d17eb))
(pin "A23" (uuid ce398353-b382-4902-8e64-83134effe47e))
(pin "A24" (uuid d3e8fb57-2b89-422a-85e3-3567b92b1291))
(pin "A25" (uuid ee048258-d449-471a-88e4-48eb85ea9423))
(pin "A26" (uuid 5cf9293c-fd01-485d-b840-afdfeb3ad6d4))
(pin "A27" (uuid 34fc3ef4-3a16-4384-868d-ca50f2fdaf47))
(pin "A28" (uuid 7134a422-1a0e-4e0f-8259-019652a1dffe))
(pin "A29" (uuid 1f456ff8-a9d9-41c6-bd29-056382eb6f24))
(pin "A3" (uuid ccf6d5b4-a621-4642-8f99-3cdde652741e))
(pin "A30" (uuid 52c6adb1-67a9-409a-bf6a-0246a32c28fe))
(pin "A31" (uuid 00de7bdd-fdcc-4b7a-8147-c8bd7c20dec9))
(pin "A32" (uuid d989fda3-9fb9-4acd-bb72-339b3c8f2e4f))
(pin "A4" (uuid acc52ea9-8b96-442c-80d1-d5ec881e5556))
(pin "A5" (uuid 7d035706-22df-456c-8d61-a0853d00d959))
(pin "A6" (uuid b6e52ce1-8b5e-4b75-bfd5-262fc874e152))
(pin "A7" (uuid b56e317f-9d87-41da-a504-b3c8a108063e))
(pin "A8" (uuid 42b2e605-b4e9-490b-85fb-a286457022e1))
(pin "A9" (uuid 4f1feb06-7e52-4540-942e-a1da6ecdf0c2))
(pin "B1" (uuid 6e7f8ba3-3b43-430e-b6e1-5d46e2bf5ec6))
(pin "B10" (uuid 235ba4c2-0d31-4869-be1b-b977e04b86e0))
(pin "B11" (uuid 42844405-bbd0-4beb-9f45-a7e0761e0aaf))
(pin "B12" (uuid 2b744d6e-ab82-40af-b3d9-3ebee805bfee))
(pin "B13" (uuid 912decec-683f-42e1-92a3-478eebf4489f))
(pin "B14" (uuid 9542c420-4008-4ff7-b2c0-f4141432ee90))
(pin "B15" (uuid a45354c1-1c16-4ea8-b149-1923da22cb7c))
(pin "B16" (uuid 3300ed12-b0ac-465b-8345-5bb218e228dd))
(pin "B17" (uuid 90c30c28-0c91-4adb-8e2a-9495779438c4))
(pin "B18" (uuid 102d82d1-108d-45fc-8c08-b86d87c16eaa))
(pin "B19" (uuid 2d97eebb-20ec-4bd2-a1e8-03fd9093877f))
(pin "B2" (uuid fa3ef493-71bf-414e-b255-5a2892173790))
(pin "B20" (uuid bac168b1-2bfb-4215-a7bd-2b2aef1c46d2))
(pin "B21" (uuid fe42cdc1-e74e-4f6e-bdce-54c6d463ab5c))
(pin "B22" (uuid 03631702-a7d1-4e19-864c-e071fcc6fbd3))
(pin "B23" (uuid 91e2a553-135a-4f90-9444-bba7aac74116))
(pin "B24" (uuid 05e89677-f454-4df7-b137-685ed4498122))
(pin "B25" (uuid 0f7589d2-433b-4a5b-8ab2-6ff91c07f529))
(pin "B26" (uuid 8b268239-eb7e-4986-9066-3e922b41fb35))
(pin "B27" (uuid 045c3e87-e13a-4bda-aab7-12c79e2b2a5a))
(pin "B28" (uuid 3daa4c5b-ddf5-461c-9feb-1d29b8422b09))
(pin "B29" (uuid 71729493-fe71-4b8f-9682-fb0bb1cc6f6e))
(pin "B3" (uuid 038978ec-a044-4e4c-8386-4f43390a5317))
(pin "B30" (uuid f7de8557-2cb0-40b2-8420-16167561e0bf))
(pin "B31" (uuid 204b5395-df6b-41e5-91e7-2013156ec3ae))
(pin "B32" (uuid ae7e5981-77d8-409a-b13a-873408f5ab7b))
(pin "B4" (uuid c0bdf6bd-d5f6-403b-8388-7232e2a383e7))
(pin "B5" (uuid 477c2030-4884-457c-808a-5a5b9514ca92))
(pin "B6" (uuid d24baba9-5dcd-49cd-9a5f-40c7db09c32e))
(pin "B7" (uuid d64a39e9-be28-488d-9074-236ba40a3c0e))
(pin "B8" (uuid aed756a1-db84-44ab-b320-30bc8c4c7941))
(pin "B9" (uuid b95e7268-3bf1-4aa8-836d-f769a59a87cf))
)
(symbol (lib_id "eco_connectors:M2_SOCKET_E") (at 203.2 190.5 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000655141e5)
(property "Reference" "P1" (id 0) (at 203.2 153.289 0))
(property "Value" "M2_SOCKET_E" (id 1) (at 203.2 155.6004 0))
(property "Footprint" "Custom Parts:2199230-4" (id 2) (at 203.2 176.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 203.2 176.53 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c05ca696-4e6c-4b03-a231-1ee15812c110))
(pin "10" (uuid 9daa8517-4141-467a-a1c9-63991e80eaf0))
(pin "11" (uuid 7dc26b0f-d0a0-41c9-b5e7-fffc6dcd6298))
(pin "12" (uuid 4f4cde52-6ab3-45a1-a311-c899a4045ed8))
(pin "13" (uuid 18b3d625-3199-4cb5-9fe1-e2c1f09ba119))
(pin "14" (uuid 7098b766-ffc6-4f38-bfeb-0d81eb29e97c))
(pin "15" (uuid e4b9e9b4-c102-44d0-ad5b-0f001f5c96cb))
(pin "16" (uuid 0be1c039-a8af-4b3c-9b16-d3f8821d7784))
(pin "17" (uuid 949a045a-ced2-44af-bb15-b70e7aecb416))
(pin "18" (uuid 9bc90f1b-7445-4ab5-a4b7-68440e5740dc))
(pin "19" (uuid 338d5bca-16ca-4885-87df-52c03d807592))
(pin "2" (uuid 90a38ba2-c95c-48e5-bd6b-e4f79bae8a59))
(pin "20" (uuid 27c8e3d5-971b-42e2-b98d-e4044b078aca))
(pin "21" (uuid f3bb8330-23b6-4626-8db0-33d70e34ef26))
(pin "22" (uuid ecc56f59-74bf-4642-b85a-f177b8749d0a))
(pin "23" (uuid b4cfe706-e430-4d98-9456-d99fd1aa6e16))
(pin "3" (uuid 96a269dc-320e-4fe6-90f9-b135ee3ce3e1))
(pin "32" (uuid a682ae89-2aec-4b77-afbb-75c68ea18dd8))
(pin "33" (uuid 87bd9b18-453e-4bf7-aed2-ec6c45799ade))
(pin "34" (uuid 492d03ce-d965-4ec0-a783-70e3d9347c04))
(pin "35" (uuid 9407ec44-fe41-432a-9465-5d918c82d337))
(pin "36" (uuid 20d9bbb8-7a9f-4018-955c-5f8e8772f478))
(pin "37" (uuid b5aa68d0-9710-4d09-a397-c1cfc69623bc))
(pin "38" (uuid 5de4e2e2-c867-4c91-9265-d3c65cefc95f))
(pin "39" (uuid c7684e6b-e993-40f5-91fb-b717113233df))
(pin "4" (uuid 2ab9b100-0665-417b-9341-32ee88f10e4e))
(pin "40" (uuid a5f33e86-a752-4e82-a701-1a65160f237d))
(pin "41" (uuid 4b5e20b0-43f7-4e11-8137-ae52694efd27))
(pin "42" (uuid 9112bd94-c291-4fde-b7fa-08c61c0a8937))
(pin "43" (uuid 77778cd0-d174-4755-8a53-0edc4b5889aa))
(pin "44" (uuid 95c2fccd-dc50-41ea-9dab-f1d71edf8b6a))
(pin "45" (uuid 53f3885e-7ece-48c5-b446-eb287811afc5))
(pin "46" (uuid f2dd52f1-cbce-4f41-9ba2-f8a7a025d514))
(pin "47" (uuid e7efae2f-2619-43f1-8501-9ce8bd3d3c46))
(pin "48" (uuid b6f2f50c-592f-4292-a615-754fb8a9aaa7))
(pin "49" (uuid 1a381261-915d-4a0a-bcb2-1d3d3d782d0c))
(pin "5" (uuid a5014607-c9b3-4dcd-bcb2-51fffa22a4e0))
(pin "50" (uuid 08f87ad6-bbad-4779-8cd2-83f300e284d4))
(pin "51" (uuid b762bef4-bf0a-4e4d-82ef-452e8f506676))
(pin "52" (uuid 3442a068-871a-4f93-ac0e-1e39645b6827))
(pin "53" (uuid b025ad2b-26c1-4359-9f64-ac91242a9440))
(pin "54" (uuid ca878056-4d67-4f95-a42f-7ead821371ad))
(pin "55" (uuid 16176788-c518-4d0c-b7fe-f596226751c8))
(pin "56" (uuid 080883af-7a92-4d0c-b4be-0c7a44eb6920))
(pin "57" (uuid 2f8f8a3d-0c06-4d08-8f0a-ea8c8989c4df))
(pin "58" (uuid 5bf572b4-1631-4bbe-8a15-5a92ed3f20df))
(pin "59" (uuid 3e45490a-5273-4ef0-a556-a3c4ed7cbda2))
(pin "6" (uuid feecb7ef-f14b-414f-91e4-292f308badcb))
(pin "60" (uuid 0446ee77-6c83-4c25-94f3-b10881ae4f18))
(pin "61" (uuid 0e2f4b97-cfe8-42c0-b9d5-ae391a21eab9))
(pin "62" (uuid 662fed29-0e12-4f7d-ae4d-57ceeaff4d35))
(pin "63" (uuid 8795c429-1bfd-4292-aa6c-04fce70c13e3))
(pin "64" (uuid 3ca93edf-ce85-45ee-a8b8-cbc04539ddd6))
(pin "65" (uuid d1d03f0f-90cc-4e5c-9c25-52517aee462b))
(pin "66" (uuid 250c1399-b6c5-4dfb-a6db-8a739582c84f))
(pin "67" (uuid d88ff8f6-de51-4db9-b985-2d679ee288bb))
(pin "68" (uuid 89bea856-e1ae-4a59-a801-9599e96ba748))
(pin "69" (uuid 3601d20f-351d-4e89-a8e4-ba1c730c430a))
(pin "7" (uuid c1b9fab3-82b7-4bd6-878d-960f4255cdea))
(pin "70" (uuid bf1c2eab-7097-4eaf-b972-2fd787a890c5))
(pin "71" (uuid 44e65a4a-61ce-48a6-a30a-f6428b984308))
(pin "72" (uuid 5fbdbc0b-9c62-43a4-9f5c-5ec51e55009b))
(pin "73" (uuid 1fb722ce-805b-4a59-bac4-217d78be2358))
(pin "74" (uuid c4798f16-67bf-4926-91f4-9d13c0ea3bbd))
(pin "75" (uuid c36635fb-220c-4c58-88ce-3c9818ff9cf7))
(pin "8" (uuid 2b2d1b81-1f8a-4533-a2d8-0dd9e02313b5))
(pin "9" (uuid abfd168d-0086-4ed1-9aed-8aadcb87c8e3))
(pin "M" (uuid 8f2d2973-c2a9-4d25-959b-c5a215a524e9))
)
)