PROJ=demo all: ${PROJ}.bit %.json: *.v yosys -p "synth_ecp5 -json $@ -top $*_top" $^ %_out.config: %.json nextpnr-ecp5 --json $< --textcfg $@ --um5g-85k --package CABGA756 --lpf trellisboard.lpf %.bit: %_out.config ecppack --svf ${PROJ}.svf $< $@ ${PROJ}.svf : ${PROJ}.bit prog: ${PROJ}.svf openocd -f ../misc/trellisboard.cfg -c "transport select jtag; init; svf $<; exit" clean: rm -f *.svf *.bit *.config *.json .PHONY: prog clean