You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
fs-TrellisBoard/hardware/ecp5_mainboard/sym-lib-table

4 lines
113 B

(sym_lib_table
(lib (name ECP5UM5G)(type Legacy)(uri ${KIPRJMOD}/../lib/ecp5um5g.lib)(options "")(descr ""))
)