You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
fs-TrellisBoard/hardware
David Shah 9481093014
ecp5_mainboard: Starting USB-C and DP schematics
6 years ago
..
ecp5_mainboard ecp5_mainboard: Starting USB-C and DP schematics 6 years ago
lib ecp5_mainboard: Starting USB-C and DP schematics 6 years ago
.gitignore ecp5_mainboard: Adding empty KiCad project 6 years ago