You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
fs-TrellisBoard/hardware
David Shah f21b1136e4
ecp5_mainboard/debug: FT2232H JTAG interface and USB-C debug conn
6 years ago
..
ecp5_mainboard ecp5_mainboard/debug: FT2232H JTAG interface and USB-C debug conn 6 years ago
lib ecp5_mainboard/debug: FT2232H JTAG interface and USB-C debug conn 6 years ago
.gitignore ecp5_mainboard: Adding empty KiCad project 6 years ago