From 12bad4df6ed7f6dadd97b5ad4931373310aaaaaf Mon Sep 17 00:00:00 2001 From: Emard Date: Thu, 7 Jun 2018 19:41:04 +0200 Subject: [PATCH] gerbers update --- plot/ulx3s-B.Cu.gbl | 90 ++- plot/ulx3s-B.Mask.gbs | 4 +- plot/ulx3s-B.Paste.gbp | 4 +- plot/ulx3s-B.SilkS.gbo | 4 +- plot/ulx3s-Edge.Cuts.gm1 | 4 +- plot/ulx3s-F.Cu.gtl | 1421 +++++++++++++++++++------------------- plot/ulx3s-F.Mask.gts | 223 +++--- plot/ulx3s-F.Paste.gtp | 153 ++-- plot/ulx3s-F.SilkS.gto | 198 +++--- plot/ulx3s-In1.Cu.g2 | 232 +++---- plot/ulx3s-In2.Cu.g3 | 82 ++- plot/ulx3s-bottom.pos | 282 ++++---- plot/ulx3s-drl_map.gbr | 762 ++++++++++---------- plot/ulx3s-top.pos | 4 +- plot/ulx3s.drl | 88 +-- 15 files changed, 1763 insertions(+), 1788 deletions(-) diff --git a/plot/ulx3s-B.Cu.gbl b/plot/ulx3s-B.Cu.gbl index 6915c19..61bc549 100644 --- a/plot/ulx3s-B.Cu.gbl +++ b/plot/ulx3s-B.Cu.gbl @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L4,Bot,Signal* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* @@ -117,16 +117,16 @@ G04 #@! TA.AperFunction,ViaPad* %ADD44C,0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD45C,1.000000*% +%ADD45C,0.700000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD46C,0.700000*% +%ADD46C,0.454000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD47C,0.454000*% +%ADD47C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD48C,0.600000*% +%ADD48C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD49C,0.800000*% @@ -1837,8 +1837,6 @@ G04 #@! TO.N,* X124632693Y-93120351D03* D45* G04 #@! TO.N,GND* -X175649000Y-63343000D03* -D46* X112784000Y-102840000D03* D44* X141742847Y-69038361D03* @@ -1847,7 +1845,7 @@ X138480000Y-92600000D03* X140080000Y-92600000D03* X135280000Y-87000000D03* X145687392Y-90996646D03* -D47* +D46* X141675979Y-86986521D03* D44* X140876932Y-84552536D03* @@ -1855,25 +1853,22 @@ X132882184Y-84635369D03* X132879996Y-82103336D03* X140922639Y-81447441D03* X177287984Y-96778661D03* -D48* +D47* X152510125Y-81695229D03* D44* X131264297Y-86227110D03* X173856000Y-71538990D03* -D45* -X164947008Y-63194069D03* -D44* X135342764Y-89381630D03* X144103496Y-84660400D03* -D45* +D48* X177658444Y-82281349D03* D44* X145680000Y-81405125D03* X145691238Y-94166752D03* -D45* +D48* X162956098Y-95078488D03* X158539988Y-94868772D03* -D48* +D47* X161075765Y-80992022D03* X164882869Y-80938986D03* X156262773Y-81349374D03* @@ -1891,9 +1886,9 @@ X135284627Y-94985297D03* X135288625Y-94225619D03* X134455822Y-94267172D03* X136095958Y-93369652D03* -D47* +D46* X139264636Y-91615205D03* -D45* +D48* X116880503Y-64802940D03* X106974809Y-64953974D03* D44* @@ -1906,20 +1901,23 @@ X136880000Y-92600000D03* X135280000Y-92600000D03* X132880000Y-91800000D03* X132880000Y-93400000D03* -D47* +D46* X139280000Y-87000000D03* X137680000Y-87000000D03* X136080000Y-84600000D03* X139280000Y-88600000D03* +D48* +X175776000Y-63343000D03* +X164854000Y-63343000D03* D44* G04 #@! TO.N,+5V* X149169500Y-68867500D03* -D45* +D48* X166275426Y-77459534D03* X107021491Y-67043629D03* D44* X172047074Y-87438453D03* -D45* +D48* X166255545Y-79350736D03* X157807568Y-67669269D03* X101707889Y-82423180D03* @@ -1931,38 +1929,38 @@ G04 #@! TO.N,+3V3* X119692151Y-77494120D03* X135263000Y-87727000D03* X141740000Y-89250994D03* -D48* +D47* X156091000Y-94585000D03* D44* X140032430Y-67286930D03* X137803000Y-91664000D03* -D48* +D47* X181155918Y-104980708D03* D44* X171089123Y-98665996D03* X171089123Y-99619659D03* -D45* +D48* X135105588Y-66616618D03* D44* X181491000Y-91156000D03* X113660608Y-89375718D03* -D45* +D48* X148268387Y-79050018D03* D44* X114513935Y-82409431D03* X109840000Y-73620000D03* X132110753Y-92527478D03* X165803369Y-97344883D03* -D45* -X160905403Y-95006436D03* D48* +X160905403Y-95006436D03* +D47* X158731133Y-81048929D03* X154593901Y-94607945D03* -D45* -X164625730Y-94982471D03* D48* +X164625730Y-94982471D03* +D47* X163462982Y-80942429D03* -D45* +D48* X176254940Y-94288458D03* D49* X110593913Y-108636458D03* @@ -1976,9 +1974,9 @@ X139272517Y-84611349D03* X141680000Y-86200000D03* X132880000Y-92600000D03* X141680000Y-85400000D03* -D46* -X102624000Y-98141000D03* D45* +X102624000Y-98141000D03* +D48* X100973000Y-94331000D03* D44* G04 #@! TO.N,BTN_F1* @@ -1996,7 +1994,7 @@ X147175985Y-97333919D03* X147700000Y-93820000D03* G04 #@! TO.N,+2V5* X142107219Y-91201016D03* -D45* +D48* X110128890Y-90028152D03* D44* X142480000Y-83800000D03* @@ -2019,7 +2017,7 @@ X133680000Y-91800000D03* G04 #@! TO.N,JTAG_TCK* X135517000Y-97633000D03* X134675868Y-108131585D03* -D47* +D46* X133449289Y-102232615D03* D44* X133680000Y-92600000D03* @@ -2073,7 +2071,7 @@ X144894316Y-86977564D03* G04 #@! TO.N,SDRAM_D13* X144896700Y-83863953D03* X149527221Y-80838006D03* -D47* +D46* G04 #@! TO.N,SDRAM_D6* X147343891Y-93217126D03* D44* @@ -2084,7 +2082,7 @@ X144875155Y-86292748D03* G04 #@! TO.N,SDRAM_D12* X144916886Y-83019942D03* X148696112Y-80861847D03* -D47* +D46* G04 #@! TO.N,SDRAM_D5* X148349010Y-93322307D03* D44* @@ -2191,7 +2189,7 @@ X135656559Y-110610712D03* G04 #@! TO.N,FTDI_nSLEEP* X149577281Y-103320847D03* X132468001Y-104503413D03* -D46* +D45* G04 #@! TO.N,/sdcard/SD3V3* X104260000Y-100790000D03* D44* @@ -2283,11 +2281,11 @@ X139322319Y-90164039D03* X140080000Y-89400000D03* X136880000Y-89400000D03* X140896226Y-87816226D03* -D47* +D46* X136938110Y-86196311D03* D44* X140014602Y-86192969D03* -D45* +D48* X151758000Y-99774000D03* D44* G04 #@! TO.N,SW4* @@ -2331,7 +2329,7 @@ X135034780Y-77831198D03* G04 #@! TO.N,GP6* X134508502Y-81406751D03* X134177298Y-77721089D03* -D47* +D46* G04 #@! TO.N,GN14* X146942280Y-96452058D03* G04 #@! TO.N,GP14* @@ -2477,11 +2475,11 @@ G04 #@! TO.N,/gpdi/FPDI_CEC* X144606344Y-79361357D03* G04 #@! TO.N,2V5_3V3* X157996000Y-72487000D03* -D45* +D48* X100855891Y-71574861D03* D44* X135280000Y-85400000D03* -D48* +D47* X100652119Y-90162239D03* D44* X137550785Y-84577294D03* @@ -2491,10 +2489,6 @@ X169517039Y-69686488D03* G04 #@! TD* D50* G04 #@! TO.N,GND* -X175500069Y-63194069D02* -X175649000Y-63343000D01* -X164947008Y-63194069D02* -X175500069Y-63194069D01* X152154000Y-69704000D02* X152154000Y-70610213D01* X152154000Y-70610213D02* @@ -2849,6 +2843,10 @@ X172435000Y-76975000D02* X172728000Y-76975000D01* X172728000Y-76975000D02* X173960000Y-76975000D01* +X175068894Y-63343000D02* +X164854000Y-63343000D01* +X175776000Y-63343000D02* +X175068894Y-63343000D01* G04 #@! TO.N,+5V* X173236000Y-86249527D02* X173236000Y-85758000D01* diff --git a/plot/ulx3s-B.Mask.gbs b/plot/ulx3s-B.Mask.gbs index f93a43f..85a4552 100644 --- a/plot/ulx3s-B.Mask.gbs +++ b/plot/ulx3s-B.Mask.gbs @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Bot* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* diff --git a/plot/ulx3s-B.Paste.gbp b/plot/ulx3s-B.Paste.gbp index 76a5962..6663a96 100644 --- a/plot/ulx3s-B.Paste.gbp +++ b/plot/ulx3s-B.Paste.gbp @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* diff --git a/plot/ulx3s-B.SilkS.gbo b/plot/ulx3s-B.SilkS.gbo index 2292a41..47ca65e 100644 --- a/plot/ulx3s-B.SilkS.gbo +++ b/plot/ulx3s-B.SilkS.gbo @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Legend,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* diff --git a/plot/ulx3s-Edge.Cuts.gm1 b/plot/ulx3s-Edge.Cuts.gm1 index 990331d..07ee760 100644 --- a/plot/ulx3s-Edge.Cuts.gm1 +++ b/plot/ulx3s-Edge.Cuts.gm1 @@ -1,11 +1,11 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* diff --git a/plot/ulx3s-F.Cu.gtl b/plot/ulx3s-F.Cu.gtl index 1875d7b..990c7a8 100644 --- a/plot/ulx3s-F.Cu.gtl +++ b/plot/ulx3s-F.Cu.gtl @@ -1,120 +1,120 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:25+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L1,Top,Signal* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:25 2018* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD10R,1.700000X1.300000*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD11R,0.400000X1.350000*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD12R,4.000000X1.900000*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD13R,1.600000X1.200000*% -G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD14O,1.727200X1.727200*% +%ADD10O,1.727200X1.727200*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD15R,1.727200X1.727200*% +%ADD11R,1.727200X1.727200*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD16C,5.500000*% +%ADD12C,5.500000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD17O,0.850000X0.300000*% +%ADD13O,0.850000X0.300000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD18O,0.300000X0.850000*% +%ADD14O,0.300000X0.850000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD19R,1.675000X1.675000*% +%ADD15R,1.675000X1.675000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD20R,1.727200X2.032000*% +%ADD16R,1.727200X2.032000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD21O,1.727200X2.032000*% +%ADD17O,1.727200X2.032000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD22R,1.550000X1.300000*% +%ADD18R,1.550000X1.300000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD23R,1.120000X2.440000*% +%ADD19R,1.120000X2.440000*% G04 #@! TD* G04 #@! TA.AperFunction,BGAPad,CuDef* -%ADD24C,0.350000*% +%ADD20C,0.350000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD25R,2.800000X2.000000*% +%ADD21R,2.800000X2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD26R,2.800000X2.200000*% +%ADD22R,2.800000X2.200000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD27R,2.800000X2.800000*% +%ADD23R,2.800000X2.800000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD28R,0.700000X1.500000*% +%ADD24R,0.700000X1.500000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD29R,1.450000X0.900000*% +%ADD25R,1.450000X0.900000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD30R,1.450000X2.000000*% +%ADD26R,1.450000X2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD31R,2.200000X1.800000*% +%ADD27R,2.200000X1.800000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD32R,0.560000X0.900000*% +%ADD28R,0.560000X0.900000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD33R,1.000000X0.670000*% +%ADD29R,1.000000X0.670000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD34R,1.500000X0.970000*% +%ADD30R,1.500000X0.970000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD35R,0.300000X1.900000*% +%ADD31R,0.300000X1.900000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD36C,2.000000*% +%ADD32C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD37R,1.700000X1.700000*% +%ADD33R,1.700000X1.700000*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD38O,1.700000X1.700000*% +%ADD34O,1.700000X1.700000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD35R,1.295000X1.400000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD36R,2.100000X1.600000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD37R,1.900000X1.900000*% G04 #@! TD* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD39R,1.295000X1.400000*% +%ADD38R,0.400000X1.350000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD39R,1.800000X1.900000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD40C,0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD41C,1.000000*% +%ADD41C,0.700000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD42C,0.700000*% +%ADD42C,0.454000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD43C,0.454000*% +%ADD43C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD44C,0.600000*% +%ADD44C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD45C,0.800000*% @@ -151,74 +151,18 @@ G04 #@! TA.AperFunction,Conductor* G04 #@! TD* G04 APERTURE END LIST* D10* -G04 #@! TO.P,US2,6* -G04 #@! TO.N,GND* -X166900000Y-63325000D03* -X173700000Y-63325000D03* -D11* -G04 #@! TO.P,US2,5* -X169000000Y-66000000D03* -G04 #@! TO.P,US2,4* -G04 #@! TO.N,Net-(US2-Pad4)* -X169650000Y-66000000D03* -G04 #@! TO.P,US2,3* -G04 #@! TO.N,/usb/FPD+* -X170300000Y-66000000D03* -G04 #@! TO.P,US2,2* -G04 #@! TO.N,/usb/FPD-* -X170950000Y-66000000D03* -G04 #@! TO.P,US2,1* -G04 #@! TO.N,/usb/US2VBUS* -X171600000Y-66000000D03* -D12* -G04 #@! TO.P,US2,6* -G04 #@! TO.N,GND* -X170300000Y-63325000D03* -D13* -X167700000Y-65725000D03* -X172900000Y-65725000D03* -G04 #@! TD* -D10* -G04 #@! TO.P,US1,6* -G04 #@! TO.N,GND* -X108480000Y-63325000D03* -X115280000Y-63325000D03* -D11* -G04 #@! TO.P,US1,5* -X110580000Y-66000000D03* -G04 #@! TO.P,US1,4* -G04 #@! TO.N,Net-(US1-Pad4)* -X111230000Y-66000000D03* -G04 #@! TO.P,US1,3* -G04 #@! TO.N,/usb/FTD+* -X111880000Y-66000000D03* -G04 #@! TO.P,US1,2* -G04 #@! TO.N,/usb/FTD-* -X112530000Y-66000000D03* -G04 #@! TO.P,US1,1* -G04 #@! TO.N,USB5V* -X113180000Y-66000000D03* -D12* -G04 #@! TO.P,US1,6* -G04 #@! TO.N,GND* -X111880000Y-63325000D03* -D13* -X109280000Y-65725000D03* -X114480000Y-65725000D03* -G04 #@! TD* -D14* G04 #@! TO.P,J1,1* G04 #@! TO.N,2V5_3V3* X97910000Y-62690000D03* G04 #@! TO.P,J1,2* X95370000Y-62690000D03* -D15* +D11* G04 #@! TO.P,J1,3* G04 #@! TO.N,GND* X97910000Y-65230000D03* G04 #@! TO.P,J1,4* X95370000Y-65230000D03* -D14* +D10* G04 #@! TO.P,J1,5* G04 #@! TO.N,GN0* X97910000Y-67770000D03* @@ -266,13 +210,13 @@ G04 #@! TO.N,2V5_3V3* X97910000Y-85550000D03* G04 #@! TO.P,J1,20* X95370000Y-85550000D03* -D15* +D11* G04 #@! TO.P,J1,21* G04 #@! TO.N,GND* X97910000Y-88090000D03* G04 #@! TO.P,J1,22* X95370000Y-88090000D03* -D14* +D10* G04 #@! TO.P,J1,23* G04 #@! TO.N,GN7* X97910000Y-90630000D03* @@ -315,13 +259,13 @@ X97910000Y-105870000D03* G04 #@! TO.P,J1,36* G04 #@! TO.N,GP13* X95370000Y-105870000D03* -D15* +D11* G04 #@! TO.P,J1,37* G04 #@! TO.N,GND* X97910000Y-108410000D03* G04 #@! TO.P,J1,38* X95370000Y-108410000D03* -D14* +D10* G04 #@! TO.P,J1,39* G04 #@! TO.N,2V5_3V3* X97910000Y-110950000D03* @@ -333,13 +277,13 @@ G04 #@! TO.N,+3V3* X184270000Y-110950000D03* G04 #@! TO.P,J2,2* X186810000Y-110950000D03* -D15* +D11* G04 #@! TO.P,J2,3* G04 #@! TO.N,GND* X184270000Y-108410000D03* G04 #@! TO.P,J2,4* X186810000Y-108410000D03* -D14* +D10* G04 #@! TO.P,J2,5* G04 #@! TO.N,GN14* X184270000Y-105870000D03* @@ -387,13 +331,13 @@ G04 #@! TO.N,+3V3* X184270000Y-88090000D03* G04 #@! TO.P,J2,20* X186810000Y-88090000D03* -D15* +D11* G04 #@! TO.P,J2,21* G04 #@! TO.N,GND* X184270000Y-85550000D03* G04 #@! TO.P,J2,22* X186810000Y-85550000D03* -D14* +D10* G04 #@! TO.P,J2,23* G04 #@! TO.N,GN21* X184270000Y-83010000D03* @@ -436,13 +380,13 @@ X184270000Y-67770000D03* G04 #@! TO.P,J2,36* G04 #@! TO.N,GP27* X186810000Y-67770000D03* -D15* +D11* G04 #@! TO.P,J2,37* G04 #@! TO.N,GND* X184270000Y-65230000D03* G04 #@! TO.P,J2,38* X186810000Y-65230000D03* -D14* +D10* G04 #@! TO.P,J2,39* G04 #@! TO.N,/gpio/IN5V* X184270000Y-62690000D03* @@ -450,7 +394,7 @@ G04 #@! TO.P,J2,40* G04 #@! TO.N,/gpio/OUT5V* X186810000Y-62690000D03* G04 #@! TD* -D16* +D12* G04 #@! TO.P,H1,1* G04 #@! TO.N,GND* X102990000Y-108410000D03* @@ -467,11 +411,11 @@ G04 #@! TO.P,H4,1* G04 #@! TO.N,GND* X102990000Y-65230000D03* G04 #@! TD* -D15* +D11* G04 #@! TO.P,J4,1* G04 #@! TO.N,GND* X140455000Y-104600000D03* -D14* +D10* G04 #@! TO.P,J4,2* G04 #@! TO.N,+3V3* X137915000Y-104600000D03* @@ -488,7 +432,7 @@ G04 #@! TO.P,J4,6* G04 #@! TO.N,JTAG_TDO* X137915000Y-109680000D03* G04 #@! TD* -D17* +D13* G04 #@! TO.P,U8,1* G04 #@! TO.N,GP15* X179735000Y-101655000D03* @@ -509,7 +453,7 @@ G04 #@! TO.N,GND* X179735000Y-99155000D03* G04 #@! TO.P,U8,7* X179735000Y-98655000D03* -D18* +D14* G04 #@! TO.P,U8,8* X178785000Y-97705000D03* G04 #@! TO.P,U8,9* @@ -526,7 +470,7 @@ G04 #@! TO.N,GND* X176285000Y-97705000D03* G04 #@! TO.P,U8,14* X175785000Y-97705000D03* -D17* +D13* G04 #@! TO.P,U8,15* G04 #@! TO.N,+3V3* X174835000Y-98655000D03* @@ -547,7 +491,7 @@ X174835000Y-101155000D03* G04 #@! TO.P,U8,21* G04 #@! TO.N,ADC_MOSI* X174835000Y-101655000D03* -D18* +D14* G04 #@! TO.P,U8,22* G04 #@! TO.N,GND* X175785000Y-102605000D03* @@ -569,7 +513,7 @@ X178285000Y-102605000D03* G04 #@! TO.P,U8,28* G04 #@! TO.N,GN15* X178785000Y-102605000D03* -D19* +D15* G04 #@! TO.P,U8,29* G04 #@! TO.N,GND* X176447500Y-99317500D03* @@ -577,11 +521,11 @@ X176447500Y-100992500D03* X178122500Y-99317500D03* X178122500Y-100992500D03* G04 #@! TD* -D20* +D16* G04 #@! TO.P,OLED1,1* G04 #@! TO.N,GND* X147440000Y-100790000D03* -D21* +D17* G04 #@! TO.P,OLED1,2* G04 #@! TO.N,+3V3* X144900000Y-100790000D03* @@ -601,7 +545,7 @@ G04 #@! TO.P,OLED1,7* G04 #@! TO.N,OLED_CS* X132200000Y-100790000D03* G04 #@! TD* -D22* +D18* G04 #@! TO.P,BTN0,2* G04 #@! TO.N,GND* X175550000Y-71870000D03* @@ -679,7 +623,7 @@ G04 #@! TO.P,BTN6,2* G04 #@! TO.N,BTN_R* X167590000Y-106160000D03* G04 #@! TD* -D23* +D19* G04 #@! TO.P,SW1,1* G04 #@! TO.N,/blinkey/SWPU* X156330000Y-78425000D03* @@ -705,7 +649,7 @@ G04 #@! TO.P,SW1,8* G04 #@! TO.N,SW1* X156330000Y-69815000D03* G04 #@! TD* -D24* +D20* G04 #@! TO.P,U1,A2* G04 #@! TO.N,GP9* X131680000Y-80200000D03* @@ -1753,31 +1697,31 @@ X143680000Y-95400000D03* G04 #@! TO.P,U1,Y19* X145280000Y-95400000D03* G04 #@! TD* -D25* +D21* G04 #@! TO.P,AUDIO1,1* G04 #@! TO.N,GND* X120668000Y-62618000D03* -D26* +D22* G04 #@! TO.P,AUDIO1,4* G04 #@! TO.N,/analog/AUDIO_V* X120668000Y-65418000D03* -D27* +D23* G04 #@! TO.P,AUDIO1,2* G04 #@! TO.N,/analog/AUDIO_L* X120668000Y-74818000D03* G04 #@! TO.P,AUDIO1,5* G04 #@! TO.N,Net-(AUDIO1-Pad5)* X125218000Y-78918000D03* -D26* +D22* G04 #@! TO.P,AUDIO1,3* G04 #@! TO.N,/analog/AUDIO_R* X128268000Y-67318000D03* -D25* +D21* G04 #@! TO.P,AUDIO1,6* G04 #@! TO.N,Net-(AUDIO1-Pad6)* X128268000Y-64518000D03* G04 #@! TD* -D28* +D24* G04 #@! TO.P,SD1,1* G04 #@! TO.N,SD_D2* X118250000Y-105250000D03* @@ -1802,20 +1746,20 @@ X111650000Y-105250000D03* G04 #@! TO.P,SD1,8* G04 #@! TO.N,SD_D1* X110550000Y-105250000D03* -D29* +D25* G04 #@! TO.P,SD1,10* G04 #@! TO.N,GND* X121925000Y-109550000D03* G04 #@! TO.P,SD1,11* X108175000Y-97850000D03* -D30* +D26* G04 #@! TO.P,SD1,9* X108175000Y-107850000D03* X121925000Y-107850000D03* X121925000Y-99550000D03* X108175000Y-99550000D03* G04 #@! TD* -D31* +D27* G04 #@! TO.P,Y1,1* G04 #@! TO.N,+3V3* X108040000Y-69220000D03* @@ -1829,7 +1773,7 @@ G04 #@! TO.P,Y1,4* G04 #@! TO.N,+3V3* X108040000Y-73620000D03* G04 #@! TD* -D32* +D28* G04 #@! TO.P,U2,28* G04 #@! TO.N,GND* X175493000Y-82270000D03* @@ -1993,7 +1937,7 @@ G04 #@! TO.P,U2,54* G04 #@! TO.N,GND* X154693000Y-82270000D03* G04 #@! TD* -D33* +D29* G04 #@! TO.P,C36,1* G04 #@! TO.N,FPDI_ETH+* X150361000Y-76646000D03* @@ -2064,7 +2008,7 @@ G04 #@! TO.P,C45,2* G04 #@! TO.N,/gpdi/GPDI_CLK+* X147567000Y-74884000D03* G04 #@! TD* -D34* +D30* G04 #@! TO.P,D19,1* G04 #@! TO.N,/blinkey/LED_TXLED* X130930000Y-106825000D03* @@ -2142,7 +2086,7 @@ G04 #@! TO.P,D22,1* G04 #@! TO.N,/blinkey/LED_WIFI* X125850000Y-106825000D03* G04 #@! TD* -D35* +D31* G04 #@! TO.P,GPDI1,19* G04 #@! TO.N,/gpdi/GPDI_ETH-* X149546000Y-70312000D03* @@ -2200,7 +2144,7 @@ X141046000Y-70312000D03* G04 #@! TO.P,GPDI1,1* G04 #@! TO.N,/gpdi/GPDI_D2+* X140546000Y-70312000D03* -D36* +D32* G04 #@! TO.P,GPDI1,0* G04 #@! TO.N,GND* X152546000Y-69312000D03* @@ -2208,7 +2152,7 @@ X138046000Y-69312000D03* X153146000Y-64412000D03* X137446000Y-64412000D03* G04 #@! TD* -D33* +D29* G04 #@! TO.P,R41,1* G04 #@! TO.N,LED0* X120770000Y-83377000D03* @@ -2286,20 +2230,20 @@ G04 #@! TO.P,R62,2* G04 #@! TO.N,GND* X125850000Y-110555000D03* G04 #@! TD* -D37* +D33* G04 #@! TO.P,J3,1* G04 #@! TO.N,/wifi/WIFIEN* X102990000Y-95710000D03* -D38* +D34* G04 #@! TO.P,J3,2* G04 #@! TO.N,GND* X105530000Y-95710000D03* G04 #@! TD* -D37* +D33* G04 #@! TO.P,J5,1* G04 #@! TO.N,+2V5* X130056000Y-71725000D03* -D38* +D34* G04 #@! TO.P,J5,2* G04 #@! TO.N,2V5_3V3* X132596000Y-71725000D03* @@ -2307,7 +2251,7 @@ G04 #@! TO.P,J5,3* G04 #@! TO.N,+3V3* X135136000Y-71725000D03* G04 #@! TD* -D39* +D35* G04 #@! TO.P,RV2,2* G04 #@! TO.N,2V5_3V3* X131785500Y-69566000D03* @@ -2322,13 +2266,68 @@ G04 #@! TO.P,RV3,2* G04 #@! TO.N,+3V3* X135166000Y-69566000D03* G04 #@! TD* +D36* +G04 #@! TO.P,US1,6* +G04 #@! TO.N,GND* +X114980000Y-65875000D03* +X108780000Y-65875000D03* +D37* +X113080000Y-63325000D03* +X110680000Y-63325000D03* +D38* +G04 #@! TO.P,US1,1* +G04 #@! TO.N,USB5V* +X113180000Y-66000000D03* +G04 #@! TO.P,US1,2* +G04 #@! TO.N,/usb/FTD-* +X112530000Y-66000000D03* +G04 #@! TO.P,US1,3* +G04 #@! TO.N,/usb/FTD+* +X111880000Y-66000000D03* +G04 #@! TO.P,US1,4* +G04 #@! TO.N,Net-(US1-Pad4)* +X111230000Y-66000000D03* +G04 #@! TO.P,US1,5* +G04 #@! TO.N,GND* +X110580000Y-66000000D03* +D39* +G04 #@! TO.P,US1,6* +X115680000Y-63325000D03* +X108080000Y-63325000D03* +G04 #@! TD* +G04 #@! TO.P,US2,6* +G04 #@! TO.N,GND* +X166500000Y-63325000D03* +X174100000Y-63325000D03* +D38* +G04 #@! TO.P,US2,5* +X169000000Y-66000000D03* +G04 #@! TO.P,US2,4* +G04 #@! TO.N,Net-(US2-Pad4)* +X169650000Y-66000000D03* +G04 #@! TO.P,US2,3* +G04 #@! TO.N,/usb/FPD+* +X170300000Y-66000000D03* +G04 #@! TO.P,US2,2* +G04 #@! TO.N,/usb/FPD-* +X170950000Y-66000000D03* +G04 #@! TO.P,US2,1* +G04 #@! TO.N,/usb/US2VBUS* +X171600000Y-66000000D03* +D37* +G04 #@! TO.P,US2,6* +G04 #@! TO.N,GND* +X169100000Y-63325000D03* +X171500000Y-63325000D03* +D36* +X167200000Y-65875000D03* +X173400000Y-65875000D03* +G04 #@! TD* D40* G04 #@! TO.N,* X124632693Y-93120351D03* D41* G04 #@! TO.N,GND* -X175649000Y-63343000D03* -D42* X112784000Y-102840000D03* D40* X141742847Y-69038361D03* @@ -2337,7 +2336,7 @@ X138480000Y-92600000D03* X140080000Y-92600000D03* X135280000Y-87000000D03* X145687392Y-90996646D03* -D43* +D42* X141675979Y-86986521D03* D40* X140876932Y-84552536D03* @@ -2345,25 +2344,22 @@ X132882184Y-84635369D03* X132879996Y-82103336D03* X140922639Y-81447441D03* X177287984Y-96778661D03* -D44* +D43* X152510125Y-81695229D03* D40* X131264297Y-86227110D03* X173856000Y-71538990D03* -D41* -X164947008Y-63194069D03* -D40* X135342764Y-89381630D03* X144103496Y-84660400D03* -D41* +D44* X177658444Y-82281349D03* D40* X145680000Y-81405125D03* X145691238Y-94166752D03* -D41* +D44* X162956098Y-95078488D03* X158539988Y-94868772D03* -D44* +D43* X161075765Y-80992022D03* X164882869Y-80938986D03* X156262773Y-81349374D03* @@ -2381,9 +2377,9 @@ X135284627Y-94985297D03* X135288625Y-94225619D03* X134455822Y-94267172D03* X136095958Y-93369652D03* -D43* +D42* X139264636Y-91615205D03* -D41* +D44* X116880503Y-64802940D03* X106974809Y-64953974D03* D40* @@ -2396,20 +2392,23 @@ X136880000Y-92600000D03* X135280000Y-92600000D03* X132880000Y-91800000D03* X132880000Y-93400000D03* -D43* +D42* X139280000Y-87000000D03* X137680000Y-87000000D03* X136080000Y-84600000D03* X139280000Y-88600000D03* +D44* +X175776000Y-63343000D03* +X164854000Y-63343000D03* D40* G04 #@! TO.N,+5V* X149169500Y-68867500D03* -D41* +D44* X166275426Y-77459534D03* X107021491Y-67043629D03* D40* X172047074Y-87438453D03* -D41* +D44* X166255545Y-79350736D03* X157807568Y-67669269D03* X101707889Y-82423180D03* @@ -2421,38 +2420,38 @@ G04 #@! TO.N,+3V3* X119692151Y-77494120D03* X135263000Y-87727000D03* X141740000Y-89250994D03* -D44* +D43* X156091000Y-94585000D03* D40* X140032430Y-67286930D03* X137803000Y-91664000D03* -D44* +D43* X181155918Y-104980708D03* D40* X171089123Y-98665996D03* X171089123Y-99619659D03* -D41* +D44* X135105588Y-66616618D03* D40* X181491000Y-91156000D03* X113660608Y-89375718D03* -D41* +D44* X148268387Y-79050018D03* D40* X114513935Y-82409431D03* X109840000Y-73620000D03* X132110753Y-92527478D03* X165803369Y-97344883D03* -D41* -X160905403Y-95006436D03* D44* +X160905403Y-95006436D03* +D43* X158731133Y-81048929D03* X154593901Y-94607945D03* -D41* -X164625730Y-94982471D03* D44* +X164625730Y-94982471D03* +D43* X163462982Y-80942429D03* -D41* +D44* X176254940Y-94288458D03* D45* X110593913Y-108636458D03* @@ -2466,9 +2465,9 @@ X139272517Y-84611349D03* X141680000Y-86200000D03* X132880000Y-92600000D03* X141680000Y-85400000D03* -D42* -X102624000Y-98141000D03* D41* +X102624000Y-98141000D03* +D44* X100973000Y-94331000D03* D40* G04 #@! TO.N,BTN_F1* @@ -2486,7 +2485,7 @@ X147175985Y-97333919D03* X147700000Y-93820000D03* G04 #@! TO.N,+2V5* X142107219Y-91201016D03* -D41* +D44* X110128890Y-90028152D03* D40* X142480000Y-83800000D03* @@ -2509,7 +2508,7 @@ X133680000Y-91800000D03* G04 #@! TO.N,JTAG_TCK* X135517000Y-97633000D03* X134675868Y-108131585D03* -D43* +D42* X133449289Y-102232615D03* D40* X133680000Y-92600000D03* @@ -2563,7 +2562,7 @@ X144894316Y-86977564D03* G04 #@! TO.N,SDRAM_D13* X144896700Y-83863953D03* X149527221Y-80838006D03* -D43* +D42* G04 #@! TO.N,SDRAM_D6* X147343891Y-93217126D03* D40* @@ -2574,7 +2573,7 @@ X144875155Y-86292748D03* G04 #@! TO.N,SDRAM_D12* X144916886Y-83019942D03* X148696112Y-80861847D03* -D43* +D42* G04 #@! TO.N,SDRAM_D5* X148349010Y-93322307D03* D40* @@ -2681,7 +2680,7 @@ X135656559Y-110610712D03* G04 #@! TO.N,FTDI_nSLEEP* X149577281Y-103320847D03* X132468001Y-104503413D03* -D42* +D41* G04 #@! TO.N,/sdcard/SD3V3* X104260000Y-100790000D03* D40* @@ -2773,11 +2772,11 @@ X139322319Y-90164039D03* X140080000Y-89400000D03* X136880000Y-89400000D03* X140896226Y-87816226D03* -D43* +D42* X136938110Y-86196311D03* D40* X140014602Y-86192969D03* -D41* +D44* X151758000Y-99774000D03* D40* G04 #@! TO.N,SW4* @@ -2821,7 +2820,7 @@ X135034780Y-77831198D03* G04 #@! TO.N,GP6* X134508502Y-81406751D03* X134177298Y-77721089D03* -D43* +D42* G04 #@! TO.N,GN14* X146942280Y-96452058D03* G04 #@! TO.N,GP14* @@ -2967,11 +2966,11 @@ G04 #@! TO.N,/gpdi/FPDI_CEC* X144606344Y-79361357D03* G04 #@! TO.N,2V5_3V3* X157996000Y-72487000D03* -D41* +D44* X100855891Y-71574861D03* D40* X135280000Y-85400000D03* -D44* +D43* X100652119Y-90162239D03* D40* X137550785Y-84577294D03* @@ -8339,37 +8338,32 @@ X101065487Y-62365068D01* X100753339Y-62813734D01* X102990000Y-65050395D01* X105226661Y-62813734D01* -X105042264Y-62548690D01* -X106995000Y-62548690D01* -X106995000Y-63039250D01* -X107153750Y-63198000D01* -X108353000Y-63198000D01* -X108353000Y-62198750D01* -X108194250Y-62040000D01* -X107503691Y-62040000D01* -X107270302Y-62136673D01* -X107091673Y-62315301D01* -X106995000Y-62548690D01* -X105042264Y-62548690D01* X104914513Y-62365068D01* X103921789Y-61951000D01* -X109405974Y-61951000D01* -X109341673Y-62015301D01* -X109331442Y-62040000D01* -X108765750Y-62040000D01* -X108607000Y-62198750D01* -X108607000Y-63198000D01* -X111753000Y-63198000D01* -X111753000Y-63178000D01* -X112007000Y-63178000D01* -X112007000Y-63198000D01* -X115153000Y-63198000D01* -X115153000Y-62198750D01* -X115407000Y-62198750D01* -X115407000Y-63198000D01* -X116606250Y-63198000D01* -X116765000Y-63039250D01* -X116765000Y-62903750D01* +X106705974Y-61951000D01* +X106641673Y-62015301D01* +X106545000Y-62248690D01* +X106545000Y-63039250D01* +X106703750Y-63198000D01* +X107953000Y-63198000D01* +X107953000Y-63178000D01* +X108207000Y-63178000D01* +X108207000Y-63198000D01* +X110553000Y-63198000D01* +X110553000Y-63178000D01* +X110807000Y-63178000D01* +X110807000Y-63198000D01* +X112953000Y-63198000D01* +X112953000Y-63178000D01* +X113207000Y-63178000D01* +X113207000Y-63198000D01* +X115553000Y-63198000D01* +X115553000Y-63178000D01* +X115807000Y-63178000D01* +X115807000Y-63198000D01* +X117056250Y-63198000D01* +X117215000Y-63039250D01* +X117215000Y-62903750D01* X118633000Y-62903750D01* X118633000Y-63744309D01* X118729673Y-63977698D01* @@ -8434,18 +8428,10 @@ X120541000Y-62765000D01* X120541000Y-62745000D01* X118791750Y-62745000D01* X118633000Y-62903750D01* -X116765000Y-62903750D01* -X116765000Y-62548690D01* -X116668327Y-62315301D01* -X116489698Y-62136673D01* -X116256309Y-62040000D01* -X115565750Y-62040000D01* -X115407000Y-62198750D01* -X115153000Y-62198750D01* -X114994250Y-62040000D01* -X114428558Y-62040000D01* -X114418327Y-62015301D01* -X114354026Y-61951000D01* +X117215000Y-62903750D01* +X117215000Y-62248690D01* +X117118327Y-62015301D01* +X117054026Y-61951000D01* X118633000Y-61951000D01* X118633000Y-62332250D01* X118791750Y-62491000D01* @@ -8456,33 +8442,32 @@ X120795000Y-62491000D01* X122544250Y-62491000D01* X122703000Y-62332250D01* X122703000Y-61951000D01* -X167825974Y-61951000D01* -X167761673Y-62015301D01* -X167751442Y-62040000D01* -X167185750Y-62040000D01* -X167027000Y-62198750D01* -X167027000Y-63198000D01* -X170173000Y-63198000D01* -X170173000Y-63178000D01* -X170427000Y-63178000D01* -X170427000Y-63198000D01* -X173573000Y-63198000D01* -X173573000Y-62198750D01* -X173827000Y-62198750D01* -X173827000Y-63198000D01* -X175026250Y-63198000D01* -X175185000Y-63039250D01* -X175185000Y-62548690D01* -X175088327Y-62315301D01* -X174909698Y-62136673D01* -X174676309Y-62040000D01* -X173985750Y-62040000D01* -X173827000Y-62198750D01* -X173573000Y-62198750D01* -X173414250Y-62040000D01* -X172848558Y-62040000D01* -X172838327Y-62015301D01* -X172774026Y-61951000D01* +X165125974Y-61951000D01* +X165061673Y-62015301D01* +X164965000Y-62248690D01* +X164965000Y-63039250D01* +X165123750Y-63198000D01* +X166373000Y-63198000D01* +X166373000Y-63178000D01* +X166627000Y-63178000D01* +X166627000Y-63198000D01* +X168973000Y-63198000D01* +X168973000Y-63178000D01* +X169227000Y-63178000D01* +X169227000Y-63198000D01* +X171373000Y-63198000D01* +X171373000Y-63178000D01* +X171627000Y-63178000D01* +X171627000Y-63198000D01* +X173973000Y-63198000D01* +X173973000Y-63178000D01* +X174227000Y-63178000D01* +X174227000Y-63198000D01* +X175476250Y-63198000D01* +X175635000Y-63039250D01* +X175635000Y-62248690D01* +X175538327Y-62015301D01* +X175474026Y-61951000D01* X178263317Y-61951000D01* X177279629Y-62355620D01* X177265487Y-62365068D01* @@ -9863,18 +9848,31 @@ X165991868Y-67841568D01* X165976247Y-67818190D01* X165886663Y-67684117D01* X165846916Y-67657559D01* -X164200107Y-66010750D01* -X166265000Y-66010750D01* -X166265000Y-66451310D01* -X166361673Y-66684699D01* -X166540302Y-66863327D01* -X166773691Y-66960000D01* -X167414250Y-66960000D01* -X167573000Y-66801250D01* -X167573000Y-65852000D01* -X166423750Y-65852000D01* -X166265000Y-66010750D01* -X164200107Y-66010750D01* +X164350107Y-66160750D01* +X165515000Y-66160750D01* +X165515000Y-66801310D01* +X165611673Y-67034699D01* +X165790302Y-67213327D01* +X166023691Y-67310000D01* +X166914250Y-67310000D01* +X167073000Y-67151250D01* +X167073000Y-66002000D01* +X167327000Y-66002000D01* +X167327000Y-67151250D01* +X167485750Y-67310000D01* +X168376309Y-67310000D01* +X168525000Y-67248410D01* +X168673691Y-67310000D01* +X168741250Y-67310000D01* +X168900000Y-67151250D01* +X168900000Y-66127000D01* +X168851250Y-66127000D01* +X168726250Y-66002000D01* +X167327000Y-66002000D01* +X167073000Y-66002000D01* +X165673750Y-66002000D01* +X165515000Y-66160750D01* +X164350107Y-66160750D01* X162541035Y-64351679D01* X162514475Y-64311929D01* X162357024Y-64206724D01* @@ -9891,34 +9889,30 @@ X157424758Y-63825000D01* X157775242Y-63825000D01* X158099047Y-63690876D01* X158179173Y-63610750D01* -X165415000Y-63610750D01* -X165415000Y-64101310D01* -X165511673Y-64334699D01* -X165690302Y-64513327D01* -X165923691Y-64610000D01* -X166516975Y-64610000D01* -X166361673Y-64765301D01* -X166265000Y-64998690D01* -X166265000Y-65439250D01* -X166423750Y-65598000D01* -X167573000Y-65598000D01* -X167573000Y-65578000D01* -X167827000Y-65578000D01* -X167827000Y-65598000D01* -X167847000Y-65598000D01* -X167847000Y-65852000D01* -X167827000Y-65852000D01* -X167827000Y-66801250D01* -X167985750Y-66960000D01* -X168230732Y-66960000D01* -X168261673Y-67034699D01* -X168440302Y-67213327D01* -X168673691Y-67310000D01* -X168741250Y-67310000D01* -X168900000Y-67151250D01* -X168900000Y-66823025D01* -X169038327Y-66684699D01* -X169061536Y-66628668D01* +X164965000Y-63610750D01* +X164965000Y-64401310D01* +X165061673Y-64634699D01* +X165240302Y-64813327D01* +X165473691Y-64910000D01* +X165531026Y-64910000D01* +X165515000Y-64948690D01* +X165515000Y-65589250D01* +X165673750Y-65748000D01* +X167073000Y-65748000D01* +X167073000Y-65728000D01* +X167327000Y-65728000D01* +X167327000Y-65748000D01* +X168198750Y-65748000D01* +X168323750Y-65873000D01* +X168900000Y-65873000D01* +X168900000Y-64848750D01* +X168887750Y-64836500D01* +X168972998Y-64751252D01* +X168972998Y-64910000D01* +X169100000Y-64910000D01* +X169100000Y-65163030D01* +X169091106Y-65176341D01* +X169061536Y-65325000D01* X169061536Y-66675000D01* X169091106Y-66823659D01* X169100000Y-66836970D01* @@ -9975,19 +9969,24 @@ X181426661Y-67646266D01* X179190000Y-65409605D01* X176953339Y-67646266D01* X172131000Y-67646266D01* -X172131000Y-66960000D01* -X172614250Y-66960000D01* -X172773000Y-66801250D01* -X172773000Y-65852000D01* -X173027000Y-65852000D01* -X173027000Y-66801250D01* -X173185750Y-66960000D01* -X173826309Y-66960000D01* -X174059698Y-66863327D01* -X174238327Y-66684699D01* -X174335000Y-66451310D01* -X174335000Y-66010750D01* -X174219226Y-65894976D01* +X172131000Y-67271606D01* +X172223691Y-67310000D01* +X173114250Y-67310000D01* +X173273000Y-67151250D01* +X173273000Y-66002000D01* +X173527000Y-66002000D01* +X173527000Y-67151250D01* +X173685750Y-67310000D01* +X174576309Y-67310000D01* +X174809698Y-67213327D01* +X174988327Y-67034699D01* +X175085000Y-66801310D01* +X175085000Y-66160750D01* +X174926250Y-66002000D01* +X173527000Y-66002000D01* +X173273000Y-66002000D01* +X173253000Y-66002000D01* +X173253000Y-65894976D01* X175803352Y-65894976D01* X176315620Y-67140371D01* X176325068Y-67154513D01* @@ -10007,36 +10006,30 @@ X176773734Y-62993339D01* X176325068Y-63305487D01* X175806669Y-64548343D01* X175803352Y-65894976D01* -X174219226Y-65894976D01* -X174176250Y-65852000D01* -X173027000Y-65852000D01* -X172773000Y-65852000D01* -X172753000Y-65852000D01* -X172753000Y-65598000D01* -X172773000Y-65598000D01* -X172773000Y-65578000D01* -X173027000Y-65578000D01* -X173027000Y-65598000D01* -X174176250Y-65598000D01* -X174335000Y-65439250D01* -X174335000Y-64998690D01* -X174238327Y-64765301D01* -X174083025Y-64610000D01* -X174676309Y-64610000D01* -X174909698Y-64513327D01* -X175088327Y-64334699D01* -X175185000Y-64101310D01* -X175185000Y-63610750D01* -X175026250Y-63452000D01* -X173827000Y-63452000D01* -X173827000Y-63472000D01* -X173573000Y-63472000D01* -X173573000Y-63452000D01* -X170427000Y-63452000D01* -X170427000Y-64751250D01* -X170585750Y-64910000D01* -X171501737Y-64910000D01* -X171490745Y-64936536D01* +X173253000Y-65894976D01* +X173253000Y-65748000D01* +X173273000Y-65748000D01* +X173273000Y-65728000D01* +X173527000Y-65728000D01* +X173527000Y-65748000D01* +X174926250Y-65748000D01* +X175085000Y-65589250D01* +X175085000Y-64948690D01* +X175068974Y-64910000D01* +X175126309Y-64910000D01* +X175359698Y-64813327D01* +X175538327Y-64634699D01* +X175635000Y-64401310D01* +X175635000Y-63610750D01* +X175476250Y-63452000D01* +X174227000Y-63452000D01* +X174227000Y-63472000D01* +X173973000Y-63472000D01* +X173973000Y-63452000D01* +X171627000Y-63452000D01* +X171627000Y-64751250D01* +X171747054Y-64871304D01* +X171720034Y-64936536D01* X171400000Y-64936536D01* X171275000Y-64961400D01* X171150000Y-64936536D01* @@ -10048,31 +10041,26 @@ X169975000Y-64961400D01* X169850000Y-64936536D01* X169709562Y-64936536D01* X169683026Y-64910000D01* -X170014250Y-64910000D01* -X170173000Y-64751250D01* -X170173000Y-63452000D01* -X167027000Y-63452000D01* -X167027000Y-63472000D01* -X166773000Y-63472000D01* -X166773000Y-63452000D01* -X165573750Y-63452000D01* -X165415000Y-63610750D01* +X170176309Y-64910000D01* +X170300000Y-64858765D01* +X170423691Y-64910000D01* +X171214250Y-64910000D01* +X171373000Y-64751250D01* +X171373000Y-63452000D01* +X169227000Y-63452000D01* +X169227000Y-63472000D01* +X168973000Y-63472000D01* +X168973000Y-63452000D01* +X166627000Y-63452000D01* +X166627000Y-63472000D01* +X166373000Y-63472000D01* +X166373000Y-63452000D01* +X165123750Y-63452000D01* +X164965000Y-63610750D01* X158179173Y-63610750D01* X158346876Y-63443047D01* X158481000Y-63119242D01* X158481000Y-62768758D01* -X158389846Y-62548690D01* -X165415000Y-62548690D01* -X165415000Y-63039250D01* -X165573750Y-63198000D01* -X166773000Y-63198000D01* -X166773000Y-62198750D01* -X166614250Y-62040000D01* -X165923691Y-62040000D01* -X165690302Y-62136673D01* -X165511673Y-62315301D01* -X165415000Y-62548690D01* -X158389846Y-62548690D01* X158346876Y-62444953D01* X158099047Y-62197124D01* X157775242Y-62063000D01* @@ -12285,59 +12273,58 @@ X106846249Y-67924629D01* X107196733Y-67924629D01* X107520538Y-67790505D01* X107768367Y-67542676D01* -X107902491Y-67218871D01* -X107902491Y-66868387D01* -X107768367Y-66544582D01* -X107520538Y-66296753D01* -X107196733Y-66162629D01* +X107864744Y-67310000D01* +X108494250Y-67310000D01* +X108653000Y-67151250D01* +X108653000Y-66002000D01* +X108907000Y-66002000D01* +X108907000Y-67151250D01* +X109065750Y-67310000D01* +X109956309Y-67310000D01* +X110105000Y-67248410D01* +X110253691Y-67310000D01* +X110321250Y-67310000D01* +X110480000Y-67151250D01* +X110480000Y-66127000D01* +X110431250Y-66127000D01* +X110306250Y-66002000D01* +X108907000Y-66002000D01* +X108653000Y-66002000D01* +X107253750Y-66002000D01* +X107095000Y-66160750D01* +X107095000Y-66162629D01* X106846249Y-66162629D01* X106522444Y-66296753D01* X106274615Y-66544582D01* X106140491Y-66868387D01* X105974276Y-66868387D01* -X106331999Y-66010750D01* -X107845000Y-66010750D01* -X107845000Y-66451310D01* -X107941673Y-66684699D01* -X108120302Y-66863327D01* -X108353691Y-66960000D01* -X108994250Y-66960000D01* -X109153000Y-66801250D01* -X109153000Y-65852000D01* -X108003750Y-65852000D01* -X107845000Y-66010750D01* -X106331999Y-66010750D01* X106373331Y-65911657D01* X106376648Y-64565024D01* X105984127Y-63610750D01* -X106995000Y-63610750D01* -X106995000Y-64101310D01* -X107091673Y-64334699D01* -X107270302Y-64513327D01* -X107503691Y-64610000D01* -X108096975Y-64610000D01* -X107941673Y-64765301D01* -X107845000Y-64998690D01* -X107845000Y-65439250D01* -X108003750Y-65598000D01* -X109153000Y-65598000D01* -X109153000Y-65578000D01* -X109407000Y-65578000D01* -X109407000Y-65598000D01* -X109427000Y-65598000D01* -X109427000Y-65852000D01* -X109407000Y-65852000D01* -X109407000Y-66801250D01* -X109565750Y-66960000D01* -X109810732Y-66960000D01* -X109841673Y-67034699D01* -X110020302Y-67213327D01* -X110253691Y-67310000D01* -X110321250Y-67310000D01* -X110480000Y-67151250D01* -X110480000Y-66823025D01* -X110618327Y-66684699D01* -X110641536Y-66628668D01* +X106545000Y-63610750D01* +X106545000Y-64401310D01* +X106641673Y-64634699D01* +X106820302Y-64813327D01* +X107053691Y-64910000D01* +X107111026Y-64910000D01* +X107095000Y-64948690D01* +X107095000Y-65589250D01* +X107253750Y-65748000D01* +X108653000Y-65748000D01* +X108653000Y-65728000D01* +X108907000Y-65728000D01* +X108907000Y-65748000D01* +X109778750Y-65748000D01* +X109903750Y-65873000D01* +X110480000Y-65873000D01* +X110480000Y-64848750D01* +X110467750Y-64836500D01* +X110552998Y-64751252D01* +X110552998Y-64910000D01* +X110680000Y-64910000D01* +X110680000Y-65163030D01* +X110671106Y-65176341D01* +X110641536Y-65325000D01* X110641536Y-66675000D01* X110671106Y-66823659D01* X110680000Y-66836970D01* @@ -12469,49 +12456,46 @@ X113272818Y-67924629D01* X113486360Y-67836177D01* X113649798Y-67672739D01* X113738250Y-67459197D01* -X113738250Y-67228061D01* -X113688250Y-67107350D01* -X113688250Y-66960000D01* -X114194250Y-66960000D01* -X114353000Y-66801250D01* -X114353000Y-65852000D01* -X114607000Y-65852000D01* -X114607000Y-66801250D01* -X114765750Y-66960000D01* -X115406309Y-66960000D01* -X115639698Y-66863327D01* -X115818327Y-66684699D01* -X115915000Y-66451310D01* -X115915000Y-66010750D01* -X115756250Y-65852000D01* -X114607000Y-65852000D01* -X114353000Y-65852000D01* -X114333000Y-65852000D01* -X114333000Y-65598000D01* -X114353000Y-65598000D01* -X114353000Y-65578000D01* -X114607000Y-65578000D01* -X114607000Y-65598000D01* -X115756250Y-65598000D01* -X115915000Y-65439250D01* -X115915000Y-64998690D01* -X115818327Y-64765301D01* -X115663025Y-64610000D01* -X116256309Y-64610000D01* -X116489698Y-64513327D01* -X116668327Y-64334699D01* -X116765000Y-64101310D01* -X116765000Y-63610750D01* -X116606250Y-63452000D01* -X115407000Y-63452000D01* -X115407000Y-63472000D01* -X115153000Y-63472000D01* -X115153000Y-63452000D01* -X112007000Y-63452000D01* -X112007000Y-64751250D01* -X112165750Y-64910000D01* -X113081737Y-64910000D01* -X113070745Y-64936536D01* +X113738250Y-67282893D01* +X113803691Y-67310000D01* +X114694250Y-67310000D01* +X114853000Y-67151250D01* +X114853000Y-66002000D01* +X115107000Y-66002000D01* +X115107000Y-67151250D01* +X115265750Y-67310000D01* +X116156309Y-67310000D01* +X116389698Y-67213327D01* +X116568327Y-67034699D01* +X116665000Y-66801310D01* +X116665000Y-66160750D01* +X116506250Y-66002000D01* +X115107000Y-66002000D01* +X114853000Y-66002000D01* +X114833000Y-66002000D01* +X114833000Y-65748000D01* +X114853000Y-65748000D01* +X114853000Y-65728000D01* +X115107000Y-65728000D01* +X115107000Y-65748000D01* +X116506250Y-65748000D01* +X116665000Y-65589250D01* +X116665000Y-64948690D01* +X116648974Y-64910000D01* +X116706309Y-64910000D01* +X116939698Y-64813327D01* +X117118327Y-64634699D01* +X117215000Y-64401310D01* +X117215000Y-63610750D01* +X117056250Y-63452000D01* +X115807000Y-63452000D01* +X115807000Y-63472000D01* +X115553000Y-63472000D01* +X115553000Y-63452000D01* +X113207000Y-63452000D01* +X113207000Y-64751250D01* +X113327054Y-64871304D01* +X113300034Y-64936536D01* X112980000Y-64936536D01* X112855000Y-64961400D01* X112730000Y-64936536D01* @@ -12523,15 +12507,22 @@ X111555000Y-64961400D01* X111430000Y-64936536D01* X111289562Y-64936536D01* X111263026Y-64910000D01* -X111594250Y-64910000D01* -X111753000Y-64751250D01* -X111753000Y-63452000D01* -X108607000Y-63452000D01* -X108607000Y-63472000D01* -X108353000Y-63472000D01* -X108353000Y-63452000D01* -X107153750Y-63452000D01* -X106995000Y-63610750D01* +X111756309Y-64910000D01* +X111880000Y-64858765D01* +X112003691Y-64910000D01* +X112794250Y-64910000D01* +X112953000Y-64751250D01* +X112953000Y-63452000D01* +X110807000Y-63452000D01* +X110807000Y-63472000D01* +X110553000Y-63472000D01* +X110553000Y-63452000D01* +X108207000Y-63452000D01* +X108207000Y-63472000D01* +X107953000Y-63472000D01* +X107953000Y-63452000D01* +X106703750Y-63452000D01* +X106545000Y-63610750D01* X105984127Y-63610750D01* X105864380Y-63319629D01* X105854932Y-63305487D01* @@ -12584,37 +12575,32 @@ X101065487Y-62365068D01* X100753339Y-62813734D01* X102990000Y-65050395D01* X105226661Y-62813734D01* -X105042264Y-62548690D01* -X106995000Y-62548690D01* -X106995000Y-63039250D01* -X107153750Y-63198000D01* -X108353000Y-63198000D01* -X108353000Y-62198750D01* -X108194250Y-62040000D01* -X107503691Y-62040000D01* -X107270302Y-62136673D01* -X107091673Y-62315301D01* -X106995000Y-62548690D01* -X105042264Y-62548690D01* X104914513Y-62365068D01* X103921789Y-61951000D01* -X109405974Y-61951000D01* -X109341673Y-62015301D01* -X109331442Y-62040000D01* -X108765750Y-62040000D01* -X108607000Y-62198750D01* -X108607000Y-63198000D01* -X111753000Y-63198000D01* -X111753000Y-63178000D01* -X112007000Y-63178000D01* -X112007000Y-63198000D01* -X115153000Y-63198000D01* -X115153000Y-62198750D01* -X115407000Y-62198750D01* -X115407000Y-63198000D01* -X116606250Y-63198000D01* -X116765000Y-63039250D01* -X116765000Y-62903750D01* +X106705974Y-61951000D01* +X106641673Y-62015301D01* +X106545000Y-62248690D01* +X106545000Y-63039250D01* +X106703750Y-63198000D01* +X107953000Y-63198000D01* +X107953000Y-63178000D01* +X108207000Y-63178000D01* +X108207000Y-63198000D01* +X110553000Y-63198000D01* +X110553000Y-63178000D01* +X110807000Y-63178000D01* +X110807000Y-63198000D01* +X112953000Y-63198000D01* +X112953000Y-63178000D01* +X113207000Y-63178000D01* +X113207000Y-63198000D01* +X115553000Y-63198000D01* +X115553000Y-63178000D01* +X115807000Y-63178000D01* +X115807000Y-63198000D01* +X117056250Y-63198000D01* +X117215000Y-63039250D01* +X117215000Y-62903750D01* X118633000Y-62903750D01* X118633000Y-63744309D01* X118729673Y-63977698D01* @@ -12679,18 +12665,10 @@ X120541000Y-62765000D01* X120541000Y-62745000D01* X118791750Y-62745000D01* X118633000Y-62903750D01* -X116765000Y-62903750D01* -X116765000Y-62548690D01* -X116668327Y-62315301D01* -X116489698Y-62136673D01* -X116256309Y-62040000D01* -X115565750Y-62040000D01* -X115407000Y-62198750D01* -X115153000Y-62198750D01* -X114994250Y-62040000D01* -X114428558Y-62040000D01* -X114418327Y-62015301D01* -X114354026Y-61951000D01* +X117215000Y-62903750D01* +X117215000Y-62248690D01* +X117118327Y-62015301D01* +X117054026Y-61951000D01* X118633000Y-61951000D01* X118633000Y-62332250D01* X118791750Y-62491000D01* @@ -12701,33 +12679,32 @@ X120795000Y-62491000D01* X122544250Y-62491000D01* X122703000Y-62332250D01* X122703000Y-61951000D01* -X167825974Y-61951000D01* -X167761673Y-62015301D01* -X167751442Y-62040000D01* -X167185750Y-62040000D01* -X167027000Y-62198750D01* -X167027000Y-63198000D01* -X170173000Y-63198000D01* -X170173000Y-63178000D01* -X170427000Y-63178000D01* -X170427000Y-63198000D01* -X173573000Y-63198000D01* -X173573000Y-62198750D01* -X173827000Y-62198750D01* -X173827000Y-63198000D01* -X175026250Y-63198000D01* -X175185000Y-63039250D01* -X175185000Y-62548690D01* -X175088327Y-62315301D01* -X174909698Y-62136673D01* -X174676309Y-62040000D01* -X173985750Y-62040000D01* -X173827000Y-62198750D01* -X173573000Y-62198750D01* -X173414250Y-62040000D01* -X172848558Y-62040000D01* -X172838327Y-62015301D01* -X172774026Y-61951000D01* +X165125974Y-61951000D01* +X165061673Y-62015301D01* +X164965000Y-62248690D01* +X164965000Y-63039250D01* +X165123750Y-63198000D01* +X166373000Y-63198000D01* +X166373000Y-63178000D01* +X166627000Y-63178000D01* +X166627000Y-63198000D01* +X168973000Y-63198000D01* +X168973000Y-63178000D01* +X169227000Y-63178000D01* +X169227000Y-63198000D01* +X171373000Y-63198000D01* +X171373000Y-63178000D01* +X171627000Y-63178000D01* +X171627000Y-63198000D01* +X173973000Y-63198000D01* +X173973000Y-63178000D01* +X174227000Y-63178000D01* +X174227000Y-63198000D01* +X175476250Y-63198000D01* +X175635000Y-63039250D01* +X175635000Y-62248690D01* +X175538327Y-62015301D01* +X175474026Y-61951000D01* X178263317Y-61951000D01* X177279629Y-62355620D01* X177265487Y-62365068D01* @@ -14108,18 +14085,31 @@ X165991868Y-67841568D01* X165976247Y-67818190D01* X165886663Y-67684117D01* X165846916Y-67657559D01* -X164200107Y-66010750D01* -X166265000Y-66010750D01* -X166265000Y-66451310D01* -X166361673Y-66684699D01* -X166540302Y-66863327D01* -X166773691Y-66960000D01* -X167414250Y-66960000D01* -X167573000Y-66801250D01* -X167573000Y-65852000D01* -X166423750Y-65852000D01* -X166265000Y-66010750D01* -X164200107Y-66010750D01* +X164350107Y-66160750D01* +X165515000Y-66160750D01* +X165515000Y-66801310D01* +X165611673Y-67034699D01* +X165790302Y-67213327D01* +X166023691Y-67310000D01* +X166914250Y-67310000D01* +X167073000Y-67151250D01* +X167073000Y-66002000D01* +X167327000Y-66002000D01* +X167327000Y-67151250D01* +X167485750Y-67310000D01* +X168376309Y-67310000D01* +X168525000Y-67248410D01* +X168673691Y-67310000D01* +X168741250Y-67310000D01* +X168900000Y-67151250D01* +X168900000Y-66127000D01* +X168851250Y-66127000D01* +X168726250Y-66002000D01* +X167327000Y-66002000D01* +X167073000Y-66002000D01* +X165673750Y-66002000D01* +X165515000Y-66160750D01* +X164350107Y-66160750D01* X162541035Y-64351679D01* X162514475Y-64311929D01* X162357024Y-64206724D01* @@ -14136,34 +14126,30 @@ X157424758Y-63825000D01* X157775242Y-63825000D01* X158099047Y-63690876D01* X158179173Y-63610750D01* -X165415000Y-63610750D01* -X165415000Y-64101310D01* -X165511673Y-64334699D01* -X165690302Y-64513327D01* -X165923691Y-64610000D01* -X166516975Y-64610000D01* -X166361673Y-64765301D01* -X166265000Y-64998690D01* -X166265000Y-65439250D01* -X166423750Y-65598000D01* -X167573000Y-65598000D01* -X167573000Y-65578000D01* -X167827000Y-65578000D01* -X167827000Y-65598000D01* -X167847000Y-65598000D01* -X167847000Y-65852000D01* -X167827000Y-65852000D01* -X167827000Y-66801250D01* -X167985750Y-66960000D01* -X168230732Y-66960000D01* -X168261673Y-67034699D01* -X168440302Y-67213327D01* -X168673691Y-67310000D01* -X168741250Y-67310000D01* -X168900000Y-67151250D01* -X168900000Y-66823025D01* -X169038327Y-66684699D01* -X169061536Y-66628668D01* +X164965000Y-63610750D01* +X164965000Y-64401310D01* +X165061673Y-64634699D01* +X165240302Y-64813327D01* +X165473691Y-64910000D01* +X165531026Y-64910000D01* +X165515000Y-64948690D01* +X165515000Y-65589250D01* +X165673750Y-65748000D01* +X167073000Y-65748000D01* +X167073000Y-65728000D01* +X167327000Y-65728000D01* +X167327000Y-65748000D01* +X168198750Y-65748000D01* +X168323750Y-65873000D01* +X168900000Y-65873000D01* +X168900000Y-64848750D01* +X168887750Y-64836500D01* +X168972998Y-64751252D01* +X168972998Y-64910000D01* +X169100000Y-64910000D01* +X169100000Y-65163030D01* +X169091106Y-65176341D01* +X169061536Y-65325000D01* X169061536Y-66675000D01* X169091106Y-66823659D01* X169100000Y-66836970D01* @@ -14220,19 +14206,24 @@ X181426661Y-67646266D01* X179190000Y-65409605D01* X176953339Y-67646266D01* X172131000Y-67646266D01* -X172131000Y-66960000D01* -X172614250Y-66960000D01* -X172773000Y-66801250D01* -X172773000Y-65852000D01* -X173027000Y-65852000D01* -X173027000Y-66801250D01* -X173185750Y-66960000D01* -X173826309Y-66960000D01* -X174059698Y-66863327D01* -X174238327Y-66684699D01* -X174335000Y-66451310D01* -X174335000Y-66010750D01* -X174219226Y-65894976D01* +X172131000Y-67271606D01* +X172223691Y-67310000D01* +X173114250Y-67310000D01* +X173273000Y-67151250D01* +X173273000Y-66002000D01* +X173527000Y-66002000D01* +X173527000Y-67151250D01* +X173685750Y-67310000D01* +X174576309Y-67310000D01* +X174809698Y-67213327D01* +X174988327Y-67034699D01* +X175085000Y-66801310D01* +X175085000Y-66160750D01* +X174926250Y-66002000D01* +X173527000Y-66002000D01* +X173273000Y-66002000D01* +X173253000Y-66002000D01* +X173253000Y-65894976D01* X175803352Y-65894976D01* X176315620Y-67140371D01* X176325068Y-67154513D01* @@ -14252,36 +14243,30 @@ X176773734Y-62993339D01* X176325068Y-63305487D01* X175806669Y-64548343D01* X175803352Y-65894976D01* -X174219226Y-65894976D01* -X174176250Y-65852000D01* -X173027000Y-65852000D01* -X172773000Y-65852000D01* -X172753000Y-65852000D01* -X172753000Y-65598000D01* -X172773000Y-65598000D01* -X172773000Y-65578000D01* -X173027000Y-65578000D01* -X173027000Y-65598000D01* -X174176250Y-65598000D01* -X174335000Y-65439250D01* -X174335000Y-64998690D01* -X174238327Y-64765301D01* -X174083025Y-64610000D01* -X174676309Y-64610000D01* -X174909698Y-64513327D01* -X175088327Y-64334699D01* -X175185000Y-64101310D01* -X175185000Y-63610750D01* -X175026250Y-63452000D01* -X173827000Y-63452000D01* -X173827000Y-63472000D01* -X173573000Y-63472000D01* -X173573000Y-63452000D01* -X170427000Y-63452000D01* -X170427000Y-64751250D01* -X170585750Y-64910000D01* -X171501737Y-64910000D01* -X171490745Y-64936536D01* +X173253000Y-65894976D01* +X173253000Y-65748000D01* +X173273000Y-65748000D01* +X173273000Y-65728000D01* +X173527000Y-65728000D01* +X173527000Y-65748000D01* +X174926250Y-65748000D01* +X175085000Y-65589250D01* +X175085000Y-64948690D01* +X175068974Y-64910000D01* +X175126309Y-64910000D01* +X175359698Y-64813327D01* +X175538327Y-64634699D01* +X175635000Y-64401310D01* +X175635000Y-63610750D01* +X175476250Y-63452000D01* +X174227000Y-63452000D01* +X174227000Y-63472000D01* +X173973000Y-63472000D01* +X173973000Y-63452000D01* +X171627000Y-63452000D01* +X171627000Y-64751250D01* +X171747054Y-64871304D01* +X171720034Y-64936536D01* X171400000Y-64936536D01* X171275000Y-64961400D01* X171150000Y-64936536D01* @@ -14293,31 +14278,26 @@ X169975000Y-64961400D01* X169850000Y-64936536D01* X169709562Y-64936536D01* X169683026Y-64910000D01* -X170014250Y-64910000D01* -X170173000Y-64751250D01* -X170173000Y-63452000D01* -X167027000Y-63452000D01* -X167027000Y-63472000D01* -X166773000Y-63472000D01* -X166773000Y-63452000D01* -X165573750Y-63452000D01* -X165415000Y-63610750D01* +X170176309Y-64910000D01* +X170300000Y-64858765D01* +X170423691Y-64910000D01* +X171214250Y-64910000D01* +X171373000Y-64751250D01* +X171373000Y-63452000D01* +X169227000Y-63452000D01* +X169227000Y-63472000D01* +X168973000Y-63472000D01* +X168973000Y-63452000D01* +X166627000Y-63452000D01* +X166627000Y-63472000D01* +X166373000Y-63472000D01* +X166373000Y-63452000D01* +X165123750Y-63452000D01* +X164965000Y-63610750D01* X158179173Y-63610750D01* X158346876Y-63443047D01* X158481000Y-63119242D01* X158481000Y-62768758D01* -X158389846Y-62548690D01* -X165415000Y-62548690D01* -X165415000Y-63039250D01* -X165573750Y-63198000D01* -X166773000Y-63198000D01* -X166773000Y-62198750D01* -X166614250Y-62040000D01* -X165923691Y-62040000D01* -X165690302Y-62136673D01* -X165511673Y-62315301D01* -X165415000Y-62548690D01* -X158389846Y-62548690D01* X158346876Y-62444953D01* X158099047Y-62197124D01* X157775242Y-62063000D01* @@ -16530,59 +16510,58 @@ X106846249Y-67924629D01* X107196733Y-67924629D01* X107520538Y-67790505D01* X107768367Y-67542676D01* -X107902491Y-67218871D01* -X107902491Y-66868387D01* -X107768367Y-66544582D01* -X107520538Y-66296753D01* -X107196733Y-66162629D01* +X107864744Y-67310000D01* +X108494250Y-67310000D01* +X108653000Y-67151250D01* +X108653000Y-66002000D01* +X108907000Y-66002000D01* +X108907000Y-67151250D01* +X109065750Y-67310000D01* +X109956309Y-67310000D01* +X110105000Y-67248410D01* +X110253691Y-67310000D01* +X110321250Y-67310000D01* +X110480000Y-67151250D01* +X110480000Y-66127000D01* +X110431250Y-66127000D01* +X110306250Y-66002000D01* +X108907000Y-66002000D01* +X108653000Y-66002000D01* +X107253750Y-66002000D01* +X107095000Y-66160750D01* +X107095000Y-66162629D01* X106846249Y-66162629D01* X106522444Y-66296753D01* X106274615Y-66544582D01* X106140491Y-66868387D01* X105974276Y-66868387D01* -X106331999Y-66010750D01* -X107845000Y-66010750D01* -X107845000Y-66451310D01* -X107941673Y-66684699D01* -X108120302Y-66863327D01* -X108353691Y-66960000D01* -X108994250Y-66960000D01* -X109153000Y-66801250D01* -X109153000Y-65852000D01* -X108003750Y-65852000D01* -X107845000Y-66010750D01* -X106331999Y-66010750D01* X106373331Y-65911657D01* X106376648Y-64565024D01* X105984127Y-63610750D01* -X106995000Y-63610750D01* -X106995000Y-64101310D01* -X107091673Y-64334699D01* -X107270302Y-64513327D01* -X107503691Y-64610000D01* -X108096975Y-64610000D01* -X107941673Y-64765301D01* -X107845000Y-64998690D01* -X107845000Y-65439250D01* -X108003750Y-65598000D01* -X109153000Y-65598000D01* -X109153000Y-65578000D01* -X109407000Y-65578000D01* -X109407000Y-65598000D01* -X109427000Y-65598000D01* -X109427000Y-65852000D01* -X109407000Y-65852000D01* -X109407000Y-66801250D01* -X109565750Y-66960000D01* -X109810732Y-66960000D01* -X109841673Y-67034699D01* -X110020302Y-67213327D01* -X110253691Y-67310000D01* -X110321250Y-67310000D01* -X110480000Y-67151250D01* -X110480000Y-66823025D01* -X110618327Y-66684699D01* -X110641536Y-66628668D01* +X106545000Y-63610750D01* +X106545000Y-64401310D01* +X106641673Y-64634699D01* +X106820302Y-64813327D01* +X107053691Y-64910000D01* +X107111026Y-64910000D01* +X107095000Y-64948690D01* +X107095000Y-65589250D01* +X107253750Y-65748000D01* +X108653000Y-65748000D01* +X108653000Y-65728000D01* +X108907000Y-65728000D01* +X108907000Y-65748000D01* +X109778750Y-65748000D01* +X109903750Y-65873000D01* +X110480000Y-65873000D01* +X110480000Y-64848750D01* +X110467750Y-64836500D01* +X110552998Y-64751252D01* +X110552998Y-64910000D01* +X110680000Y-64910000D01* +X110680000Y-65163030D01* +X110671106Y-65176341D01* +X110641536Y-65325000D01* X110641536Y-66675000D01* X110671106Y-66823659D01* X110680000Y-66836970D01* @@ -16714,49 +16693,46 @@ X113272818Y-67924629D01* X113486360Y-67836177D01* X113649798Y-67672739D01* X113738250Y-67459197D01* -X113738250Y-67228061D01* -X113688250Y-67107350D01* -X113688250Y-66960000D01* -X114194250Y-66960000D01* -X114353000Y-66801250D01* -X114353000Y-65852000D01* -X114607000Y-65852000D01* -X114607000Y-66801250D01* -X114765750Y-66960000D01* -X115406309Y-66960000D01* -X115639698Y-66863327D01* -X115818327Y-66684699D01* -X115915000Y-66451310D01* -X115915000Y-66010750D01* -X115756250Y-65852000D01* -X114607000Y-65852000D01* -X114353000Y-65852000D01* -X114333000Y-65852000D01* -X114333000Y-65598000D01* -X114353000Y-65598000D01* -X114353000Y-65578000D01* -X114607000Y-65578000D01* -X114607000Y-65598000D01* -X115756250Y-65598000D01* -X115915000Y-65439250D01* -X115915000Y-64998690D01* -X115818327Y-64765301D01* -X115663025Y-64610000D01* -X116256309Y-64610000D01* -X116489698Y-64513327D01* -X116668327Y-64334699D01* -X116765000Y-64101310D01* -X116765000Y-63610750D01* -X116606250Y-63452000D01* -X115407000Y-63452000D01* -X115407000Y-63472000D01* -X115153000Y-63472000D01* -X115153000Y-63452000D01* -X112007000Y-63452000D01* -X112007000Y-64751250D01* -X112165750Y-64910000D01* -X113081737Y-64910000D01* -X113070745Y-64936536D01* +X113738250Y-67282893D01* +X113803691Y-67310000D01* +X114694250Y-67310000D01* +X114853000Y-67151250D01* +X114853000Y-66002000D01* +X115107000Y-66002000D01* +X115107000Y-67151250D01* +X115265750Y-67310000D01* +X116156309Y-67310000D01* +X116389698Y-67213327D01* +X116568327Y-67034699D01* +X116665000Y-66801310D01* +X116665000Y-66160750D01* +X116506250Y-66002000D01* +X115107000Y-66002000D01* +X114853000Y-66002000D01* +X114833000Y-66002000D01* +X114833000Y-65748000D01* +X114853000Y-65748000D01* +X114853000Y-65728000D01* +X115107000Y-65728000D01* +X115107000Y-65748000D01* +X116506250Y-65748000D01* +X116665000Y-65589250D01* +X116665000Y-64948690D01* +X116648974Y-64910000D01* +X116706309Y-64910000D01* +X116939698Y-64813327D01* +X117118327Y-64634699D01* +X117215000Y-64401310D01* +X117215000Y-63610750D01* +X117056250Y-63452000D01* +X115807000Y-63452000D01* +X115807000Y-63472000D01* +X115553000Y-63472000D01* +X115553000Y-63452000D01* +X113207000Y-63452000D01* +X113207000Y-64751250D01* +X113327054Y-64871304D01* +X113300034Y-64936536D01* X112980000Y-64936536D01* X112855000Y-64961400D01* X112730000Y-64936536D01* @@ -16768,15 +16744,22 @@ X111555000Y-64961400D01* X111430000Y-64936536D01* X111289562Y-64936536D01* X111263026Y-64910000D01* -X111594250Y-64910000D01* -X111753000Y-64751250D01* -X111753000Y-63452000D01* -X108607000Y-63452000D01* -X108607000Y-63472000D01* -X108353000Y-63472000D01* -X108353000Y-63452000D01* -X107153750Y-63452000D01* -X106995000Y-63610750D01* +X111756309Y-64910000D01* +X111880000Y-64858765D01* +X112003691Y-64910000D01* +X112794250Y-64910000D01* +X112953000Y-64751250D01* +X112953000Y-63452000D01* +X110807000Y-63452000D01* +X110807000Y-63472000D01* +X110553000Y-63472000D01* +X110553000Y-63452000D01* +X108207000Y-63452000D01* +X108207000Y-63472000D01* +X107953000Y-63472000D01* +X107953000Y-63452000D01* +X106703750Y-63452000D01* +X106545000Y-63610750D01* X105984127Y-63610750D01* X105864380Y-63319629D01* X105854932Y-63305487D01* diff --git a/plot/ulx3s-F.Mask.gts b/plot/ulx3s-F.Mask.gts index 3dcb596..7ceefdf 100644 --- a/plot/ulx3s-F.Mask.gts +++ b/plot/ulx3s-F.Mask.gts @@ -1,88 +1,56 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Top* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -%ADD10R,1.700000X1.300000*% -%ADD11R,0.400000X1.350000*% -%ADD12R,4.000000X1.900000*% -%ADD13R,1.600000X1.200000*% -%ADD14O,1.827200X1.827200*% -%ADD15R,1.727200X1.727200*% -%ADD16C,5.600000*% -%ADD17O,0.950000X0.400000*% -%ADD18O,0.400000X0.950000*% -%ADD19R,1.675000X1.675000*% -%ADD20R,1.727200X2.032000*% -%ADD21O,1.827200X2.132000*% -%ADD22R,1.550000X1.300000*% -%ADD23R,1.120000X2.440000*% -%ADD24C,0.430000*% -%ADD25R,2.800000X2.000000*% -%ADD26R,2.800000X2.200000*% -%ADD27R,2.800000X2.800000*% -%ADD28C,1.800000*% -%ADD29R,0.700000X1.500000*% -%ADD30R,1.450000X0.900000*% -%ADD31R,1.450000X2.000000*% -%ADD32R,2.200000X1.800000*% -%ADD33R,0.560000X0.900000*% -%ADD34R,1.000000X0.670000*% -%ADD35R,1.500000X0.970000*% -%ADD36R,0.300000X1.900000*% -%ADD37C,2.100000*% -%ADD38R,1.700000X1.700000*% -%ADD39O,1.800000X1.800000*% -%ADD40R,1.295000X1.400000*% +%ADD10O,1.827200X1.827200*% +%ADD11R,1.727200X1.727200*% +%ADD12C,5.600000*% +%ADD13O,0.950000X0.400000*% +%ADD14O,0.400000X0.950000*% +%ADD15R,1.675000X1.675000*% +%ADD16R,1.727200X2.032000*% +%ADD17O,1.827200X2.132000*% +%ADD18R,1.550000X1.300000*% +%ADD19R,1.120000X2.440000*% +%ADD20C,0.430000*% +%ADD21R,2.800000X2.000000*% +%ADD22R,2.800000X2.200000*% +%ADD23R,2.800000X2.800000*% +%ADD24C,1.800000*% +%ADD25R,0.700000X1.500000*% +%ADD26R,1.450000X0.900000*% +%ADD27R,1.450000X2.000000*% +%ADD28R,2.200000X1.800000*% +%ADD29R,0.560000X0.900000*% +%ADD30R,1.000000X0.670000*% +%ADD31R,1.500000X0.970000*% +%ADD32R,0.300000X1.900000*% +%ADD33C,2.100000*% +%ADD34R,1.700000X1.700000*% +%ADD35O,1.800000X1.800000*% +%ADD36R,1.295000X1.400000*% +%ADD37R,2.100000X1.600000*% +%ADD38R,1.900000X1.900000*% +%ADD39R,0.400000X1.350000*% +%ADD40R,1.800000X1.900000*% G04 APERTURE END LIST* D10* -G04 #@! TO.C,US2* -X166900000Y-63325000D03* -X173700000Y-63325000D03* -D11* -X169000000Y-66000000D03* -X169650000Y-66000000D03* -X170300000Y-66000000D03* -X170950000Y-66000000D03* -X171600000Y-66000000D03* -D12* -X170300000Y-63325000D03* -D13* -X167700000Y-65725000D03* -X172900000Y-65725000D03* -G04 #@! TD* -D10* -G04 #@! TO.C,US1* -X108480000Y-63325000D03* -X115280000Y-63325000D03* -D11* -X110580000Y-66000000D03* -X111230000Y-66000000D03* -X111880000Y-66000000D03* -X112530000Y-66000000D03* -X113180000Y-66000000D03* -D12* -X111880000Y-63325000D03* -D13* -X109280000Y-65725000D03* -X114480000Y-65725000D03* -G04 #@! TD* -D14* G04 #@! TO.C,J1* X97910000Y-62690000D03* X95370000Y-62690000D03* -D15* +D11* X97910000Y-65230000D03* X95370000Y-65230000D03* -D14* +D10* X97910000Y-67770000D03* X95370000Y-67770000D03* X97910000Y-70310000D03* @@ -99,10 +67,10 @@ X97910000Y-83010000D03* X95370000Y-83010000D03* X97910000Y-85550000D03* X95370000Y-85550000D03* -D15* +D11* X97910000Y-88090000D03* X95370000Y-88090000D03* -D14* +D10* X97910000Y-90630000D03* X95370000Y-90630000D03* X97910000Y-93170000D03* @@ -117,20 +85,20 @@ X97910000Y-103330000D03* X95370000Y-103330000D03* X97910000Y-105870000D03* X95370000Y-105870000D03* -D15* +D11* X97910000Y-108410000D03* X95370000Y-108410000D03* -D14* +D10* X97910000Y-110950000D03* X95370000Y-110950000D03* G04 #@! TD* G04 #@! TO.C,J2* X184270000Y-110950000D03* X186810000Y-110950000D03* -D15* +D11* X184270000Y-108410000D03* X186810000Y-108410000D03* -D14* +D10* X184270000Y-105870000D03* X186810000Y-105870000D03* X184270000Y-103330000D03* @@ -147,10 +115,10 @@ X184270000Y-90630000D03* X186810000Y-90630000D03* X184270000Y-88090000D03* X186810000Y-88090000D03* -D15* +D11* X184270000Y-85550000D03* X186810000Y-85550000D03* -D14* +D10* X184270000Y-83010000D03* X186810000Y-83010000D03* X184270000Y-80470000D03* @@ -165,14 +133,14 @@ X184270000Y-70310000D03* X186810000Y-70310000D03* X184270000Y-67770000D03* X186810000Y-67770000D03* -D15* +D11* X184270000Y-65230000D03* X186810000Y-65230000D03* -D14* +D10* X184270000Y-62690000D03* X186810000Y-62690000D03* G04 #@! TD* -D16* +D12* G04 #@! TO.C,H1* X102990000Y-108410000D03* G04 #@! TD* @@ -185,17 +153,17 @@ G04 #@! TD* G04 #@! TO.C,H4* X102990000Y-65230000D03* G04 #@! TD* -D15* +D11* G04 #@! TO.C,J4* X140455000Y-104600000D03* -D14* +D10* X137915000Y-104600000D03* X140455000Y-107140000D03* X137915000Y-107140000D03* X140455000Y-109680000D03* X137915000Y-109680000D03* G04 #@! TD* -D17* +D13* G04 #@! TO.C,U8* X179735000Y-101655000D03* X179735000Y-101155000D03* @@ -204,7 +172,7 @@ X179735000Y-100155000D03* X179735000Y-99655000D03* X179735000Y-99155000D03* X179735000Y-98655000D03* -D18* +D14* X178785000Y-97705000D03* X178285000Y-97705000D03* X177785000Y-97705000D03* @@ -212,7 +180,7 @@ X177285000Y-97705000D03* X176785000Y-97705000D03* X176285000Y-97705000D03* X175785000Y-97705000D03* -D17* +D13* X174835000Y-98655000D03* X174835000Y-99155000D03* X174835000Y-99655000D03* @@ -220,7 +188,7 @@ X174835000Y-100155000D03* X174835000Y-100655000D03* X174835000Y-101155000D03* X174835000Y-101655000D03* -D18* +D14* X175785000Y-102605000D03* X176285000Y-102605000D03* X176785000Y-102605000D03* @@ -228,16 +196,16 @@ X177285000Y-102605000D03* X177785000Y-102605000D03* X178285000Y-102605000D03* X178785000Y-102605000D03* -D19* +D15* X176447500Y-99317500D03* X176447500Y-100992500D03* X178122500Y-99317500D03* X178122500Y-100992500D03* G04 #@! TD* -D20* +D16* G04 #@! TO.C,OLED1* X147440000Y-100790000D03* -D21* +D17* X144900000Y-100790000D03* X142360000Y-100790000D03* X139820000Y-100790000D03* @@ -245,7 +213,7 @@ X137280000Y-100790000D03* X134740000Y-100790000D03* X132200000Y-100790000D03* G04 #@! TD* -D22* +D18* G04 #@! TO.C,BTN0* X175550000Y-71870000D03* X175550000Y-76370000D03* @@ -288,7 +256,7 @@ X175550000Y-110660000D03* X167590000Y-110660000D03* X167590000Y-106160000D03* G04 #@! TD* -D23* +D19* G04 #@! TO.C,SW1* X156330000Y-78425000D03* X163950000Y-69815000D03* @@ -299,7 +267,7 @@ X158870000Y-69815000D03* X163950000Y-78425000D03* X156330000Y-69815000D03* G04 #@! TD* -D24* +D20* G04 #@! TO.C,U1* X131680000Y-80200000D03* X132480000Y-80200000D03* @@ -683,23 +651,23 @@ X142880000Y-95400000D03* X143680000Y-95400000D03* X145280000Y-95400000D03* G04 #@! TD* -D25* +D21* G04 #@! TO.C,AUDIO1* X120668000Y-62618000D03* -D26* +D22* X120668000Y-65418000D03* -D27* +D23* X120668000Y-74818000D03* X125218000Y-78918000D03* -D26* +D22* X128268000Y-67318000D03* -D25* +D21* X128268000Y-64518000D03* -D28* +D24* X124468000Y-66518000D03* X124468000Y-73518000D03* G04 #@! TD* -D29* +D25* G04 #@! TO.C,SD1* X118250000Y-105250000D03* X117150000Y-105250000D03* @@ -709,23 +677,23 @@ X113850000Y-105250000D03* X112750000Y-105250000D03* X111650000Y-105250000D03* X110550000Y-105250000D03* -D30* +D26* X121925000Y-109550000D03* X108175000Y-97850000D03* -D31* +D27* X108175000Y-107850000D03* X121925000Y-107850000D03* X121925000Y-99550000D03* X108175000Y-99550000D03* G04 #@! TD* -D32* +D28* G04 #@! TO.C,Y1* X108040000Y-69220000D03* X102960000Y-69220000D03* X102960000Y-73620000D03* X108040000Y-73620000D03* G04 #@! TD* -D33* +D29* G04 #@! TO.C,U2* X175493000Y-82270000D03* X154693000Y-93330000D03* @@ -782,7 +750,7 @@ X156293000Y-82270000D03* X155493000Y-82270000D03* X154693000Y-82270000D03* G04 #@! TD* -D34* +D30* G04 #@! TO.C,C36* X150361000Y-76646000D03* X150361000Y-74896000D03* @@ -823,7 +791,7 @@ G04 #@! TO.C,C45* X147567000Y-76634000D03* X147567000Y-74884000D03* G04 #@! TD* -D35* +D31* G04 #@! TO.C,D19* X130930000Y-106825000D03* X130930000Y-104915000D03* @@ -868,7 +836,7 @@ G04 #@! TO.C,D22* X125850000Y-104915000D03* X125850000Y-106825000D03* G04 #@! TD* -D36* +D32* G04 #@! TO.C,GPDI1* X149546000Y-70312000D03* X149046000Y-70312000D03* @@ -889,13 +857,13 @@ X142046000Y-70312000D03* X141546000Y-70312000D03* X141046000Y-70312000D03* X140546000Y-70312000D03* -D37* +D33* X152546000Y-69312000D03* X138046000Y-69312000D03* X153146000Y-64412000D03* X137446000Y-64412000D03* G04 #@! TD* -D34* +D30* G04 #@! TO.C,R41* X120770000Y-83377000D03* X120770000Y-81627000D03* @@ -940,20 +908,20 @@ G04 #@! TO.C,R62* X125850000Y-108805000D03* X125850000Y-110555000D03* G04 #@! TD* -D38* +D34* G04 #@! TO.C,J3* X102990000Y-95710000D03* -D39* +D35* X105530000Y-95710000D03* G04 #@! TD* -D38* +D34* G04 #@! TO.C,J5* X130056000Y-71725000D03* -D39* +D35* X132596000Y-71725000D03* X135136000Y-71725000D03* G04 #@! TD* -D40* +D36* G04 #@! TO.C,RV2* X131785500Y-69566000D03* X129850500Y-69566000D03* @@ -962,4 +930,37 @@ G04 #@! TO.C,RV3* X133231000Y-69566000D03* X135166000Y-69566000D03* G04 #@! TD* +D37* +G04 #@! TO.C,US1* +X114980000Y-65875000D03* +X108780000Y-65875000D03* +D38* +X113080000Y-63325000D03* +X110680000Y-63325000D03* +D39* +X113180000Y-66000000D03* +X112530000Y-66000000D03* +X111880000Y-66000000D03* +X111230000Y-66000000D03* +X110580000Y-66000000D03* +D40* +X115680000Y-63325000D03* +X108080000Y-63325000D03* +G04 #@! TD* +G04 #@! TO.C,US2* +X166500000Y-63325000D03* +X174100000Y-63325000D03* +D39* +X169000000Y-66000000D03* +X169650000Y-66000000D03* +X170300000Y-66000000D03* +X170950000Y-66000000D03* +X171600000Y-66000000D03* +D38* +X169100000Y-63325000D03* +X171500000Y-63325000D03* +D37* +X167200000Y-65875000D03* +X173400000Y-65875000D03* +G04 #@! TD* M02* diff --git a/plot/ulx3s-F.Paste.gtp b/plot/ulx3s-F.Paste.gtp index 9464996..a59ae39 100644 --- a/plot/ulx3s-F.Paste.gtp +++ b/plot/ulx3s-F.Paste.gtp @@ -1,71 +1,39 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -%ADD10R,1.700000X1.300000*% -%ADD11R,0.400000X1.350000*% -%ADD12R,4.000000X1.900000*% -%ADD13R,1.600000X1.200000*% -%ADD14O,0.850000X0.300000*% -%ADD15O,0.300000X0.850000*% -%ADD16R,1.675000X1.675000*% -%ADD17R,1.550000X1.300000*% -%ADD18C,0.350000*% -%ADD19R,2.800000X2.000000*% -%ADD20R,2.800000X2.200000*% -%ADD21R,2.800000X2.800000*% -%ADD22R,0.700000X1.500000*% -%ADD23R,1.450000X0.900000*% -%ADD24R,1.450000X2.000000*% -%ADD25R,2.200000X1.800000*% -%ADD26R,0.560000X0.900000*% -%ADD27R,1.000000X0.670000*% -%ADD28R,1.500000X0.970000*% -%ADD29R,0.300000X1.900000*% -%ADD30R,1.295000X1.400000*% +%ADD10O,0.850000X0.300000*% +%ADD11O,0.300000X0.850000*% +%ADD12R,1.675000X1.675000*% +%ADD13R,1.550000X1.300000*% +%ADD14C,0.350000*% +%ADD15R,2.800000X2.000000*% +%ADD16R,2.800000X2.200000*% +%ADD17R,2.800000X2.800000*% +%ADD18R,0.700000X1.500000*% +%ADD19R,1.450000X0.900000*% +%ADD20R,1.450000X2.000000*% +%ADD21R,2.200000X1.800000*% +%ADD22R,0.560000X0.900000*% +%ADD23R,1.000000X0.670000*% +%ADD24R,1.500000X0.970000*% +%ADD25R,0.300000X1.900000*% +%ADD26R,1.295000X1.400000*% +%ADD27R,2.100000X1.600000*% +%ADD28R,1.900000X1.900000*% +%ADD29R,0.400000X1.350000*% +%ADD30R,1.800000X1.900000*% G04 APERTURE END LIST* D10* -G04 #@! TO.C,US2* -X166900000Y-63325000D03* -X173700000Y-63325000D03* -D11* -X169000000Y-66000000D03* -X169650000Y-66000000D03* -X170300000Y-66000000D03* -X170950000Y-66000000D03* -X171600000Y-66000000D03* -D12* -X170300000Y-63325000D03* -D13* -X167700000Y-65725000D03* -X172900000Y-65725000D03* -G04 #@! TD* -D10* -G04 #@! TO.C,US1* -X108480000Y-63325000D03* -X115280000Y-63325000D03* -D11* -X110580000Y-66000000D03* -X111230000Y-66000000D03* -X111880000Y-66000000D03* -X112530000Y-66000000D03* -X113180000Y-66000000D03* -D12* -X111880000Y-63325000D03* -D13* -X109280000Y-65725000D03* -X114480000Y-65725000D03* -G04 #@! TD* -D14* G04 #@! TO.C,U8* X179735000Y-101655000D03* X179735000Y-101155000D03* @@ -74,7 +42,7 @@ X179735000Y-100155000D03* X179735000Y-99655000D03* X179735000Y-99155000D03* X179735000Y-98655000D03* -D15* +D11* X178785000Y-97705000D03* X178285000Y-97705000D03* X177785000Y-97705000D03* @@ -82,7 +50,7 @@ X177285000Y-97705000D03* X176785000Y-97705000D03* X176285000Y-97705000D03* X175785000Y-97705000D03* -D14* +D10* X174835000Y-98655000D03* X174835000Y-99155000D03* X174835000Y-99655000D03* @@ -90,7 +58,7 @@ X174835000Y-100155000D03* X174835000Y-100655000D03* X174835000Y-101155000D03* X174835000Y-101655000D03* -D15* +D11* X175785000Y-102605000D03* X176285000Y-102605000D03* X176785000Y-102605000D03* @@ -98,13 +66,13 @@ X177285000Y-102605000D03* X177785000Y-102605000D03* X178285000Y-102605000D03* X178785000Y-102605000D03* -D16* +D12* X176447500Y-99317500D03* X176447500Y-100992500D03* X178122500Y-99317500D03* X178122500Y-100992500D03* G04 #@! TD* -D17* +D13* G04 #@! TO.C,BTN0* X175550000Y-71870000D03* X175550000Y-76370000D03* @@ -147,7 +115,7 @@ X175550000Y-110660000D03* X167590000Y-110660000D03* X167590000Y-106160000D03* G04 #@! TD* -D18* +D14* G04 #@! TO.C,U1* X131680000Y-80200000D03* X132480000Y-80200000D03* @@ -531,20 +499,20 @@ X142880000Y-95400000D03* X143680000Y-95400000D03* X145280000Y-95400000D03* G04 #@! TD* -D19* +D15* G04 #@! TO.C,AUDIO1* X120668000Y-62618000D03* -D20* +D16* X120668000Y-65418000D03* -D21* +D17* X120668000Y-74818000D03* X125218000Y-78918000D03* -D20* +D16* X128268000Y-67318000D03* -D19* +D15* X128268000Y-64518000D03* G04 #@! TD* -D22* +D18* G04 #@! TO.C,SD1* X118250000Y-105250000D03* X117150000Y-105250000D03* @@ -554,23 +522,23 @@ X113850000Y-105250000D03* X112750000Y-105250000D03* X111650000Y-105250000D03* X110550000Y-105250000D03* -D23* +D19* X121925000Y-109550000D03* X108175000Y-97850000D03* -D24* +D20* X108175000Y-107850000D03* X121925000Y-107850000D03* X121925000Y-99550000D03* X108175000Y-99550000D03* G04 #@! TD* -D25* +D21* G04 #@! TO.C,Y1* X108040000Y-69220000D03* X102960000Y-69220000D03* X102960000Y-73620000D03* X108040000Y-73620000D03* G04 #@! TD* -D26* +D22* G04 #@! TO.C,U2* X175493000Y-82270000D03* X154693000Y-93330000D03* @@ -627,7 +595,7 @@ X156293000Y-82270000D03* X155493000Y-82270000D03* X154693000Y-82270000D03* G04 #@! TD* -D27* +D23* G04 #@! TO.C,C36* X150361000Y-76646000D03* X150361000Y-74896000D03* @@ -668,7 +636,7 @@ G04 #@! TO.C,C45* X147567000Y-76634000D03* X147567000Y-74884000D03* G04 #@! TD* -D28* +D24* G04 #@! TO.C,D19* X130930000Y-106825000D03* X130930000Y-104915000D03* @@ -713,7 +681,7 @@ G04 #@! TO.C,D22* X125850000Y-104915000D03* X125850000Y-106825000D03* G04 #@! TD* -D29* +D25* G04 #@! TO.C,GPDI1* X149546000Y-70312000D03* X149046000Y-70312000D03* @@ -735,7 +703,7 @@ X141546000Y-70312000D03* X141046000Y-70312000D03* X140546000Y-70312000D03* G04 #@! TD* -D27* +D23* G04 #@! TO.C,R41* X120770000Y-83377000D03* X120770000Y-81627000D03* @@ -780,7 +748,7 @@ G04 #@! TO.C,R62* X125850000Y-108805000D03* X125850000Y-110555000D03* G04 #@! TD* -D30* +D26* G04 #@! TO.C,RV2* X131785500Y-69566000D03* X129850500Y-69566000D03* @@ -789,4 +757,37 @@ G04 #@! TO.C,RV3* X133231000Y-69566000D03* X135166000Y-69566000D03* G04 #@! TD* +D27* +G04 #@! TO.C,US1* +X114980000Y-65875000D03* +X108780000Y-65875000D03* +D28* +X113080000Y-63325000D03* +X110680000Y-63325000D03* +D29* +X113180000Y-66000000D03* +X112530000Y-66000000D03* +X111880000Y-66000000D03* +X111230000Y-66000000D03* +X110580000Y-66000000D03* +D30* +X115680000Y-63325000D03* +X108080000Y-63325000D03* +G04 #@! TD* +G04 #@! TO.C,US2* +X166500000Y-63325000D03* +X174100000Y-63325000D03* +D29* +X169000000Y-66000000D03* +X169650000Y-66000000D03* +X170300000Y-66000000D03* +X170950000Y-66000000D03* +X171600000Y-66000000D03* +D28* +X169100000Y-63325000D03* +X171500000Y-63325000D03* +D27* +X167200000Y-65875000D03* +X173400000Y-65875000D03* +G04 #@! TD* M02* diff --git a/plot/ulx3s-F.SilkS.gto b/plot/ulx3s-F.SilkS.gto index 5e24673..74730a3 100644 --- a/plot/ulx3s-F.SilkS.gto +++ b/plot/ulx3s-F.SilkS.gto @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Legend,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* @@ -1948,24 +1948,6 @@ X135827380Y-103250714D01* X135779761Y-103345952D01* X135732142Y-103393571D01* D13* -G04 #@! TO.C,US2* -X165900000Y-66925000D02* -X165900000Y-64975000D01* -X168050000Y-66925000D02* -X165900000Y-66925000D01* -X174700000Y-66925000D02* -X172550000Y-66925000D01* -X174700000Y-64925000D02* -X174700000Y-66925000D01* -G04 #@! TO.C,US1* -X107480000Y-66925000D02* -X107480000Y-64975000D01* -X109630000Y-66925000D02* -X107480000Y-66925000D01* -X116280000Y-66925000D02* -X114130000Y-66925000D01* -X116280000Y-64925000D02* -X116280000Y-66925000D01* G04 #@! TO.C,J1* X85270000Y-112220000D02* X94100000Y-112220000D01* @@ -3150,87 +3132,25 @@ X130056000Y-73055000D02* X128726000Y-73055000D01* X128726000Y-73055000D02* X128726000Y-71725000D01* -G04 #@! TO.C,US2* D13* -X169433333Y-67141666D02* -X169433333Y-67708333D01* -X169466666Y-67775000D01* -X169500000Y-67808333D01* -X169566666Y-67841666D01* -X169700000Y-67841666D01* -X169766666Y-67808333D01* -X169800000Y-67775000D01* -X169833333Y-67708333D01* -X169833333Y-67141666D01* -X170133333Y-67808333D02* -X170233333Y-67841666D01* -X170400000Y-67841666D01* -X170466666Y-67808333D01* -X170500000Y-67775000D01* -X170533333Y-67708333D01* -X170533333Y-67641666D01* -X170500000Y-67575000D01* -X170466666Y-67541666D01* -X170400000Y-67508333D01* -X170266666Y-67475000D01* -X170200000Y-67441666D01* -X170166666Y-67408333D01* -X170133333Y-67341666D01* -X170133333Y-67275000D01* -X170166666Y-67208333D01* -X170200000Y-67175000D01* -X170266666Y-67141666D01* -X170433333Y-67141666D01* -X170533333Y-67175000D01* -X170800000Y-67208333D02* -X170833333Y-67175000D01* -X170900000Y-67141666D01* -X171066666Y-67141666D01* -X171133333Y-67175000D01* -X171166666Y-67208333D01* -X171200000Y-67275000D01* -X171200000Y-67341666D01* -X171166666Y-67441666D01* -X170766666Y-67841666D01* -X171200000Y-67841666D01* G04 #@! TO.C,US1* -X111013333Y-67141666D02* -X111013333Y-67708333D01* -X111046666Y-67775000D01* -X111080000Y-67808333D01* -X111146666Y-67841666D01* -X111280000Y-67841666D01* -X111346666Y-67808333D01* -X111380000Y-67775000D01* -X111413333Y-67708333D01* -X111413333Y-67141666D01* -X111713333Y-67808333D02* -X111813333Y-67841666D01* -X111980000Y-67841666D01* -X112046666Y-67808333D01* -X112080000Y-67775000D01* -X112113333Y-67708333D01* -X112113333Y-67641666D01* -X112080000Y-67575000D01* -X112046666Y-67541666D01* -X111980000Y-67508333D01* -X111846666Y-67475000D01* -X111780000Y-67441666D01* -X111746666Y-67408333D01* -X111713333Y-67341666D01* -X111713333Y-67275000D01* -X111746666Y-67208333D01* -X111780000Y-67175000D01* -X111846666Y-67141666D01* -X112013333Y-67141666D01* -X112113333Y-67175000D01* -X112780000Y-67841666D02* -X112380000Y-67841666D01* -X112580000Y-67841666D02* -X112580000Y-67141666D01* -X112513333Y-67241666D01* -X112446666Y-67308333D01* -X112380000Y-67341666D01* +X116280000Y-64925000D02* +X116280000Y-66925000D01* +X116280000Y-66925000D02* +X114130000Y-66925000D01* +X109630000Y-66925000D02* +X107480000Y-66925000D01* +X107480000Y-66925000D02* +X107480000Y-64975000D01* +G04 #@! TO.C,US2* +X165900000Y-66925000D02* +X165900000Y-64975000D01* +X168050000Y-66925000D02* +X165900000Y-66925000D01* +X174700000Y-66925000D02* +X172550000Y-66925000D01* +X174700000Y-64925000D02* +X174700000Y-66925000D01* G04 #@! TO.C,J1* D10* X103760000Y-102508571D02* @@ -5406,5 +5326,85 @@ X135222309Y-68368380D01* X134936595Y-68368380D01* X134841357Y-68320761D01* X134793738Y-68273142D01* +G04 #@! TO.C,US1* +X111013333Y-67141666D02* +X111013333Y-67708333D01* +X111046666Y-67775000D01* +X111080000Y-67808333D01* +X111146666Y-67841666D01* +X111280000Y-67841666D01* +X111346666Y-67808333D01* +X111380000Y-67775000D01* +X111413333Y-67708333D01* +X111413333Y-67141666D01* +X111713333Y-67808333D02* +X111813333Y-67841666D01* +X111980000Y-67841666D01* +X112046666Y-67808333D01* +X112080000Y-67775000D01* +X112113333Y-67708333D01* +X112113333Y-67641666D01* +X112080000Y-67575000D01* +X112046666Y-67541666D01* +X111980000Y-67508333D01* +X111846666Y-67475000D01* +X111780000Y-67441666D01* +X111746666Y-67408333D01* +X111713333Y-67341666D01* +X111713333Y-67275000D01* +X111746666Y-67208333D01* +X111780000Y-67175000D01* +X111846666Y-67141666D01* +X112013333Y-67141666D01* +X112113333Y-67175000D01* +X112780000Y-67841666D02* +X112380000Y-67841666D01* +X112580000Y-67841666D02* +X112580000Y-67141666D01* +X112513333Y-67241666D01* +X112446666Y-67308333D01* +X112380000Y-67341666D01* +G04 #@! TO.C,US2* +X169433333Y-67141666D02* +X169433333Y-67708333D01* +X169466666Y-67775000D01* +X169500000Y-67808333D01* +X169566666Y-67841666D01* +X169700000Y-67841666D01* +X169766666Y-67808333D01* +X169800000Y-67775000D01* +X169833333Y-67708333D01* +X169833333Y-67141666D01* +X170133333Y-67808333D02* +X170233333Y-67841666D01* +X170400000Y-67841666D01* +X170466666Y-67808333D01* +X170500000Y-67775000D01* +X170533333Y-67708333D01* +X170533333Y-67641666D01* +X170500000Y-67575000D01* +X170466666Y-67541666D01* +X170400000Y-67508333D01* +X170266666Y-67475000D01* +X170200000Y-67441666D01* +X170166666Y-67408333D01* +X170133333Y-67341666D01* +X170133333Y-67275000D01* +X170166666Y-67208333D01* +X170200000Y-67175000D01* +X170266666Y-67141666D01* +X170433333Y-67141666D01* +X170533333Y-67175000D01* +X170800000Y-67208333D02* +X170833333Y-67175000D01* +X170900000Y-67141666D01* +X171066666Y-67141666D01* +X171133333Y-67175000D01* +X171166666Y-67208333D01* +X171200000Y-67275000D01* +X171200000Y-67341666D01* +X171166666Y-67441666D01* +X170766666Y-67841666D01* +X171200000Y-67841666D01* G04 #@! TD* M02* diff --git a/plot/ulx3s-In1.Cu.g2 b/plot/ulx3s-In1.Cu.g2 index 1da37c1..57d0ec8 100644 --- a/plot/ulx3s-In1.Cu.g2 +++ b/plot/ulx3s-In1.Cu.g2 @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L2,Inr,Signal* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* @@ -39,16 +39,16 @@ G04 #@! TA.AperFunction,ViaPad* %ADD18C,0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD19C,1.000000*% +%ADD19C,0.700000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD20C,0.700000*% +%ADD20C,0.454000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD21C,0.454000*% +%ADD21C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD22C,0.600000*% +%ADD22C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD23C,0.800000*% @@ -338,8 +338,6 @@ G04 #@! TO.N,* X124632693Y-93120351D03* D19* G04 #@! TO.N,GND* -X175649000Y-63343000D03* -D20* X112784000Y-102840000D03* D18* X141742847Y-69038361D03* @@ -348,7 +346,7 @@ X138480000Y-92600000D03* X140080000Y-92600000D03* X135280000Y-87000000D03* X145687392Y-90996646D03* -D21* +D20* X141675979Y-86986521D03* D18* X140876932Y-84552536D03* @@ -356,25 +354,22 @@ X132882184Y-84635369D03* X132879996Y-82103336D03* X140922639Y-81447441D03* X177287984Y-96778661D03* -D22* +D21* X152510125Y-81695229D03* D18* X131264297Y-86227110D03* X173856000Y-71538990D03* -D19* -X164947008Y-63194069D03* -D18* X135342764Y-89381630D03* X144103496Y-84660400D03* -D19* +D22* X177658444Y-82281349D03* D18* X145680000Y-81405125D03* X145691238Y-94166752D03* -D19* +D22* X162956098Y-95078488D03* X158539988Y-94868772D03* -D22* +D21* X161075765Y-80992022D03* X164882869Y-80938986D03* X156262773Y-81349374D03* @@ -392,9 +387,9 @@ X135284627Y-94985297D03* X135288625Y-94225619D03* X134455822Y-94267172D03* X136095958Y-93369652D03* -D21* +D20* X139264636Y-91615205D03* -D19* +D22* X116880503Y-64802940D03* X106974809Y-64953974D03* D18* @@ -407,20 +402,23 @@ X136880000Y-92600000D03* X135280000Y-92600000D03* X132880000Y-91800000D03* X132880000Y-93400000D03* -D21* +D20* X139280000Y-87000000D03* X137680000Y-87000000D03* X136080000Y-84600000D03* X139280000Y-88600000D03* +D22* +X175776000Y-63343000D03* +X164854000Y-63343000D03* D18* G04 #@! TO.N,+5V* X149169500Y-68867500D03* -D19* +D22* X166275426Y-77459534D03* X107021491Y-67043629D03* D18* X172047074Y-87438453D03* -D19* +D22* X166255545Y-79350736D03* X157807568Y-67669269D03* X101707889Y-82423180D03* @@ -432,38 +430,38 @@ G04 #@! TO.N,+3V3* X119692151Y-77494120D03* X135263000Y-87727000D03* X141740000Y-89250994D03* -D22* +D21* X156091000Y-94585000D03* D18* X140032430Y-67286930D03* X137803000Y-91664000D03* -D22* +D21* X181155918Y-104980708D03* D18* X171089123Y-98665996D03* X171089123Y-99619659D03* -D19* +D22* X135105588Y-66616618D03* D18* X181491000Y-91156000D03* X113660608Y-89375718D03* -D19* +D22* X148268387Y-79050018D03* D18* X114513935Y-82409431D03* X109840000Y-73620000D03* X132110753Y-92527478D03* X165803369Y-97344883D03* -D19* -X160905403Y-95006436D03* D22* +X160905403Y-95006436D03* +D21* X158731133Y-81048929D03* X154593901Y-94607945D03* -D19* -X164625730Y-94982471D03* D22* +X164625730Y-94982471D03* +D21* X163462982Y-80942429D03* -D19* +D22* X176254940Y-94288458D03* D23* X110593913Y-108636458D03* @@ -477,9 +475,9 @@ X139272517Y-84611349D03* X141680000Y-86200000D03* X132880000Y-92600000D03* X141680000Y-85400000D03* -D20* -X102624000Y-98141000D03* D19* +X102624000Y-98141000D03* +D22* X100973000Y-94331000D03* D18* G04 #@! TO.N,BTN_F1* @@ -497,7 +495,7 @@ X147175985Y-97333919D03* X147700000Y-93820000D03* G04 #@! TO.N,+2V5* X142107219Y-91201016D03* -D19* +D22* X110128890Y-90028152D03* D18* X142480000Y-83800000D03* @@ -520,7 +518,7 @@ X133680000Y-91800000D03* G04 #@! TO.N,JTAG_TCK* X135517000Y-97633000D03* X134675868Y-108131585D03* -D21* +D20* X133449289Y-102232615D03* D18* X133680000Y-92600000D03* @@ -574,7 +572,7 @@ X144894316Y-86977564D03* G04 #@! TO.N,SDRAM_D13* X144896700Y-83863953D03* X149527221Y-80838006D03* -D21* +D20* G04 #@! TO.N,SDRAM_D6* X147343891Y-93217126D03* D18* @@ -585,7 +583,7 @@ X144875155Y-86292748D03* G04 #@! TO.N,SDRAM_D12* X144916886Y-83019942D03* X148696112Y-80861847D03* -D21* +D20* G04 #@! TO.N,SDRAM_D5* X148349010Y-93322307D03* D18* @@ -692,7 +690,7 @@ X135656559Y-110610712D03* G04 #@! TO.N,FTDI_nSLEEP* X149577281Y-103320847D03* X132468001Y-104503413D03* -D20* +D19* G04 #@! TO.N,/sdcard/SD3V3* X104260000Y-100790000D03* D18* @@ -784,11 +782,11 @@ X139322319Y-90164039D03* X140080000Y-89400000D03* X136880000Y-89400000D03* X140896226Y-87816226D03* -D21* +D20* X136938110Y-86196311D03* D18* X140014602Y-86192969D03* -D19* +D22* X151758000Y-99774000D03* D18* G04 #@! TO.N,SW4* @@ -832,7 +830,7 @@ X135034780Y-77831198D03* G04 #@! TO.N,GP6* X134508502Y-81406751D03* X134177298Y-77721089D03* -D21* +D20* G04 #@! TO.N,GN14* X146942280Y-96452058D03* G04 #@! TO.N,GP14* @@ -978,11 +976,11 @@ G04 #@! TO.N,/gpdi/FPDI_CEC* X144606344Y-79361357D03* G04 #@! TO.N,2V5_3V3* X157996000Y-72487000D03* -D19* +D22* X100855891Y-71574861D03* D18* X135280000Y-85400000D03* -D22* +D21* X100652119Y-90162239D03* D18* X137550785Y-84577294D03* @@ -6473,44 +6471,43 @@ X160716005Y-63634500D01* X160917419Y-63594436D01* X161145822Y-63441822D01* X161298436Y-63213419D01* -X161329605Y-63056720D01* -X164256508Y-63056720D01* -X164256508Y-63331418D01* -X164361630Y-63585206D01* -X164555871Y-63779447D01* -X164809659Y-63884569D01* -X165084357Y-63884569D01* -X165338145Y-63779447D01* -X165532386Y-63585206D01* -X165637508Y-63331418D01* -X165637508Y-63205651D01* -X174958500Y-63205651D01* -X174958500Y-63480349D01* -X175063622Y-63734137D01* -X175257863Y-63928378D01* -X175511651Y-64033500D01* -X175786349Y-64033500D01* -X176040137Y-63928378D01* -X176234378Y-63734137D01* -X176339500Y-63480349D01* -X176339500Y-63205651D01* -X176234378Y-62951863D01* -X176040137Y-62757622D01* -X175786349Y-62652500D01* -X175511651Y-62652500D01* -X175257863Y-62757622D01* -X175063622Y-62951863D01* -X174958500Y-63205651D01* -X165637508Y-63205651D01* -X165637508Y-63056720D01* -X165532386Y-62802932D01* -X165338145Y-62608691D01* -X165084357Y-62503569D01* -X164809659Y-62503569D01* -X164555871Y-62608691D01* -X164361630Y-62802932D01* -X164256508Y-63056720D01* -X161329605Y-63056720D01* +X161299981Y-63205651D01* +X164163500Y-63205651D01* +X164163500Y-63480349D01* +X164268622Y-63734137D01* +X164462863Y-63928378D01* +X164716651Y-64033500D01* +X164991349Y-64033500D01* +X165245137Y-63928378D01* +X165439378Y-63734137D01* +X165544500Y-63480349D01* +X165544500Y-63205651D01* +X175085500Y-63205651D01* +X175085500Y-63480349D01* +X175190622Y-63734137D01* +X175384863Y-63928378D01* +X175638651Y-64033500D01* +X175913349Y-64033500D01* +X176167137Y-63928378D01* +X176361378Y-63734137D01* +X176466500Y-63480349D01* +X176466500Y-63205651D01* +X176361378Y-62951863D01* +X176167137Y-62757622D01* +X175913349Y-62652500D01* +X175638651Y-62652500D01* +X175384863Y-62757622D01* +X175190622Y-62951863D01* +X175085500Y-63205651D01* +X165544500Y-63205651D01* +X165439378Y-62951863D01* +X165245137Y-62757622D01* +X164991349Y-62652500D01* +X164716651Y-62652500D01* +X164462863Y-62757622D01* +X164268622Y-62951863D01* +X164163500Y-63205651D01* +X161299981Y-63205651D01* X161352027Y-62944000D01* X161350309Y-62935362D01* X161798162Y-62487510D01* @@ -12312,44 +12309,43 @@ X160716005Y-63634500D01* X160917419Y-63594436D01* X161145822Y-63441822D01* X161298436Y-63213419D01* -X161329605Y-63056720D01* -X164256508Y-63056720D01* -X164256508Y-63331418D01* -X164361630Y-63585206D01* -X164555871Y-63779447D01* -X164809659Y-63884569D01* -X165084357Y-63884569D01* -X165338145Y-63779447D01* -X165532386Y-63585206D01* -X165637508Y-63331418D01* -X165637508Y-63205651D01* -X174958500Y-63205651D01* -X174958500Y-63480349D01* -X175063622Y-63734137D01* -X175257863Y-63928378D01* -X175511651Y-64033500D01* -X175786349Y-64033500D01* -X176040137Y-63928378D01* -X176234378Y-63734137D01* -X176339500Y-63480349D01* -X176339500Y-63205651D01* -X176234378Y-62951863D01* -X176040137Y-62757622D01* -X175786349Y-62652500D01* -X175511651Y-62652500D01* -X175257863Y-62757622D01* -X175063622Y-62951863D01* -X174958500Y-63205651D01* -X165637508Y-63205651D01* -X165637508Y-63056720D01* -X165532386Y-62802932D01* -X165338145Y-62608691D01* -X165084357Y-62503569D01* -X164809659Y-62503569D01* -X164555871Y-62608691D01* -X164361630Y-62802932D01* -X164256508Y-63056720D01* -X161329605Y-63056720D01* +X161299981Y-63205651D01* +X164163500Y-63205651D01* +X164163500Y-63480349D01* +X164268622Y-63734137D01* +X164462863Y-63928378D01* +X164716651Y-64033500D01* +X164991349Y-64033500D01* +X165245137Y-63928378D01* +X165439378Y-63734137D01* +X165544500Y-63480349D01* +X165544500Y-63205651D01* +X175085500Y-63205651D01* +X175085500Y-63480349D01* +X175190622Y-63734137D01* +X175384863Y-63928378D01* +X175638651Y-64033500D01* +X175913349Y-64033500D01* +X176167137Y-63928378D01* +X176361378Y-63734137D01* +X176466500Y-63480349D01* +X176466500Y-63205651D01* +X176361378Y-62951863D01* +X176167137Y-62757622D01* +X175913349Y-62652500D01* +X175638651Y-62652500D01* +X175384863Y-62757622D01* +X175190622Y-62951863D01* +X175085500Y-63205651D01* +X165544500Y-63205651D01* +X165439378Y-62951863D01* +X165245137Y-62757622D01* +X164991349Y-62652500D01* +X164716651Y-62652500D01* +X164462863Y-62757622D01* +X164268622Y-62951863D01* +X164163500Y-63205651D01* +X161299981Y-63205651D01* X161352027Y-62944000D01* X161350309Y-62935362D01* X161798162Y-62487510D01* diff --git a/plot/ulx3s-In2.Cu.g3 b/plot/ulx3s-In2.Cu.g3 index 9a7e3e7..77642ab 100644 --- a/plot/ulx3s-In2.Cu.g3 +++ b/plot/ulx3s-In2.Cu.g3 @@ -1,12 +1,12 @@ G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-2* -G04 #@! TF.CreationDate,2018-06-07T16:20:25+02:00* +G04 #@! TF.CreationDate,2018-06-07T19:40:26+02:00* G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L3,Inr,Signal* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:25 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:26 2018* %MOMM*% %LPD*% G01* @@ -39,16 +39,16 @@ G04 #@! TA.AperFunction,ViaPad* %ADD18C,0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD19C,1.000000*% +%ADD19C,0.700000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD20C,0.700000*% +%ADD20C,0.454000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD21C,0.454000*% +%ADD21C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD22C,0.600000*% +%ADD22C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD23C,0.800000*% @@ -323,8 +323,6 @@ G04 #@! TO.N,* X124632693Y-93120351D03* D19* G04 #@! TO.N,GND* -X175649000Y-63343000D03* -D20* X112784000Y-102840000D03* D18* X141742847Y-69038361D03* @@ -333,7 +331,7 @@ X138480000Y-92600000D03* X140080000Y-92600000D03* X135280000Y-87000000D03* X145687392Y-90996646D03* -D21* +D20* X141675979Y-86986521D03* D18* X140876932Y-84552536D03* @@ -341,25 +339,22 @@ X132882184Y-84635369D03* X132879996Y-82103336D03* X140922639Y-81447441D03* X177287984Y-96778661D03* -D22* +D21* X152510125Y-81695229D03* D18* X131264297Y-86227110D03* X173856000Y-71538990D03* -D19* -X164947008Y-63194069D03* -D18* X135342764Y-89381630D03* X144103496Y-84660400D03* -D19* +D22* X177658444Y-82281349D03* D18* X145680000Y-81405125D03* X145691238Y-94166752D03* -D19* +D22* X162956098Y-95078488D03* X158539988Y-94868772D03* -D22* +D21* X161075765Y-80992022D03* X164882869Y-80938986D03* X156262773Y-81349374D03* @@ -377,9 +372,9 @@ X135284627Y-94985297D03* X135288625Y-94225619D03* X134455822Y-94267172D03* X136095958Y-93369652D03* -D21* +D20* X139264636Y-91615205D03* -D19* +D22* X116880503Y-64802940D03* X106974809Y-64953974D03* D18* @@ -392,20 +387,23 @@ X136880000Y-92600000D03* X135280000Y-92600000D03* X132880000Y-91800000D03* X132880000Y-93400000D03* -D21* +D20* X139280000Y-87000000D03* X137680000Y-87000000D03* X136080000Y-84600000D03* X139280000Y-88600000D03* +D22* +X175776000Y-63343000D03* +X164854000Y-63343000D03* D18* G04 #@! TO.N,+5V* X149169500Y-68867500D03* -D19* +D22* X166275426Y-77459534D03* X107021491Y-67043629D03* D18* X172047074Y-87438453D03* -D19* +D22* X166255545Y-79350736D03* X157807568Y-67669269D03* X101707889Y-82423180D03* @@ -417,38 +415,38 @@ G04 #@! TO.N,+3V3* X119692151Y-77494120D03* X135263000Y-87727000D03* X141740000Y-89250994D03* -D22* +D21* X156091000Y-94585000D03* D18* X140032430Y-67286930D03* X137803000Y-91664000D03* -D22* +D21* X181155918Y-104980708D03* D18* X171089123Y-98665996D03* X171089123Y-99619659D03* -D19* +D22* X135105588Y-66616618D03* D18* X181491000Y-91156000D03* X113660608Y-89375718D03* -D19* +D22* X148268387Y-79050018D03* D18* X114513935Y-82409431D03* X109840000Y-73620000D03* X132110753Y-92527478D03* X165803369Y-97344883D03* -D19* -X160905403Y-95006436D03* D22* +X160905403Y-95006436D03* +D21* X158731133Y-81048929D03* X154593901Y-94607945D03* -D19* -X164625730Y-94982471D03* D22* +X164625730Y-94982471D03* +D21* X163462982Y-80942429D03* -D19* +D22* X176254940Y-94288458D03* D23* X110593913Y-108636458D03* @@ -462,9 +460,9 @@ X139272517Y-84611349D03* X141680000Y-86200000D03* X132880000Y-92600000D03* X141680000Y-85400000D03* -D20* -X102624000Y-98141000D03* D19* +X102624000Y-98141000D03* +D22* X100973000Y-94331000D03* D18* G04 #@! TO.N,BTN_F1* @@ -482,7 +480,7 @@ X147175985Y-97333919D03* X147700000Y-93820000D03* G04 #@! TO.N,+2V5* X142107219Y-91201016D03* -D19* +D22* X110128890Y-90028152D03* D18* X142480000Y-83800000D03* @@ -505,7 +503,7 @@ X133680000Y-91800000D03* G04 #@! TO.N,JTAG_TCK* X135517000Y-97633000D03* X134675868Y-108131585D03* -D21* +D20* X133449289Y-102232615D03* D18* X133680000Y-92600000D03* @@ -559,7 +557,7 @@ X144894316Y-86977564D03* G04 #@! TO.N,SDRAM_D13* X144896700Y-83863953D03* X149527221Y-80838006D03* -D21* +D20* G04 #@! TO.N,SDRAM_D6* X147343891Y-93217126D03* D18* @@ -570,7 +568,7 @@ X144875155Y-86292748D03* G04 #@! TO.N,SDRAM_D12* X144916886Y-83019942D03* X148696112Y-80861847D03* -D21* +D20* G04 #@! TO.N,SDRAM_D5* X148349010Y-93322307D03* D18* @@ -677,7 +675,7 @@ X135656559Y-110610712D03* G04 #@! TO.N,FTDI_nSLEEP* X149577281Y-103320847D03* X132468001Y-104503413D03* -D20* +D19* G04 #@! TO.N,/sdcard/SD3V3* X104260000Y-100790000D03* D18* @@ -769,11 +767,11 @@ X139322319Y-90164039D03* X140080000Y-89400000D03* X136880000Y-89400000D03* X140896226Y-87816226D03* -D21* +D20* X136938110Y-86196311D03* D18* X140014602Y-86192969D03* -D19* +D22* X151758000Y-99774000D03* D18* G04 #@! TO.N,SW4* @@ -817,7 +815,7 @@ X135034780Y-77831198D03* G04 #@! TO.N,GP6* X134508502Y-81406751D03* X134177298Y-77721089D03* -D21* +D20* G04 #@! TO.N,GN14* X146942280Y-96452058D03* G04 #@! TO.N,GP14* @@ -963,11 +961,11 @@ G04 #@! TO.N,/gpdi/FPDI_CEC* X144606344Y-79361357D03* G04 #@! TO.N,2V5_3V3* X157996000Y-72487000D03* -D19* +D22* X100855891Y-71574861D03* D18* X135280000Y-85400000D03* -D22* +D21* X100652119Y-90162239D03* D18* X137550785Y-84577294D03* diff --git a/plot/ulx3s-bottom.pos b/plot/ulx3s-bottom.pos index 6a61e65..c906996 100644 --- a/plot/ulx3s-bottom.pos +++ b/plot/ulx3s-bottom.pos @@ -1,145 +1,145 @@ -### Module positions - created on Thu Jun 7 16:20:36 2018 ### +### Module positions - created on Thu Jun 7 19:40:36 2018 ### ### Printed by Pcbnew version kicad 5.0.0-rc2+dfsg1-2 ## Unit = inches, Angle = deg. ## Side : bottom -# Ref Val Package PosX PosY Rot Side -BAT1 CR1225 Keystone_3000_1x12mm-CoinCell -2.7750 0.2500 180.0000 bottom -C1 22uF C_0805_2012Metric -0.3781 1.1943 0.0000 bottom -C2 470pF C_0603_1608Metric -2.3921 0.6028 0.0000 bottom -C3 22uF C_0805_2012Metric -2.4000 0.8500 0.0000 bottom -C4 22uF C_0805_2012Metric -2.4000 0.7750 0.0000 bottom -C5 22uF C_0805_2012Metric -2.7250 0.8000 90.0000 bottom -C6 470pF C_0603_1608Metric -2.3000 1.1750 0.0000 bottom -C7 22uF C_0805_2012Metric -2.3000 1.3000 0.0000 bottom -C8 22uF C_0805_2012Metric -2.3000 1.3750 0.0000 bottom -C9 22uF C_0805_2012Metric -2.6250 1.3250 90.0000 bottom -C10 470pF C_0603_1608Metric -0.6000 1.2250 180.0000 bottom -C11 22uF C_0805_2012Metric -0.6000 1.1000 180.0000 bottom -C12 22uF C_0805_2012Metric -0.6000 1.0250 180.0000 bottom -C13 2.2uF C_0805_2012Metric -3.1150 1.0800 90.0000 bottom -C14 220nF C_0603_1608Metric -3.2000 1.4250 270.0000 bottom -C15 22uF C_0805_2012Metric -0.4400 0.5200 90.0000 bottom -C16 2.2uF C_0805_2012Metric -2.8900 0.9900 90.0000 bottom -C17 2.2uF C_0805_2012Metric -1.7480 0.8110 270.0000 bottom -C18 470pF C_0603_1608Metric -2.2240 1.9187 90.0000 bottom -C19 2.2uF C_0805_2012Metric -1.7480 1.2126 90.0000 bottom -C20 2.2uF C_0805_2012Metric -1.7480 1.0079 270.0000 bottom -C21 22uF C_0805_2012Metric -0.3406 0.7543 0.0000 bottom -C22 2.2uF C_0805_2012Metric -2.4100 0.5400 0.0000 bottom -C23 2.2uF C_0805_2012Metric -0.4900 0.8200 180.0000 bottom -C24 2.2uF C_0805_2012Metric -2.2100 1.4700 180.0000 bottom -C25 22nF C_0603_1608Metric -1.8425 1.0236 270.0000 bottom -C26 22nF C_0603_1608Metric -1.6535 1.0236 270.0000 bottom -C27 22nF C_0603_1608Metric -1.6850 0.8268 270.0000 bottom -C28 22nF C_0603_1608Metric -1.8110 0.8268 270.0000 bottom -C29 22nF C_0603_1608Metric -1.8898 0.8268 270.0000 bottom -C30 22nF C_0603_1608Metric -1.6063 0.8346 270.0000 bottom -C31 22nF C_0603_1608Metric -1.6535 0.9370 0.0000 bottom -C32 22nF C_0603_1608Metric -1.8425 0.9370 180.0000 bottom -C33 22nF C_0603_1608Metric -2.7638 1.1811 0.0000 bottom -C34 22nF C_0603_1608Metric -2.4961 1.1811 180.0000 bottom -C35 22nF C_0603_1608Metric -3.2756 0.6819 270.0000 bottom -C46 2.2uF C_0805_2012Metric -2.0550 0.3400 0.0000 bottom -C47 2.2uF C_0805_2012Metric -1.5906 1.7193 0.0000 bottom -C48 22nF C_0603_1608Metric -0.3551 1.6243 0.0000 bottom -C49 22nF C_0603_1608Metric -0.3306 0.8293 0.0000 bottom -C50 22nF C_0603_1608Metric -3.3706 0.9543 90.0000 bottom -C51 22nF C_0603_1608Metric -3.3661 0.3293 180.0000 bottom -C52 22nF C_0603_1608Metric -2.5756 0.6193 180.0000 bottom -C53 22nF C_0603_1608Metric -1.5591 1.0193 180.0000 bottom -C54 22uF C_0805_2012Metric -2.9556 0.6293 90.0000 bottom -C55 22uF C_0805_2012Metric -3.3456 0.7993 270.0000 bottom -D8 STPS2L30AF D_SMA -0.7106 1.6593 0.0000 bottom -D10 1N4148 D_SOD-323_HandSoldering -3.0000 1.1000 0.0000 bottom -D11 RED LED_0805_2012Metric -3.3500 1.2750 90.0000 bottom -D12 BAT54W D_SOD-323_HandSoldering -2.9500 1.2750 90.0000 bottom -D13 1N4148 D_SOD-323_HandSoldering -3.0000 1.0400 180.0000 bottom -D14 1N4148 D_SOD-323_HandSoldering -3.3500 1.4250 90.0000 bottom -D15 BAT54W D_SOD-323_HandSoldering -3.2750 1.4250 270.0000 bottom -D16 1N4148 D_SOD-323_HandSoldering -3.1550 1.5450 0.0000 bottom -D17 1N4148 D_SOD-323_HandSoldering -2.7750 1.6500 270.0000 bottom -D20 3.6V D_SOD-323_HandSoldering -2.8900 1.7150 180.0000 bottom -D21 3.6V D_SOD-323_HandSoldering -3.1350 1.7150 0.0000 bottom -D23 1N4148 D_SOD-323_HandSoldering -2.7500 1.7700 0.0000 bottom -D24 1N4148 D_SOD-323_HandSoldering -2.7500 1.8450 180.0000 bottom -D25 1N4148 D_SOD-323_HandSoldering -3.2800 1.6200 90.0000 bottom -D26 1N4148 D_SOD-323_HandSoldering -3.3600 1.6200 270.0000 bottom -L1 2.2uH L_1008_1210 -2.5500 0.9500 180.0000 bottom -L2 2.2uH L_1008_1210 -0.4250 0.9250 0.0000 bottom -L3 2.2uH L_1008_1210 -2.4500 1.4750 180.0000 bottom -Q1 BC857 SOT-23 -3.2250 1.1000 90.0000 bottom -Q2 2N7002 SOT-23 -3.0250 1.4250 180.0000 bottom -R1 4.7k R_0603_1608Metric -3.0000 1.1750 0.0000 bottom -R2 18k R_0603_1608Metric -3.1000 1.2750 90.0000 bottom -R3 4.7k R_0603_1608Metric -2.6750 1.6500 90.0000 bottom -R4 4.7k R_0603_1608Metric -3.2250 1.2500 180.0000 bottom -R5 2.2M R_0603_1608Metric -3.1500 1.4250 270.0000 bottom -R6 1.2k R_0603_1608Metric -3.3250 1.0750 270.0000 bottom -R7 150 R_0603_1608Metric -0.7750 1.1000 270.0000 bottom -R8 1.2k R_0603_1608Metric -3.0250 1.2750 90.0000 bottom -R9 15k R_0603_1608Metric -1.3500 0.1000 270.0000 bottom -R10 150 R_0603_1608Metric -2.2850 0.3600 180.0000 bottom -R11 15k R_0603_1608Metric -1.0006 1.2993 270.0000 bottom -R12 15k R_0603_1608Metric -0.8000 0.9400 90.0000 bottom -R13 15k R_0603_1608Metric -3.2250 1.3250 180.0000 bottom -R14 1.2k R_0603_1608Metric -1.2400 1.0693 180.0000 bottom -R15 680 R_0603_1608Metric -1.2400 1.1293 180.0000 bottom -R16 330 R_0603_1608Metric -1.2400 1.1893 180.0000 bottom -R17 150 R_0603_1608Metric -1.2400 1.2500 180.0000 bottom -R18 1.2k R_0603_1608Metric -1.4300 1.4743 90.0000 bottom -R19 680 R_0603_1608Metric -1.4906 1.4743 90.0000 bottom -R20 330 R_0603_1608Metric -1.5506 1.4743 90.0000 bottom -R21 150 R_0603_1608Metric -1.6106 1.4743 90.0000 bottom -R22 3.3k R_0603_1608Metric -1.8081 1.8500 90.0000 bottom -R23 3.3k R_0603_1608Metric -1.8306 1.6543 270.0000 bottom -R24 100k R_0603_1608Metric -2.2250 1.7893 90.0000 bottom -R25 4.7k R_0603_1608Metric -2.1683 1.5643 180.0000 bottom -R26 4.7k R_0603_1608Metric -2.1806 1.6743 180.0000 bottom -R27 15k R_0603_1608Metric -1.3750 0.6317 90.0000 bottom -R28 15k R_0603_1608Metric -0.9250 1.2993 270.0000 bottom -R29 1.2k R_0603_1608Metric -0.7400 0.9400 90.0000 bottom -R30 4.7k R_0603_1608Metric -0.8500 1.2993 270.0000 bottom -R31 15k R_0603_1608Metric -1.9500 0.5500 180.0000 bottom -R32 15k R_0603_1608Metric -1.5250 0.5750 90.0000 bottom -R33 15k R_0603_1608Metric -1.4500 0.5750 90.0000 bottom -R34 15k R_0603_1608Metric -0.3500 0.3394 0.0000 bottom -R35 680 R_0603_1608Metric -0.3500 0.4000 180.0000 bottom -R38 0.47 R_0603_1608Metric -0.3731 0.5193 90.0000 bottom -R39 150 R_0603_1608Metric -2.7250 0.6250 180.0000 bottom -R40 1.2k R_0603_1608Metric -2.8900 1.8300 0.0000 bottom -R49 27 R_0603_1608Metric -0.7550 1.5250 90.0000 bottom -R50 27 R_0603_1608Metric -0.6800 1.5250 90.0000 bottom -R51 150 R_0603_1608Metric -2.4500 1.5643 180.0000 bottom -R52 27 R_0603_1608Metric -3.0406 1.7743 90.0000 bottom -R53 27 R_0603_1608Metric -2.9850 1.7743 90.0000 bottom -R54 1.2k R_0603_1608Metric -3.1300 1.8300 0.0000 bottom -R55 15k R_0603_1608Metric -1.6000 0.5750 270.0000 bottom -R57 1.2k R_0603_1608Metric -0.9300 1.5300 90.0000 bottom -R58 680 R_0603_1608Metric -0.9900 1.5300 90.0000 bottom -R59 330 R_0603_1608Metric -1.0500 1.5300 90.0000 bottom -R60 150 R_0603_1608Metric -1.1100 1.5300 90.0000 bottom -R61 680 R_0603_1608Metric -1.9953 1.6661 180.0000 bottom -R63 15k R_0603_1608Metric -2.8900 1.7750 0.0000 bottom -R64 15k R_0603_1608Metric -3.1299 1.7756 180.0000 bottom -R65 680 R_0603_1608Metric -3.2756 0.7993 90.0000 bottom -RA1 15k R_0603_1608Metric -2.3921 0.6528 0.0000 bottom -RA2 15k R_0603_1608Metric -0.6000 1.1750 180.0000 bottom -RA3 15k R_0603_1608Metric -2.3000 1.2250 0.0000 bottom -RB1 18k R_0603_1608Metric -2.5250 0.8000 270.0000 bottom -RB2 4.7k R_0603_1608Metric -0.4750 1.0750 90.0000 bottom -RB3 3.3k R_0603_1608Metric -2.4250 1.3250 270.0000 bottom -RD9 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.7856 1.5193 180.0000 bottom -RD51 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.4256 1.7993 90.0000 bottom -RD52 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.6006 1.8043 270.0000 bottom -RP1 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.2906 0.5850 270.0000 bottom -RP2 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -0.6300 0.9300 0.0000 bottom -RP3 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.1800 1.3350 270.0000 bottom -U3 TLV62569DBV TSOT-25 -2.6250 0.8000 0.0000 bottom -U4 TLV62569DBV TSOT-25 -0.3750 1.0750 180.0000 bottom -U5 TLV62569DBV TSOT-25 -2.5250 1.3200 0.0000 bottom -U6 FT231XS SSOP-20_4.4x6.5mm_Pitch0.65mm -1.5250 0.2000 180.0000 bottom -U7 PCF8523 SOT96-1 -3.1256 0.7443 90.0000 bottom -U10 IS25LP032D-JNLE-TR SOA008-150-208mil -0.9506 1.0393 270.0000 bottom -U11 PCA9306D SM8 -1.9913 1.8275 90.0000 bottom -Y2 32768Hz Crystal_SMD_ABS25 -3.2156 0.4842 180.0000 bottom +# Ref Val Package PosX PosY Rot Side +BAT1 CR1225 Keystone_3000_1x12mm-CoinCell -2.7750 0.2500 180.0000 bottom +C1 22uF C_0805_2012Metric -0.3781 1.1943 0.0000 bottom +C2 470pF C_0603_1608Metric -2.3921 0.6028 0.0000 bottom +C3 22uF C_0805_2012Metric -2.4000 0.8500 0.0000 bottom +C4 22uF C_0805_2012Metric -2.4000 0.7750 0.0000 bottom +C5 22uF C_0805_2012Metric -2.7250 0.8000 90.0000 bottom +C6 470pF C_0603_1608Metric -2.3000 1.1750 0.0000 bottom +C7 22uF C_0805_2012Metric -2.3000 1.3000 0.0000 bottom +C8 22uF C_0805_2012Metric -2.3000 1.3750 0.0000 bottom +C9 22uF C_0805_2012Metric -2.6250 1.3250 90.0000 bottom +C10 470pF C_0603_1608Metric -0.6000 1.2250 180.0000 bottom +C11 22uF C_0805_2012Metric -0.6000 1.1000 180.0000 bottom +C12 22uF C_0805_2012Metric -0.6000 1.0250 180.0000 bottom +C13 2.2uF C_0805_2012Metric -3.1150 1.0800 90.0000 bottom +C14 220nF C_0603_1608Metric -3.2000 1.4250 270.0000 bottom +C15 22uF C_0805_2012Metric -0.4400 0.5200 90.0000 bottom +C16 2.2uF C_0805_2012Metric -2.8900 0.9900 90.0000 bottom +C17 2.2uF C_0805_2012Metric -1.7480 0.8110 270.0000 bottom +C18 470pF C_0603_1608Metric -2.2240 1.9187 90.0000 bottom +C19 2.2uF C_0805_2012Metric -1.7480 1.2126 90.0000 bottom +C20 2.2uF C_0805_2012Metric -1.7480 1.0079 270.0000 bottom +C21 22uF C_0805_2012Metric -0.3406 0.7543 0.0000 bottom +C22 2.2uF C_0805_2012Metric -2.4100 0.5400 0.0000 bottom +C23 2.2uF C_0805_2012Metric -0.4900 0.8200 180.0000 bottom +C24 2.2uF C_0805_2012Metric -2.2100 1.4700 180.0000 bottom +C25 22nF C_0603_1608Metric -1.8425 1.0236 270.0000 bottom +C26 22nF C_0603_1608Metric -1.6535 1.0236 270.0000 bottom +C27 22nF C_0603_1608Metric -1.6850 0.8268 270.0000 bottom +C28 22nF C_0603_1608Metric -1.8110 0.8268 270.0000 bottom +C29 22nF C_0603_1608Metric -1.8898 0.8268 270.0000 bottom +C30 22nF C_0603_1608Metric -1.6063 0.8346 270.0000 bottom +C31 22nF C_0603_1608Metric -1.6535 0.9370 0.0000 bottom +C32 22nF C_0603_1608Metric -1.8425 0.9370 180.0000 bottom +C33 22nF C_0603_1608Metric -2.7638 1.1811 0.0000 bottom +C34 22nF C_0603_1608Metric -2.4961 1.1811 180.0000 bottom +C35 22nF C_0603_1608Metric -3.2756 0.6819 270.0000 bottom +C46 2.2uF C_0805_2012Metric -2.0550 0.3400 0.0000 bottom +C47 2.2uF C_0805_2012Metric -1.5906 1.7193 0.0000 bottom +C48 22nF C_0603_1608Metric -0.3551 1.6243 0.0000 bottom +C49 22nF C_0603_1608Metric -0.3306 0.8293 0.0000 bottom +C50 22nF C_0603_1608Metric -3.3706 0.9543 90.0000 bottom +C51 22nF C_0603_1608Metric -3.3661 0.3293 180.0000 bottom +C52 22nF C_0603_1608Metric -2.5756 0.6193 180.0000 bottom +C53 22nF C_0603_1608Metric -1.5591 1.0193 180.0000 bottom +C54 22uF C_0805_2012Metric -2.9556 0.6293 90.0000 bottom +C55 22uF C_0805_2012Metric -3.3456 0.7993 270.0000 bottom +D8 STPS2L30AF D_SMA -0.7106 1.6593 0.0000 bottom +D10 1N4148 D_SOD-323_HandSoldering -3.0000 1.1000 0.0000 bottom +D11 RED LED_0805_2012Metric -3.3500 1.2750 90.0000 bottom +D12 BAT54W D_SOD-323_HandSoldering -2.9500 1.2750 90.0000 bottom +D13 1N4148 D_SOD-323_HandSoldering -3.0000 1.0400 180.0000 bottom +D14 1N4148 D_SOD-323_HandSoldering -3.3500 1.4250 90.0000 bottom +D15 BAT54W D_SOD-323_HandSoldering -3.2750 1.4250 270.0000 bottom +D16 1N4148 D_SOD-323_HandSoldering -3.1550 1.5450 0.0000 bottom +D17 1N4148 D_SOD-323_HandSoldering -2.7750 1.6500 270.0000 bottom +D20 3.6V D_SOD-323_HandSoldering -2.8900 1.7150 180.0000 bottom +D21 3.6V D_SOD-323_HandSoldering -3.1350 1.7150 0.0000 bottom +D23 1N4148 D_SOD-323_HandSoldering -2.7500 1.7700 0.0000 bottom +D24 1N4148 D_SOD-323_HandSoldering -2.7500 1.8450 180.0000 bottom +D25 1N4148 D_SOD-323_HandSoldering -3.2800 1.6200 90.0000 bottom +D26 1N4148 D_SOD-323_HandSoldering -3.3600 1.6200 270.0000 bottom +L1 2.2uH L_1008_1210 -2.5500 0.9500 180.0000 bottom +L2 2.2uH L_1008_1210 -0.4250 0.9250 0.0000 bottom +L3 2.2uH L_1008_1210 -2.4500 1.4750 180.0000 bottom +Q1 BC857 SOT-23 -3.2250 1.1000 90.0000 bottom +Q2 2N7002 SOT-23 -3.0250 1.4250 180.0000 bottom +R1 4.7k R_0603_1608Metric -3.0000 1.1750 0.0000 bottom +R2 18k R_0603_1608Metric -3.1000 1.2750 90.0000 bottom +R3 4.7k R_0603_1608Metric -2.6750 1.6500 90.0000 bottom +R4 4.7k R_0603_1608Metric -3.2250 1.2500 180.0000 bottom +R5 2.2M R_0603_1608Metric -3.1500 1.4250 270.0000 bottom +R6 1.2k R_0603_1608Metric -3.3250 1.0750 270.0000 bottom +R7 150 R_0603_1608Metric -0.7750 1.1000 270.0000 bottom +R8 1.2k R_0603_1608Metric -3.0250 1.2750 90.0000 bottom +R9 15k R_0603_1608Metric -1.3500 0.1000 270.0000 bottom +R10 150 R_0603_1608Metric -2.2850 0.3600 180.0000 bottom +R11 15k R_0603_1608Metric -1.0006 1.2993 270.0000 bottom +R12 15k R_0603_1608Metric -0.8000 0.9400 90.0000 bottom +R13 15k R_0603_1608Metric -3.2250 1.3250 180.0000 bottom +R14 1.2k R_0603_1608Metric -1.2400 1.0693 180.0000 bottom +R15 680 R_0603_1608Metric -1.2400 1.1293 180.0000 bottom +R16 330 R_0603_1608Metric -1.2400 1.1893 180.0000 bottom +R17 150 R_0603_1608Metric -1.2400 1.2500 180.0000 bottom +R18 1.2k R_0603_1608Metric -1.4300 1.4743 90.0000 bottom +R19 680 R_0603_1608Metric -1.4906 1.4743 90.0000 bottom +R20 330 R_0603_1608Metric -1.5506 1.4743 90.0000 bottom +R21 150 R_0603_1608Metric -1.6106 1.4743 90.0000 bottom +R22 3.3k R_0603_1608Metric -1.8081 1.8500 90.0000 bottom +R23 3.3k R_0603_1608Metric -1.8306 1.6543 270.0000 bottom +R24 100k R_0603_1608Metric -2.2250 1.7893 90.0000 bottom +R25 4.7k R_0603_1608Metric -2.1683 1.5643 180.0000 bottom +R26 4.7k R_0603_1608Metric -2.1806 1.6743 180.0000 bottom +R27 15k R_0603_1608Metric -1.3750 0.6317 90.0000 bottom +R28 15k R_0603_1608Metric -0.9250 1.2993 270.0000 bottom +R29 1.2k R_0603_1608Metric -0.7400 0.9400 90.0000 bottom +R30 4.7k R_0603_1608Metric -0.8500 1.2993 270.0000 bottom +R31 15k R_0603_1608Metric -1.9500 0.5500 180.0000 bottom +R32 15k R_0603_1608Metric -1.5250 0.5750 90.0000 bottom +R33 15k R_0603_1608Metric -1.4500 0.5750 90.0000 bottom +R34 15k R_0603_1608Metric -0.3500 0.3394 0.0000 bottom +R35 680 R_0603_1608Metric -0.3500 0.4000 180.0000 bottom +R38 0.47 R_0603_1608Metric -0.3731 0.5193 90.0000 bottom +R39 150 R_0603_1608Metric -2.7250 0.6250 180.0000 bottom +R40 1.2k R_0603_1608Metric -2.8900 1.8300 0.0000 bottom +R49 27 R_0603_1608Metric -0.7550 1.5250 90.0000 bottom +R50 27 R_0603_1608Metric -0.6800 1.5250 90.0000 bottom +R51 150 R_0603_1608Metric -2.4500 1.5643 180.0000 bottom +R52 27 R_0603_1608Metric -3.0406 1.7743 90.0000 bottom +R53 27 R_0603_1608Metric -2.9850 1.7743 90.0000 bottom +R54 1.2k R_0603_1608Metric -3.1300 1.8300 0.0000 bottom +R55 15k R_0603_1608Metric -1.6000 0.5750 270.0000 bottom +R57 1.2k R_0603_1608Metric -0.9300 1.5300 90.0000 bottom +R58 680 R_0603_1608Metric -0.9900 1.5300 90.0000 bottom +R59 330 R_0603_1608Metric -1.0500 1.5300 90.0000 bottom +R60 150 R_0603_1608Metric -1.1100 1.5300 90.0000 bottom +R61 680 R_0603_1608Metric -1.9953 1.6661 180.0000 bottom +R63 15k R_0603_1608Metric -2.8900 1.7750 0.0000 bottom +R64 15k R_0603_1608Metric -3.1299 1.7756 180.0000 bottom +R65 680 R_0603_1608Metric -3.2756 0.7993 90.0000 bottom +RA1 15k R_0603_1608Metric -2.3921 0.6528 0.0000 bottom +RA2 15k R_0603_1608Metric -0.6000 1.1750 180.0000 bottom +RA3 15k R_0603_1608Metric -2.3000 1.2250 0.0000 bottom +RB1 18k R_0603_1608Metric -2.5250 0.8000 270.0000 bottom +RB2 4.7k R_0603_1608Metric -0.4750 1.0750 90.0000 bottom +RB3 3.3k R_0603_1608Metric -2.4250 1.3250 270.0000 bottom +RD9 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.7856 1.5193 180.0000 bottom +RD51 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.4256 1.7993 90.0000 bottom +RD52 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.6006 1.8043 270.0000 bottom +RP1 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.2906 0.5850 270.0000 bottom +RP2 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -0.6300 0.9300 0.0000 bottom +RP3 0 R_0805_2012Metric_Pad1.29x1.40mm_HandSolder -2.1800 1.3350 270.0000 bottom +U3 TLV62569DBV TSOT-25 -2.6250 0.8000 0.0000 bottom +U4 TLV62569DBV TSOT-25 -0.3750 1.0750 180.0000 bottom +U5 TLV62569DBV TSOT-25 -2.5250 1.3200 0.0000 bottom +U6 FT231XS SSOP-20_4.4x6.5mm_Pitch0.65mm -1.5250 0.2000 180.0000 bottom +U7 PCF8523 SOT96-1 -3.1256 0.7443 90.0000 bottom +U10 IS25LP128F-JBLE SOA008-150-208mil -0.9506 1.0393 270.0000 bottom +U11 PCA9306D SM8 -1.9913 1.8275 90.0000 bottom +Y2 32768Hz Crystal_SMD_ABS25 -3.2156 0.4842 180.0000 bottom ## End diff --git a/plot/ulx3s-drl_map.gbr b/plot/ulx3s-drl_map.gbr index 723d0a5..bde6c6a 100644 --- a/plot/ulx3s-drl_map.gbr +++ b/plot/ulx3s-drl_map.gbr @@ -1,6 +1,6 @@ %FSLAX45Y45*% G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 16:20:28 2018* +G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-2) date Thu Jun 7 19:40:22 2018* %MOMM*% %LPD*% G01* @@ -1744,13 +1744,13 @@ X16487573Y-9498247D02* G75* G03X16487573Y-9498247I-25000J0D01* G01* -X16469701Y-6294407D02* -X16519701Y-6344407D01* -X16519701Y-6294407D02* -X16469701Y-6344407D01* -X16519701Y-6319407D02* +X16460400Y-6309300D02* +X16510400Y-6359300D01* +X16510400Y-6309300D02* +X16460400Y-6359300D01* +X16510400Y-6334300D02* G75* -G03X16519701Y-6319407I-25000J0D01* +G03X16510400Y-6334300I-25000J0D01* G01* X16600554Y-7910074D02* X16650554Y-7960074D01* @@ -1776,13 +1776,13 @@ X16653469Y-9502515D02* G75* G03X16653469Y-9502515I-25000J0D01* G01* -X17539900Y-6309300D02* -X17589900Y-6359300D01* -X17589900Y-6309300D02* -X17539900Y-6359300D01* -X17589900Y-6334300D02* +X17552600Y-6309300D02* +X17602600Y-6359300D01* +X17602600Y-6309300D02* +X17552600Y-6359300D01* +X17602600Y-6334300D02* G75* -G03X17589900Y-6334300I-25000J0D01* +G03X17602600Y-6334300I-25000J0D01* G01* X17600494Y-9403846D02* X17650494Y-9453846D01* @@ -1903,366 +1903,6 @@ X14779921Y-10043079D01* X14708079Y-10043079D01* X14708079Y-10114921D01* X14779921Y-10114921D01* -X18376200Y-6218200D02* -X18477800Y-6319800D01* -X18477800Y-6218200D02* -X18376200Y-6319800D01* -X18462921Y-6304921D02* -X18462921Y-6233079D01* -X18391079Y-6233079D01* -X18391079Y-6304921D01* -X18462921Y-6304921D01* -X18376200Y-6472200D02* -X18477800Y-6573800D01* -X18477800Y-6472200D02* -X18376200Y-6573800D01* -X18462921Y-6558921D02* -X18462921Y-6487079D01* -X18391079Y-6487079D01* -X18391079Y-6558921D01* -X18462921Y-6558921D01* -X18376200Y-6726200D02* -X18477800Y-6827800D01* -X18477800Y-6726200D02* -X18376200Y-6827800D01* -X18462921Y-6812921D02* -X18462921Y-6741079D01* -X18391079Y-6741079D01* -X18391079Y-6812921D01* -X18462921Y-6812921D01* -X18376200Y-6980200D02* -X18477800Y-7081800D01* -X18477800Y-6980200D02* -X18376200Y-7081800D01* -X18462921Y-7066921D02* -X18462921Y-6995079D01* -X18391079Y-6995079D01* -X18391079Y-7066921D01* -X18462921Y-7066921D01* -X18376200Y-7234200D02* -X18477800Y-7335800D01* -X18477800Y-7234200D02* -X18376200Y-7335800D01* -X18462921Y-7320921D02* -X18462921Y-7249079D01* -X18391079Y-7249079D01* -X18391079Y-7320921D01* -X18462921Y-7320921D01* -X18376200Y-7488200D02* -X18477800Y-7589800D01* -X18477800Y-7488200D02* -X18376200Y-7589800D01* -X18462921Y-7574921D02* -X18462921Y-7503079D01* -X18391079Y-7503079D01* -X18391079Y-7574921D01* -X18462921Y-7574921D01* -X18376200Y-7742200D02* -X18477800Y-7843800D01* -X18477800Y-7742200D02* -X18376200Y-7843800D01* -X18462921Y-7828921D02* -X18462921Y-7757079D01* -X18391079Y-7757079D01* -X18391079Y-7828921D01* -X18462921Y-7828921D01* -X18376200Y-7996200D02* -X18477800Y-8097800D01* -X18477800Y-7996200D02* -X18376200Y-8097800D01* -X18462921Y-8082921D02* -X18462921Y-8011079D01* -X18391079Y-8011079D01* -X18391079Y-8082921D01* -X18462921Y-8082921D01* -X18376200Y-8250200D02* -X18477800Y-8351800D01* -X18477800Y-8250200D02* -X18376200Y-8351800D01* -X18462921Y-8336921D02* -X18462921Y-8265079D01* -X18391079Y-8265079D01* -X18391079Y-8336921D01* -X18462921Y-8336921D01* -X18376200Y-8504200D02* -X18477800Y-8605800D01* -X18477800Y-8504200D02* -X18376200Y-8605800D01* -X18462921Y-8590921D02* -X18462921Y-8519079D01* -X18391079Y-8519079D01* -X18391079Y-8590921D01* -X18462921Y-8590921D01* -X18376200Y-8758200D02* -X18477800Y-8859800D01* -X18477800Y-8758200D02* -X18376200Y-8859800D01* -X18462921Y-8844921D02* -X18462921Y-8773079D01* -X18391079Y-8773079D01* -X18391079Y-8844921D01* -X18462921Y-8844921D01* -X18376200Y-9012200D02* -X18477800Y-9113800D01* -X18477800Y-9012200D02* -X18376200Y-9113800D01* -X18462921Y-9098921D02* -X18462921Y-9027079D01* -X18391079Y-9027079D01* -X18391079Y-9098921D01* -X18462921Y-9098921D01* -X18376200Y-9266200D02* -X18477800Y-9367800D01* -X18477800Y-9266200D02* -X18376200Y-9367800D01* -X18462921Y-9352921D02* -X18462921Y-9281079D01* -X18391079Y-9281079D01* -X18391079Y-9352921D01* -X18462921Y-9352921D01* -X18376200Y-9520200D02* -X18477800Y-9621800D01* -X18477800Y-9520200D02* -X18376200Y-9621800D01* -X18462921Y-9606921D02* -X18462921Y-9535079D01* -X18391079Y-9535079D01* -X18391079Y-9606921D01* -X18462921Y-9606921D01* -X18376200Y-9774200D02* -X18477800Y-9875800D01* -X18477800Y-9774200D02* -X18376200Y-9875800D01* -X18462921Y-9860921D02* -X18462921Y-9789079D01* -X18391079Y-9789079D01* -X18391079Y-9860921D01* -X18462921Y-9860921D01* -X18376200Y-10028200D02* -X18477800Y-10129800D01* -X18477800Y-10028200D02* -X18376200Y-10129800D01* -X18462921Y-10114921D02* -X18462921Y-10043079D01* -X18391079Y-10043079D01* -X18391079Y-10114921D01* -X18462921Y-10114921D01* -X18376200Y-10282200D02* -X18477800Y-10383800D01* -X18477800Y-10282200D02* -X18376200Y-10383800D01* -X18462921Y-10368921D02* -X18462921Y-10297079D01* -X18391079Y-10297079D01* -X18391079Y-10368921D01* -X18462921Y-10368921D01* -X18376200Y-10536200D02* -X18477800Y-10637800D01* -X18477800Y-10536200D02* -X18376200Y-10637800D01* -X18462921Y-10622921D02* -X18462921Y-10551079D01* -X18391079Y-10551079D01* -X18391079Y-10622921D01* -X18462921Y-10622921D01* -X18376200Y-10790200D02* -X18477800Y-10891800D01* -X18477800Y-10790200D02* -X18376200Y-10891800D01* -X18462921Y-10876921D02* -X18462921Y-10805079D01* -X18391079Y-10805079D01* -X18391079Y-10876921D01* -X18462921Y-10876921D01* -X18376200Y-11044200D02* -X18477800Y-11145800D01* -X18477800Y-11044200D02* -X18376200Y-11145800D01* -X18462921Y-11130921D02* -X18462921Y-11059079D01* -X18391079Y-11059079D01* -X18391079Y-11130921D01* -X18462921Y-11130921D01* -X18630200Y-6218200D02* -X18731800Y-6319800D01* -X18731800Y-6218200D02* -X18630200Y-6319800D01* -X18716921Y-6304921D02* -X18716921Y-6233079D01* -X18645079Y-6233079D01* -X18645079Y-6304921D01* -X18716921Y-6304921D01* -X18630200Y-6472200D02* -X18731800Y-6573800D01* -X18731800Y-6472200D02* -X18630200Y-6573800D01* -X18716921Y-6558921D02* -X18716921Y-6487079D01* -X18645079Y-6487079D01* -X18645079Y-6558921D01* -X18716921Y-6558921D01* -X18630200Y-6726200D02* -X18731800Y-6827800D01* -X18731800Y-6726200D02* -X18630200Y-6827800D01* -X18716921Y-6812921D02* -X18716921Y-6741079D01* -X18645079Y-6741079D01* -X18645079Y-6812921D01* -X18716921Y-6812921D01* -X18630200Y-6980200D02* -X18731800Y-7081800D01* -X18731800Y-6980200D02* -X18630200Y-7081800D01* -X18716921Y-7066921D02* -X18716921Y-6995079D01* -X18645079Y-6995079D01* -X18645079Y-7066921D01* -X18716921Y-7066921D01* -X18630200Y-7234200D02* -X18731800Y-7335800D01* -X18731800Y-7234200D02* -X18630200Y-7335800D01* -X18716921Y-7320921D02* -X18716921Y-7249079D01* -X18645079Y-7249079D01* -X18645079Y-7320921D01* -X18716921Y-7320921D01* -X18630200Y-7488200D02* -X18731800Y-7589800D01* -X18731800Y-7488200D02* -X18630200Y-7589800D01* -X18716921Y-7574921D02* -X18716921Y-7503079D01* -X18645079Y-7503079D01* -X18645079Y-7574921D01* -X18716921Y-7574921D01* -X18630200Y-7742200D02* -X18731800Y-7843800D01* -X18731800Y-7742200D02* -X18630200Y-7843800D01* -X18716921Y-7828921D02* -X18716921Y-7757079D01* -X18645079Y-7757079D01* -X18645079Y-7828921D01* -X18716921Y-7828921D01* -X18630200Y-7996200D02* -X18731800Y-8097800D01* -X18731800Y-7996200D02* -X18630200Y-8097800D01* -X18716921Y-8082921D02* -X18716921Y-8011079D01* -X18645079Y-8011079D01* -X18645079Y-8082921D01* -X18716921Y-8082921D01* -X18630200Y-8250200D02* -X18731800Y-8351800D01* -X18731800Y-8250200D02* -X18630200Y-8351800D01* -X18716921Y-8336921D02* -X18716921Y-8265079D01* -X18645079Y-8265079D01* -X18645079Y-8336921D01* -X18716921Y-8336921D01* -X18630200Y-8504200D02* -X18731800Y-8605800D01* -X18731800Y-8504200D02* -X18630200Y-8605800D01* -X18716921Y-8590921D02* -X18716921Y-8519079D01* -X18645079Y-8519079D01* -X18645079Y-8590921D01* -X18716921Y-8590921D01* -X18630200Y-8758200D02* -X18731800Y-8859800D01* -X18731800Y-8758200D02* -X18630200Y-8859800D01* -X18716921Y-8844921D02* -X18716921Y-8773079D01* -X18645079Y-8773079D01* -X18645079Y-8844921D01* -X18716921Y-8844921D01* -X18630200Y-9012200D02* -X18731800Y-9113800D01* -X18731800Y-9012200D02* -X18630200Y-9113800D01* -X18716921Y-9098921D02* -X18716921Y-9027079D01* -X18645079Y-9027079D01* -X18645079Y-9098921D01* -X18716921Y-9098921D01* -X18630200Y-9266200D02* -X18731800Y-9367800D01* -X18731800Y-9266200D02* -X18630200Y-9367800D01* -X18716921Y-9352921D02* -X18716921Y-9281079D01* -X18645079Y-9281079D01* -X18645079Y-9352921D01* -X18716921Y-9352921D01* -X18630200Y-9520200D02* -X18731800Y-9621800D01* -X18731800Y-9520200D02* -X18630200Y-9621800D01* -X18716921Y-9606921D02* -X18716921Y-9535079D01* -X18645079Y-9535079D01* -X18645079Y-9606921D01* -X18716921Y-9606921D01* -X18630200Y-9774200D02* -X18731800Y-9875800D01* -X18731800Y-9774200D02* -X18630200Y-9875800D01* -X18716921Y-9860921D02* -X18716921Y-9789079D01* -X18645079Y-9789079D01* -X18645079Y-9860921D01* -X18716921Y-9860921D01* -X18630200Y-10028200D02* -X18731800Y-10129800D01* -X18731800Y-10028200D02* -X18630200Y-10129800D01* -X18716921Y-10114921D02* -X18716921Y-10043079D01* -X18645079Y-10043079D01* -X18645079Y-10114921D01* -X18716921Y-10114921D01* -X18630200Y-10282200D02* -X18731800Y-10383800D01* -X18731800Y-10282200D02* -X18630200Y-10383800D01* -X18716921Y-10368921D02* -X18716921Y-10297079D01* -X18645079Y-10297079D01* -X18645079Y-10368921D01* -X18716921Y-10368921D01* -X18630200Y-10536200D02* -X18731800Y-10637800D01* -X18731800Y-10536200D02* -X18630200Y-10637800D01* -X18716921Y-10622921D02* -X18716921Y-10551079D01* -X18645079Y-10551079D01* -X18645079Y-10622921D01* -X18716921Y-10622921D01* -X18630200Y-10790200D02* -X18731800Y-10891800D01* -X18731800Y-10790200D02* -X18630200Y-10891800D01* -X18716921Y-10876921D02* -X18716921Y-10805079D01* -X18645079Y-10805079D01* -X18645079Y-10876921D01* -X18716921Y-10876921D01* -X18630200Y-11044200D02* -X18731800Y-11145800D01* -X18731800Y-11044200D02* -X18630200Y-11145800D01* -X18716921Y-11130921D02* -X18716921Y-11059079D01* -X18645079Y-11059079D01* -X18645079Y-11130921D01* -X18716921Y-11130921D01* X9486200Y-6218200D02* X9587800Y-6319800D01* X9587800Y-6218200D02* @@ -2677,6 +2317,366 @@ X14081421Y-10932079D01* X14009579Y-10932079D01* X14009579Y-11003921D01* X14081421Y-11003921D01* +X18376200Y-6218200D02* +X18477800Y-6319800D01* +X18477800Y-6218200D02* +X18376200Y-6319800D01* +X18462921Y-6304921D02* +X18462921Y-6233079D01* +X18391079Y-6233079D01* +X18391079Y-6304921D01* +X18462921Y-6304921D01* +X18376200Y-6472200D02* +X18477800Y-6573800D01* +X18477800Y-6472200D02* +X18376200Y-6573800D01* +X18462921Y-6558921D02* +X18462921Y-6487079D01* +X18391079Y-6487079D01* +X18391079Y-6558921D01* +X18462921Y-6558921D01* +X18376200Y-6726200D02* +X18477800Y-6827800D01* +X18477800Y-6726200D02* +X18376200Y-6827800D01* +X18462921Y-6812921D02* +X18462921Y-6741079D01* +X18391079Y-6741079D01* +X18391079Y-6812921D01* +X18462921Y-6812921D01* +X18376200Y-6980200D02* +X18477800Y-7081800D01* +X18477800Y-6980200D02* +X18376200Y-7081800D01* +X18462921Y-7066921D02* +X18462921Y-6995079D01* +X18391079Y-6995079D01* +X18391079Y-7066921D01* +X18462921Y-7066921D01* +X18376200Y-7234200D02* +X18477800Y-7335800D01* +X18477800Y-7234200D02* +X18376200Y-7335800D01* +X18462921Y-7320921D02* +X18462921Y-7249079D01* +X18391079Y-7249079D01* +X18391079Y-7320921D01* +X18462921Y-7320921D01* +X18376200Y-7488200D02* +X18477800Y-7589800D01* +X18477800Y-7488200D02* +X18376200Y-7589800D01* +X18462921Y-7574921D02* +X18462921Y-7503079D01* +X18391079Y-7503079D01* +X18391079Y-7574921D01* +X18462921Y-7574921D01* +X18376200Y-7742200D02* +X18477800Y-7843800D01* +X18477800Y-7742200D02* +X18376200Y-7843800D01* +X18462921Y-7828921D02* +X18462921Y-7757079D01* +X18391079Y-7757079D01* +X18391079Y-7828921D01* +X18462921Y-7828921D01* +X18376200Y-7996200D02* +X18477800Y-8097800D01* +X18477800Y-7996200D02* +X18376200Y-8097800D01* +X18462921Y-8082921D02* +X18462921Y-8011079D01* +X18391079Y-8011079D01* +X18391079Y-8082921D01* +X18462921Y-8082921D01* +X18376200Y-8250200D02* +X18477800Y-8351800D01* +X18477800Y-8250200D02* +X18376200Y-8351800D01* +X18462921Y-8336921D02* +X18462921Y-8265079D01* +X18391079Y-8265079D01* +X18391079Y-8336921D01* +X18462921Y-8336921D01* +X18376200Y-8504200D02* +X18477800Y-8605800D01* +X18477800Y-8504200D02* +X18376200Y-8605800D01* +X18462921Y-8590921D02* +X18462921Y-8519079D01* +X18391079Y-8519079D01* +X18391079Y-8590921D01* +X18462921Y-8590921D01* +X18376200Y-8758200D02* +X18477800Y-8859800D01* +X18477800Y-8758200D02* +X18376200Y-8859800D01* +X18462921Y-8844921D02* +X18462921Y-8773079D01* +X18391079Y-8773079D01* +X18391079Y-8844921D01* +X18462921Y-8844921D01* +X18376200Y-9012200D02* +X18477800Y-9113800D01* +X18477800Y-9012200D02* +X18376200Y-9113800D01* +X18462921Y-9098921D02* +X18462921Y-9027079D01* +X18391079Y-9027079D01* +X18391079Y-9098921D01* +X18462921Y-9098921D01* +X18376200Y-9266200D02* +X18477800Y-9367800D01* +X18477800Y-9266200D02* +X18376200Y-9367800D01* +X18462921Y-9352921D02* +X18462921Y-9281079D01* +X18391079Y-9281079D01* +X18391079Y-9352921D01* +X18462921Y-9352921D01* +X18376200Y-9520200D02* +X18477800Y-9621800D01* +X18477800Y-9520200D02* +X18376200Y-9621800D01* +X18462921Y-9606921D02* +X18462921Y-9535079D01* +X18391079Y-9535079D01* +X18391079Y-9606921D01* +X18462921Y-9606921D01* +X18376200Y-9774200D02* +X18477800Y-9875800D01* +X18477800Y-9774200D02* +X18376200Y-9875800D01* +X18462921Y-9860921D02* +X18462921Y-9789079D01* +X18391079Y-9789079D01* +X18391079Y-9860921D01* +X18462921Y-9860921D01* +X18376200Y-10028200D02* +X18477800Y-10129800D01* +X18477800Y-10028200D02* +X18376200Y-10129800D01* +X18462921Y-10114921D02* +X18462921Y-10043079D01* +X18391079Y-10043079D01* +X18391079Y-10114921D01* +X18462921Y-10114921D01* +X18376200Y-10282200D02* +X18477800Y-10383800D01* +X18477800Y-10282200D02* +X18376200Y-10383800D01* +X18462921Y-10368921D02* +X18462921Y-10297079D01* +X18391079Y-10297079D01* +X18391079Y-10368921D01* +X18462921Y-10368921D01* +X18376200Y-10536200D02* +X18477800Y-10637800D01* +X18477800Y-10536200D02* +X18376200Y-10637800D01* +X18462921Y-10622921D02* +X18462921Y-10551079D01* +X18391079Y-10551079D01* +X18391079Y-10622921D01* +X18462921Y-10622921D01* +X18376200Y-10790200D02* +X18477800Y-10891800D01* +X18477800Y-10790200D02* +X18376200Y-10891800D01* +X18462921Y-10876921D02* +X18462921Y-10805079D01* +X18391079Y-10805079D01* +X18391079Y-10876921D01* +X18462921Y-10876921D01* +X18376200Y-11044200D02* +X18477800Y-11145800D01* +X18477800Y-11044200D02* +X18376200Y-11145800D01* +X18462921Y-11130921D02* +X18462921Y-11059079D01* +X18391079Y-11059079D01* +X18391079Y-11130921D01* +X18462921Y-11130921D01* +X18630200Y-6218200D02* +X18731800Y-6319800D01* +X18731800Y-6218200D02* +X18630200Y-6319800D01* +X18716921Y-6304921D02* +X18716921Y-6233079D01* +X18645079Y-6233079D01* +X18645079Y-6304921D01* +X18716921Y-6304921D01* +X18630200Y-6472200D02* +X18731800Y-6573800D01* +X18731800Y-6472200D02* +X18630200Y-6573800D01* +X18716921Y-6558921D02* +X18716921Y-6487079D01* +X18645079Y-6487079D01* +X18645079Y-6558921D01* +X18716921Y-6558921D01* +X18630200Y-6726200D02* +X18731800Y-6827800D01* +X18731800Y-6726200D02* +X18630200Y-6827800D01* +X18716921Y-6812921D02* +X18716921Y-6741079D01* +X18645079Y-6741079D01* +X18645079Y-6812921D01* +X18716921Y-6812921D01* +X18630200Y-6980200D02* +X18731800Y-7081800D01* +X18731800Y-6980200D02* +X18630200Y-7081800D01* +X18716921Y-7066921D02* +X18716921Y-6995079D01* +X18645079Y-6995079D01* +X18645079Y-7066921D01* +X18716921Y-7066921D01* +X18630200Y-7234200D02* +X18731800Y-7335800D01* +X18731800Y-7234200D02* +X18630200Y-7335800D01* +X18716921Y-7320921D02* +X18716921Y-7249079D01* +X18645079Y-7249079D01* +X18645079Y-7320921D01* +X18716921Y-7320921D01* +X18630200Y-7488200D02* +X18731800Y-7589800D01* +X18731800Y-7488200D02* +X18630200Y-7589800D01* +X18716921Y-7574921D02* +X18716921Y-7503079D01* +X18645079Y-7503079D01* +X18645079Y-7574921D01* +X18716921Y-7574921D01* +X18630200Y-7742200D02* +X18731800Y-7843800D01* +X18731800Y-7742200D02* +X18630200Y-7843800D01* +X18716921Y-7828921D02* +X18716921Y-7757079D01* +X18645079Y-7757079D01* +X18645079Y-7828921D01* +X18716921Y-7828921D01* +X18630200Y-7996200D02* +X18731800Y-8097800D01* +X18731800Y-7996200D02* +X18630200Y-8097800D01* +X18716921Y-8082921D02* +X18716921Y-8011079D01* +X18645079Y-8011079D01* +X18645079Y-8082921D01* +X18716921Y-8082921D01* +X18630200Y-8250200D02* +X18731800Y-8351800D01* +X18731800Y-8250200D02* +X18630200Y-8351800D01* +X18716921Y-8336921D02* +X18716921Y-8265079D01* +X18645079Y-8265079D01* +X18645079Y-8336921D01* +X18716921Y-8336921D01* +X18630200Y-8504200D02* +X18731800Y-8605800D01* +X18731800Y-8504200D02* +X18630200Y-8605800D01* +X18716921Y-8590921D02* +X18716921Y-8519079D01* +X18645079Y-8519079D01* +X18645079Y-8590921D01* +X18716921Y-8590921D01* +X18630200Y-8758200D02* +X18731800Y-8859800D01* +X18731800Y-8758200D02* +X18630200Y-8859800D01* +X18716921Y-8844921D02* +X18716921Y-8773079D01* +X18645079Y-8773079D01* +X18645079Y-8844921D01* +X18716921Y-8844921D01* +X18630200Y-9012200D02* +X18731800Y-9113800D01* +X18731800Y-9012200D02* +X18630200Y-9113800D01* +X18716921Y-9098921D02* +X18716921Y-9027079D01* +X18645079Y-9027079D01* +X18645079Y-9098921D01* +X18716921Y-9098921D01* +X18630200Y-9266200D02* +X18731800Y-9367800D01* +X18731800Y-9266200D02* +X18630200Y-9367800D01* +X18716921Y-9352921D02* +X18716921Y-9281079D01* +X18645079Y-9281079D01* +X18645079Y-9352921D01* +X18716921Y-9352921D01* +X18630200Y-9520200D02* +X18731800Y-9621800D01* +X18731800Y-9520200D02* +X18630200Y-9621800D01* +X18716921Y-9606921D02* +X18716921Y-9535079D01* +X18645079Y-9535079D01* +X18645079Y-9606921D01* +X18716921Y-9606921D01* +X18630200Y-9774200D02* +X18731800Y-9875800D01* +X18731800Y-9774200D02* +X18630200Y-9875800D01* +X18716921Y-9860921D02* +X18716921Y-9789079D01* +X18645079Y-9789079D01* +X18645079Y-9860921D01* +X18716921Y-9860921D01* +X18630200Y-10028200D02* +X18731800Y-10129800D01* +X18731800Y-10028200D02* +X18630200Y-10129800D01* +X18716921Y-10114921D02* +X18716921Y-10043079D01* +X18645079Y-10043079D01* +X18645079Y-10114921D01* +X18716921Y-10114921D01* +X18630200Y-10282200D02* +X18731800Y-10383800D01* +X18731800Y-10282200D02* +X18630200Y-10383800D01* +X18716921Y-10368921D02* +X18716921Y-10297079D01* +X18645079Y-10297079D01* +X18645079Y-10368921D01* +X18716921Y-10368921D01* +X18630200Y-10536200D02* +X18731800Y-10637800D01* +X18731800Y-10536200D02* +X18630200Y-10637800D01* +X18716921Y-10622921D02* +X18716921Y-10551079D01* +X18645079Y-10551079D01* +X18645079Y-10622921D01* +X18716921Y-10622921D01* +X18630200Y-10790200D02* +X18731800Y-10891800D01* +X18731800Y-10790200D02* +X18630200Y-10891800D01* +X18716921Y-10876921D02* +X18716921Y-10805079D01* +X18645079Y-10805079D01* +X18645079Y-10876921D01* +X18716921Y-10876921D01* +X18630200Y-11044200D02* +X18731800Y-11145800D01* +X18731800Y-11044200D02* +X18630200Y-11145800D01* +X18716921Y-11130921D02* +X18716921Y-11059079D01* +X18645079Y-11059079D01* +X18645079Y-11130921D01* +X18716921Y-11130921D01* X13679600Y-6376200D02* X13809600Y-6506200D01* X13809600Y-6376200D02* @@ -2713,14 +2713,6 @@ X15379600Y-6441200D01* X15314600Y-6376200D01* X15249600Y-6441200D01* X15314600Y-6506200D01* -X10299000Y-10681000D02* -X10299000Y-11001000D01* -X10139000Y-10841000D02* -X10459000Y-10841000D01* -X10459000Y-10841000D02* -G75* -G03X10459000Y-10841000I-160000J0D01* -G01* X17919000Y-10681000D02* X17919000Y-11001000D01* X17759000Y-10841000D02* @@ -2745,6 +2737,14 @@ X10459000Y-6523000D02* G75* G03X10459000Y-6523000I-160000J0D01* G01* +X10299000Y-10681000D02* +X10299000Y-11001000D01* +X10139000Y-10841000D02* +X10459000Y-10841000D01* +X10459000Y-10841000D02* +G75* +G03X10459000Y-10841000I-160000J0D01* +G01* X12506905Y-6711905D02* X12506905Y-6591695D01* X12386695Y-6591695D01* diff --git a/plot/ulx3s-top.pos b/plot/ulx3s-top.pos index f27c83e..e5cef74 100644 --- a/plot/ulx3s-top.pos +++ b/plot/ulx3s-top.pos @@ -1,4 +1,4 @@ -### Module positions - created on Thu Jun 7 16:20:36 2018 ### +### Module positions - created on Thu Jun 7 19:40:36 2018 ### ### Printed by Pcbnew version kicad 5.0.0-rc2+dfsg1-2 ## Unit = inches, Angle = deg. ## Side : top @@ -50,7 +50,5 @@ SW1 DIPSW SW_DIP_x4_W8.61mm_Slide_LowProfile 2.6 U1 LFE5U-85F-6BG381C BGA-381_pitch0.8mm_dia0.4mm 1.7472 0.9614 0.0000 top U2 MT48LC16M16A2TG TSOP54 2.7950 0.9614 90.0000 top U8 MAX11125 QFN-28-1EP_5x5mm_Pitch0.5mm 3.2750 0.4750 180.0000 top -US1 MICRO_USB USB-MICRO-B-FCI-10118192-0001LF-small-pads 0.7000 1.9250 180.0000 top -US2 MICRO_USB USB-MICRO-B-FCI-10118192-0001LF-small-pads 3.0000 1.9250 180.0000 top Y1 FNETHE025 Crystal_SMD_7050_4Pads 0.4488 1.6063 180.0000 top ## End diff --git a/plot/ulx3s.drl b/plot/ulx3s.drl index 0c9449a..bda168e 100644 --- a/plot/ulx3s.drl +++ b/plot/ulx3s.drl @@ -1,5 +1,5 @@ M48 -;DRILL file {KiCad 5.0.0-rc2+dfsg1-2} date Thu Jun 7 16:20:28 2018 +;DRILL file {KiCad 5.0.0-rc2+dfsg1-2} date Thu Jun 7 19:40:21 2018 ;FORMAT={-:-/ absolute / inch / decimal} FMAT,2 INCH,TZ @@ -439,11 +439,11 @@ X6.2417Y-3.735 X6.3349Y-3.7404 X6.4156Y-3.7432 X6.4813Y-3.7395 -X6.494Y-2.488 +X6.4903Y-2.4938 X6.5455Y-3.124 X6.5463Y-3.0496 X6.5466Y-3.7411 -X6.9153Y-2.4938 +X6.9203Y-2.4938 X6.9392Y-3.7121 X6.9944Y-3.2394 T7 @@ -460,46 +460,6 @@ X5.5047Y-3.9681 X5.6047Y-3.9681 X5.7047Y-3.9681 X5.8047Y-3.9681 -X7.2547Y-2.4681 -X7.2547Y-2.5681 -X7.2547Y-2.6681 -X7.2547Y-2.7681 -X7.2547Y-2.8681 -X7.2547Y-2.9681 -X7.2547Y-3.0681 -X7.2547Y-3.1681 -X7.2547Y-3.2681 -X7.2547Y-3.3681 -X7.2547Y-3.4681 -X7.2547Y-3.5681 -X7.2547Y-3.6681 -X7.2547Y-3.7681 -X7.2547Y-3.8681 -X7.2547Y-3.9681 -X7.2547Y-4.0681 -X7.2547Y-4.1681 -X7.2547Y-4.2681 -X7.2547Y-4.3681 -X7.3547Y-2.4681 -X7.3547Y-2.5681 -X7.3547Y-2.6681 -X7.3547Y-2.7681 -X7.3547Y-2.8681 -X7.3547Y-2.9681 -X7.3547Y-3.0681 -X7.3547Y-3.1681 -X7.3547Y-3.2681 -X7.3547Y-3.3681 -X7.3547Y-3.4681 -X7.3547Y-3.5681 -X7.3547Y-3.6681 -X7.3547Y-3.7681 -X7.3547Y-3.8681 -X7.3547Y-3.9681 -X7.3547Y-4.0681 -X7.3547Y-4.1681 -X7.3547Y-4.2681 -X7.3547Y-4.3681 X3.7547Y-2.4681 X3.7547Y-2.5681 X3.7547Y-2.6681 @@ -546,16 +506,56 @@ X5.4297Y-4.3181 X5.5297Y-4.1181 X5.5297Y-4.2181 X5.5297Y-4.3181 +X7.2547Y-2.4681 +X7.2547Y-2.5681 +X7.2547Y-2.6681 +X7.2547Y-2.7681 +X7.2547Y-2.8681 +X7.2547Y-2.9681 +X7.2547Y-3.0681 +X7.2547Y-3.1681 +X7.2547Y-3.2681 +X7.2547Y-3.3681 +X7.2547Y-3.4681 +X7.2547Y-3.5681 +X7.2547Y-3.6681 +X7.2547Y-3.7681 +X7.2547Y-3.8681 +X7.2547Y-3.9681 +X7.2547Y-4.0681 +X7.2547Y-4.1681 +X7.2547Y-4.2681 +X7.2547Y-4.3681 +X7.3547Y-2.4681 +X7.3547Y-2.5681 +X7.3547Y-2.6681 +X7.3547Y-2.7681 +X7.3547Y-2.8681 +X7.3547Y-2.9681 +X7.3547Y-3.0681 +X7.3547Y-3.1681 +X7.3547Y-3.2681 +X7.3547Y-3.3681 +X7.3547Y-3.4681 +X7.3547Y-3.5681 +X7.3547Y-3.6681 +X7.3547Y-3.7681 +X7.3547Y-3.8681 +X7.3547Y-3.9681 +X7.3547Y-4.0681 +X7.3547Y-4.1681 +X7.3547Y-4.2681 +X7.3547Y-4.3681 T9 X5.4113Y-2.5359 X5.4349Y-2.7288 X6.0057Y-2.7288 X6.0294Y-2.5359 T10 -X4.0547Y-4.2681 X7.0547Y-4.2681 X7.0547Y-2.5681 X4.0547Y-2.5681 +X4.0547Y-4.2681 T11 X4.9003Y-2.6188 X4.9003Y-2.8944