move usb to "usbserial" sheet and add ft2232 footprint

move sdram to "ram" sheet
create "wifi" sheet
pull/3/head
Davor 7 years ago
parent 7abf819c6d
commit 24e8015ff0

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 4 5
Sheet 4 8
Title "ULX3S"
Date ""
Rev "0.0.1"
@ -74,10 +75,10 @@ Wire Wire Line
Wire Wire Line
4750 1850 4850 1850
$Comp
L GND #PWR051
L GND #PWR49
U 1 1 58D66047
P 4850 1250
F 0 "#PWR051" H 4850 1000 50 0001 C CNN
F 0 "#PWR49" H 4850 1000 50 0001 C CNN
F 1 "GND" H 4850 1100 50 0000 C CNN
F 2 "" H 4850 1250 60 0000 C CNN
F 3 "" H 4850 1250 60 0000 C CNN
@ -85,10 +86,10 @@ F 3 "" H 4850 1250 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR052
L +3V3 #PWR50
U 1 1 58D66055
P 5350 1350
F 0 "#PWR052" H 5350 1200 50 0001 C CNN
F 0 "#PWR50" H 5350 1200 50 0001 C CNN
F 1 "+3V3" H 5350 1490 50 0000 C CNN
F 2 "" H 5350 1350 50 0000 C CNN
F 3 "" H 5350 1350 50 0000 C CNN
@ -188,10 +189,10 @@ Wire Wire Line
2850 3150 2750 3150
Connection ~ 2750 3150
$Comp
L +3V3 #PWR053
L +3V3 #PWR47
U 1 1 58D6605C
P 2750 2500
F 0 "#PWR053" H 2750 2350 50 0001 C CNN
F 0 "#PWR47" H 2750 2350 50 0001 C CNN
F 1 "+3V3" H 2750 2640 50 0000 C CNN
F 2 "" H 2750 2500 50 0000 C CNN
F 3 "" H 2750 2500 50 0000 C CNN
@ -353,10 +354,10 @@ Wire Wire Line
4400 2750 4250 2750
Connection ~ 4250 2750
$Comp
L GND #PWR054
L GND #PWR48
U 1 1 58D681C3
P 4250 4100
F 0 "#PWR054" H 4250 3850 50 0001 C CNN
F 0 "#PWR48" H 4250 3850 50 0001 C CNN
F 1 "GND" H 4250 3950 50 0000 C CNN
F 2 "" H 4250 4100 50 0000 C CNN
F 3 "" H 4250 4100 50 0000 C CNN

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 4 5
Sheet 4 8
Title "ULX3S"
Date ""
Rev "0.0.1"
@ -74,10 +75,10 @@ Wire Wire Line
Wire Wire Line
4750 1850 4850 1850
$Comp
L GND #PWR051
L GND #PWR047
U 1 1 58D66047
P 4850 1250
F 0 "#PWR051" H 4850 1000 50 0001 C CNN
F 0 "#PWR047" H 4850 1000 50 0001 C CNN
F 1 "GND" H 4850 1100 50 0000 C CNN
F 2 "" H 4850 1250 60 0000 C CNN
F 3 "" H 4850 1250 60 0000 C CNN
@ -85,10 +86,10 @@ F 3 "" H 4850 1250 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR052
L +3V3 #PWR048
U 1 1 58D66055
P 5350 1350
F 0 "#PWR052" H 5350 1200 50 0001 C CNN
F 0 "#PWR048" H 5350 1200 50 0001 C CNN
F 1 "+3V3" H 5350 1490 50 0000 C CNN
F 2 "" H 5350 1350 50 0000 C CNN
F 3 "" H 5350 1350 50 0000 C CNN
@ -188,10 +189,10 @@ Wire Wire Line
2850 3150 2750 3150
Connection ~ 2750 3150
$Comp
L +3V3 #PWR053
L +3V3 #PWR049
U 1 1 58D6605C
P 2750 2500
F 0 "#PWR053" H 2750 2350 50 0001 C CNN
F 0 "#PWR049" H 2750 2350 50 0001 C CNN
F 1 "+3V3" H 2750 2640 50 0000 C CNN
F 2 "" H 2750 2500 50 0000 C CNN
F 3 "" H 2750 2500 50 0000 C CNN
@ -353,10 +354,10 @@ Wire Wire Line
4400 2750 4250 2750
Connection ~ 4250 2750
$Comp
L GND #PWR054
L GND #PWR050
U 1 1 58D681C3
P 4250 4100
F 0 "#PWR054" H 4250 3850 50 0001 C CNN
F 0 "#PWR050" H 4250 3850 50 0001 C CNN
F 1 "GND" H 4250 3950 50 0000 C CNN
F 2 "" H 4250 4100 50 0000 C CNN
F 3 "" H 4250 4100 50 0000 C CNN

@ -0,0 +1,136 @@
EESchema-LIBRARY Version 2.3 Date: 28.08.2012 15:08:46
#encoding utf-8
#
# FT2232D
#
DEF FT2232D U 0 40 Y Y 1 F N
F0 "U" 0 50 60 H V C CNN
F1 "FT2232D" 0 -50 60 H V C CNN
DRAW
S -700 -1450 700 1550 0 1 0 N
X EECLK 1 -1000 -1050 300 R 50 50 1 1 O
X EEDATA 2 -1000 -1150 300 R 50 50 1 1 B
X VCC 3 -100 1850 300 D 50 50 1 1 W
X ~RESET 4 -1000 -300 300 R 50 50 1 1 I
X ~RSTOUT 5 -1000 -150 300 R 50 50 1 1 O
X 3V3OUT 6 -1000 1450 300 R 50 50 1 1 w
X USBDP 7 -1000 300 300 R 50 50 1 1 B
X USBDM 8 -1000 550 300 R 50 50 1 1 B
X GND 9 -100 -1750 300 U 50 50 1 1 W
X SI/WUA 10 1000 200 300 L 50 50 1 1 B
X ADBUS4 20 1000 1050 300 L 50 50 1 1 B
X BCBUS0 30 1000 -800 300 L 50 50 1 1 O
X BDBUS0 40 1000 50 300 L 50 50 1 1 O
X ACBUS3 11 1000 300 300 L 50 50 1 1 B
X ADBUS3 21 1000 1150 300 L 50 50 1 1 B
X VCCIOB 31 250 1850 300 D 50 50 1 1 W
X ~PWREN 41 1000 -1350 300 L 50 50 1 1 O
X ACBUS2 12 1000 400 300 L 50 50 1 1 B
X ADBUS2 22 1000 1250 300 L 50 50 1 1 B
X BDBUS7 32 1000 -650 300 L 50 50 1 1 O
X VCC 42 0 1850 300 D 50 50 1 1 W
X ACBUS1 13 1000 500 300 L 50 50 1 1 B
X ADBUS1 23 1000 1350 300 L 50 50 1 1 B
X BDBUS6 33 1000 -550 300 L 50 50 1 1 O
X XTIN 43 -1000 -500 300 R 50 50 1 1 I
X VCCIOA 14 150 1850 300 D 50 50 1 1 W
X ADBUS0 24 1000 1450 300 L 50 50 1 1 B
X GND 34 200 -1750 300 U 50 50 1 1 W
X XTOUT 44 -1000 -700 300 R 50 50 1 1 O
X ACBUS0 15 1000 600 300 L 50 50 1 1 B
X GND 25 100 -1750 300 U 50 50 1 1 W
X BDBUS5 35 1000 -450 300 L 50 50 1 1 O
X AGND 45 -300 -1750 300 U 50 50 1 1 W
X ADBUS7 16 1000 750 300 L 50 50 1 1 B
X SI/WUB 26 1000 -1200 300 L 50 50 1 1 O
X BDBUS4 36 1000 -350 300 L 50 50 1 1 O
X AVCC 46 -250 1850 300 D 50 50 1 1 W
X ADBUS6 17 1000 850 300 L 50 50 1 1 B
X BCBUS3 27 1000 -1100 300 L 50 50 1 1 O
X BDBUS3 37 1000 -250 300 L 50 50 1 1 O
X TEST 47 -1000 -1350 300 R 50 50 1 1 I
X GND 18 0 -1750 300 U 50 50 1 1 W
X BCBUS2 28 1000 -1000 300 L 50 50 1 1 O
X BDBUS2 38 1000 -150 300 L 50 50 1 1 O
X EECS 48 -1000 -950 300 R 50 50 1 1 B
X ADBUS5 19 1000 950 300 L 50 50 1 1 B
X BCBUS1 29 1000 -900 300 L 50 50 1 1 O
X BDBUS1 39 1000 -50 300 L 50 50 1 1 O
ENDDRAW
ENDDEF
#
# FT2232H
#
DEF FT2232H U 0 40 Y Y 1 F N
F0 "U" 0 50 60 H V C CNN
F1 "FT2232H" 0 -50 60 H V C CNN
DRAW
S -1000 -1850 1000 1850 0 1 0 N
X GND 1 -300 -2150 300 U 50 50 1 1 W
X OSCI 2 -1300 -1300 300 R 50 50 1 1 I
X OSCO 3 -1300 -1600 300 R 50 50 1 1 O
X VPHY 4 -500 2150 300 D 50 50 1 1 W
X GND 5 -200 -2150 300 U 50 50 1 1 W
X REF 6 -1300 -150 300 R 50 50 1 1 I
X DM 7 -1300 200 300 R 50 50 1 1 B
X DP 8 -1300 100 300 R 50 50 1 1 B
X VPLL 9 -400 2150 300 D 50 50 1 1 W
X AGND 10 -450 -2150 300 U 50 50 1 1 W
X VCCIO 20 100 2150 300 D 50 50 1 1 W
X ACBUS4 30 1300 500 300 L 50 50 1 1 B
X BDBUS2 40 1300 -150 300 L 50 50 1 1 O
X VREGIN 50 -1300 1750 300 R 50 50 1 1 W
X ~PWREN 60 1300 -1650 300 L 50 50 1 1 O
X GND 11 -100 -2150 300 U 50 50 1 1 W
X ADBUS4 21 1300 1350 300 L 50 50 1 1 B
X VCCIO 31 200 2150 300 D 50 50 1 1 W
X BDBUS3 41 1300 -250 300 L 50 50 1 1 O
X GND 51 400 -2150 300 U 50 50 1 1 W
X EEDATA 61 -1300 -1100 300 R 50 50 1 1 B
X VCORE 12 -250 2150 300 D 50 50 1 1 W
X ADBUS5 22 1300 1250 300 L 50 50 1 1 B
X ACBUS5 32 1300 400 300 L 50 50 1 1 B
X VCCIO 42 300 2150 300 D 50 50 1 1 W
X BCBUS1 52 1300 -900 300 L 50 50 1 1 O
X EECLK 62 -1300 -1000 300 R 50 50 1 1 O
X TEST 13 -1300 -1750 300 R 50 50 1 1 I
X ADBUS6 23 1300 1150 300 L 50 50 1 1 B
X ACBUS6 33 1300 300 300 L 50 50 1 1 B
X BDBUS4 43 1300 -350 300 L 50 50 1 1 O
X BCBUS2 53 1300 -1000 300 L 50 50 1 1 O
X EECS 63 -1300 -900 300 R 50 50 1 1 B
X ~RESET 14 -1300 -300 300 R 50 50 1 1 I
X ADBUS7 24 1300 1050 300 L 50 50 1 1 B
X ACBUS7 34 1300 200 300 L 50 50 1 1 B
X BDBUS5 44 1300 -450 300 L 50 50 1 1 O
X BCBUS3 54 1300 -1100 300 L 50 50 1 1 O
X VCORE 64 -50 2150 300 D 50 50 1 1 W
X GND 15 0 -2150 300 U 50 50 1 1 W
X GND 25 100 -2150 300 U 50 50 1 1 W
X GND 35 200 -2150 300 U 50 50 1 1 W
X BDBUS6 45 1300 -550 300 L 50 50 1 1 O
X BCBUS4 55 1300 -1200 300 L 50 50 1 1 O
X ADBUS0 16 1300 1750 300 L 50 50 1 1 B
X ACBUS0 26 1300 900 300 L 50 50 1 1 B
X ~SUSPEND 36 1300 -1750 300 L 50 50 1 1 O
X BDBUS7 46 1300 -650 300 L 50 50 1 1 O
X VCCIO 56 400 2150 300 D 50 50 1 1 W
X ADBUS1 17 1300 1650 300 L 50 50 1 1 B
X ACBUS1 27 1300 800 300 L 50 50 1 1 B
X VCORE 37 -150 2150 300 D 50 50 1 1 W
X GND 47 300 -2150 300 U 50 50 1 1 W
X BCBUS5 57 1300 -1300 300 L 50 50 1 1 O
X ADBUS2 18 1300 1550 300 L 50 50 1 1 B
X ACBUS2 28 1300 700 300 L 50 50 1 1 B
X BDBUS0 38 1300 50 300 L 50 50 1 1 O
X BCBUS0 48 1300 -800 300 L 50 50 1 1 O
X BCBUS6 58 1300 -1400 300 L 50 50 1 1 O
X ADBUS3 19 1300 1450 300 L 50 50 1 1 B
X ACBUS3 29 1300 600 300 L 50 50 1 1 B
X BDBUS1 39 1300 -50 300 L 50 50 1 1 O
X VREGOUT 49 -1300 1600 300 R 50 50 1 1 w
X BCBUS7 59 1300 -1500 300 L 50 50 1 1 O
ENDDRAW
ENDDEF
#
#End Library

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 5 5
Sheet 5 8
Title "ULX3S"
Date ""
Rev "0.0.1"
@ -100,10 +101,10 @@ Wire Wire Line
Wire Wire Line
5400 5550 5450 5550
$Comp
L GND #PWR055
L GND #PWR56
U 1 1 58D69073
P 5450 5550
F 0 "#PWR055" H 5450 5300 50 0001 C CNN
F 0 "#PWR56" H 5450 5300 50 0001 C CNN
F 1 "GND" H 5450 5400 50 0000 C CNN
F 2 "" H 5450 5550 60 0000 C CNN
F 3 "" H 5450 5550 60 0000 C CNN
@ -111,10 +112,10 @@ F 3 "" H 5450 5550 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR056
L GND #PWR55
U 1 1 58D69074
P 5450 5150
F 0 "#PWR056" H 5450 4900 50 0001 C CNN
F 0 "#PWR55" H 5450 4900 50 0001 C CNN
F 1 "GND" H 5450 5000 50 0000 C CNN
F 2 "" H 5450 5150 60 0000 C CNN
F 3 "" H 5450 5150 60 0000 C CNN
@ -122,10 +123,10 @@ F 3 "" H 5450 5150 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR057
L GND #PWR54
U 1 1 58D69075
P 5450 4850
F 0 "#PWR057" H 5450 4600 50 0001 C CNN
F 0 "#PWR54" H 5450 4600 50 0001 C CNN
F 1 "GND" H 5450 4700 50 0000 C CNN
F 2 "" H 5450 4850 60 0000 C CNN
F 3 "" H 5450 4850 60 0000 C CNN
@ -133,10 +134,10 @@ F 3 "" H 5450 4850 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR058
L GND #PWR53
U 1 1 58D69076
P 5450 4550
F 0 "#PWR058" H 5450 4300 50 0001 C CNN
F 0 "#PWR53" H 5450 4300 50 0001 C CNN
F 1 "GND" H 5450 4400 50 0000 C CNN
F 2 "" H 5450 4550 60 0000 C CNN
F 3 "" H 5450 4550 60 0000 C CNN
@ -144,10 +145,10 @@ F 3 "" H 5450 4550 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR059
L GND #PWR52
U 1 1 58D69077
P 5450 4250
F 0 "#PWR059" H 5450 4000 50 0001 C CNN
F 0 "#PWR52" H 5450 4000 50 0001 C CNN
F 1 "GND" H 5450 4100 50 0000 C CNN
F 2 "" H 5450 4250 60 0000 C CNN
F 3 "" H 5450 4250 60 0000 C CNN
@ -155,10 +156,10 @@ F 3 "" H 5450 4250 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR060
L GND #PWR51
U 1 1 58D69078
P 5450 3950
F 0 "#PWR060" H 5450 3700 50 0001 C CNN
F 0 "#PWR51" H 5450 3700 50 0001 C CNN
F 1 "GND" H 5450 3800 50 0000 C CNN
F 2 "" H 5450 3950 60 0000 C CNN
F 3 "" H 5450 3950 60 0000 C CNN
@ -166,10 +167,10 @@ F 3 "" H 5450 3950 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +5V #PWR061
L +5V #PWR57
U 1 1 58D6907F
P 6200 5450
F 0 "#PWR061" H 6200 5300 50 0001 C CNN
F 0 "#PWR57" H 6200 5300 50 0001 C CNN
F 1 "+5V" H 6200 5590 50 0000 C CNN
F 2 "" H 6200 5450 50 0000 C CNN
F 3 "" H 6200 5450 50 0000 C CNN

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 5 5
Sheet 5 8
Title "ULX3S"
Date ""
Rev "0.0.1"
@ -100,10 +101,10 @@ Wire Wire Line
Wire Wire Line
5400 5550 5450 5550
$Comp
L GND #PWR055
L GND #PWR051
U 1 1 58D69073
P 5450 5550
F 0 "#PWR055" H 5450 5300 50 0001 C CNN
F 0 "#PWR051" H 5450 5300 50 0001 C CNN
F 1 "GND" H 5450 5400 50 0000 C CNN
F 2 "" H 5450 5550 60 0000 C CNN
F 3 "" H 5450 5550 60 0000 C CNN
@ -111,10 +112,10 @@ F 3 "" H 5450 5550 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR056
L GND #PWR052
U 1 1 58D69074
P 5450 5150
F 0 "#PWR056" H 5450 4900 50 0001 C CNN
F 0 "#PWR052" H 5450 4900 50 0001 C CNN
F 1 "GND" H 5450 5000 50 0000 C CNN
F 2 "" H 5450 5150 60 0000 C CNN
F 3 "" H 5450 5150 60 0000 C CNN
@ -122,10 +123,10 @@ F 3 "" H 5450 5150 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR057
L GND #PWR053
U 1 1 58D69075
P 5450 4850
F 0 "#PWR057" H 5450 4600 50 0001 C CNN
F 0 "#PWR053" H 5450 4600 50 0001 C CNN
F 1 "GND" H 5450 4700 50 0000 C CNN
F 2 "" H 5450 4850 60 0000 C CNN
F 3 "" H 5450 4850 60 0000 C CNN
@ -133,10 +134,10 @@ F 3 "" H 5450 4850 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR058
L GND #PWR054
U 1 1 58D69076
P 5450 4550
F 0 "#PWR058" H 5450 4300 50 0001 C CNN
F 0 "#PWR054" H 5450 4300 50 0001 C CNN
F 1 "GND" H 5450 4400 50 0000 C CNN
F 2 "" H 5450 4550 60 0000 C CNN
F 3 "" H 5450 4550 60 0000 C CNN
@ -144,10 +145,10 @@ F 3 "" H 5450 4550 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR059
L GND #PWR055
U 1 1 58D69077
P 5450 4250
F 0 "#PWR059" H 5450 4000 50 0001 C CNN
F 0 "#PWR055" H 5450 4000 50 0001 C CNN
F 1 "GND" H 5450 4100 50 0000 C CNN
F 2 "" H 5450 4250 60 0000 C CNN
F 3 "" H 5450 4250 60 0000 C CNN
@ -155,10 +156,10 @@ F 3 "" H 5450 4250 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR060
L GND #PWR056
U 1 1 58D69078
P 5450 3950
F 0 "#PWR060" H 5450 3700 50 0001 C CNN
F 0 "#PWR056" H 5450 3700 50 0001 C CNN
F 1 "GND" H 5450 3800 50 0000 C CNN
F 2 "" H 5450 3950 60 0000 C CNN
F 3 "" H 5450 3950 60 0000 C CNN
@ -166,10 +167,10 @@ F 3 "" H 5450 3950 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +5V #PWR061
L +5V #PWR057
U 1 1 58D6907F
P 6200 5450
F 0 "#PWR061" H 6200 5300 50 0001 C CNN
F 0 "#PWR057" H 6200 5300 50 0001 C CNN
F 1 "+5V" H 6200 5590 50 0000 C CNN
F 2 "" H 6200 5450 50 0000 C CNN
F 3 "" H 6200 5450 50 0000 C CNN

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 5
Sheet 2 8
Title "ULX3S"
Date ""
Rev ""
@ -110,10 +111,10 @@ P27
Text Label 5200 1950 0 60 ~ 0
P28
$Comp
L GND #PWR011
L GND #PWR15
U 1 1 56AC4825
P 1450 950
F 0 "#PWR011" H 1450 700 50 0001 C CNN
F 0 "#PWR15" H 1450 700 50 0001 C CNN
F 1 "GND" H 1450 800 50 0000 C CNN
F 2 "" H 1450 950 60 0000 C CNN
F 3 "" H 1450 950 60 0000 C CNN
@ -121,10 +122,10 @@ F 3 "" H 1450 950 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR012
L GND #PWR18
U 1 1 56AC482D
P 2250 950
F 0 "#PWR012" H 2250 700 50 0001 C CNN
F 0 "#PWR18" H 2250 700 50 0001 C CNN
F 1 "GND" H 2250 800 50 0000 C CNN
F 2 "" H 2250 950 60 0000 C CNN
F 3 "" H 2250 950 60 0000 C CNN
@ -160,10 +161,10 @@ OUT5V
Text Label 850 850 0 60 ~ 0
+5V
$Comp
L +5V #PWR013
L +5V #PWR7
U 1 1 56AC484F
P 850 850
F 0 "#PWR013" H 850 700 50 0001 C CNN
F 0 "#PWR7" H 850 700 50 0001 C CNN
F 1 "+5V" H 850 990 50 0000 C CNN
F 2 "" H 850 850 60 0000 C CNN
F 3 "" H 850 850 60 0000 C CNN
@ -512,10 +513,10 @@ MP3
Text Label 1400 6200 0 60 ~ 0
MP5
$Comp
L GND #PWR014
L GND #PWR10
U 1 1 58D3C3A8
P 1150 6400
F 0 "#PWR014" H 1150 6150 50 0001 C CNN
F 0 "#PWR10" H 1150 6150 50 0001 C CNN
F 1 "GND" H 1150 6250 50 0000 C CNN
F 2 "" H 1150 6400 50 0000 C CNN
F 3 "" H 1150 6400 50 0000 C CNN
@ -523,10 +524,10 @@ F 3 "" H 1150 6400 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR015
L GND #PWR25
U 1 1 58D3C3C8
P 2550 6400
F 0 "#PWR015" H 2550 6150 50 0001 C CNN
F 0 "#PWR25" H 2550 6150 50 0001 C CNN
F 1 "GND" H 2550 6250 50 0000 C CNN
F 2 "" H 2550 6400 50 0000 C CNN
F 3 "" H 2550 6400 50 0000 C CNN
@ -534,10 +535,10 @@ F 3 "" H 2550 6400 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR016
L GND #PWR24
U 1 1 58D3C400
P 2550 5500
F 0 "#PWR016" H 2550 5250 50 0001 C CNN
F 0 "#PWR24" H 2550 5250 50 0001 C CNN
F 1 "GND" H 2550 5350 50 0000 C CNN
F 2 "" H 2550 5500 50 0000 C CNN
F 3 "" H 2550 5500 50 0000 C CNN
@ -545,10 +546,10 @@ F 3 "" H 2550 5500 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR017
L GND #PWR9
U 1 1 58D3C426
P 1150 5500
F 0 "#PWR017" H 1150 5250 50 0001 C CNN
F 0 "#PWR9" H 1150 5250 50 0001 C CNN
F 1 "GND" H 1150 5350 50 0000 C CNN
F 2 "" H 1150 5500 50 0000 C CNN
F 3 "" H 1150 5500 50 0000 C CNN
@ -556,10 +557,10 @@ F 3 "" H 1150 5500 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR018
L GND #PWR14
U 1 1 58D565FC
P 1400 3850
F 0 "#PWR018" H 1400 3600 50 0001 C CNN
F 0 "#PWR14" H 1400 3600 50 0001 C CNN
F 1 "GND" H 1400 3700 50 0000 C CNN
F 2 "" H 1400 3850 60 0000 C CNN
F 3 "" H 1400 3850 60 0000 C CNN
@ -569,10 +570,10 @@ $EndComp
Wire Wire Line
1400 3850 1600 3850
$Comp
L GND #PWR019
L GND #PWR19
U 1 1 58D56721
P 2300 3850
F 0 "#PWR019" H 2300 3600 50 0001 C CNN
F 0 "#PWR19" H 2300 3600 50 0001 C CNN
F 1 "GND" H 2300 3700 50 0000 C CNN
F 2 "" H 2300 3850 60 0000 C CNN
F 3 "" H 2300 3850 60 0000 C CNN
@ -829,10 +830,10 @@ PMODA5
Text Label 1200 4950 0 60 ~ 0
PMODA7
$Comp
L GND #PWR020
L GND #PWR8
U 1 1 58D51066
P 1150 4550
F 0 "#PWR020" H 1150 4300 50 0001 C CNN
F 0 "#PWR8" H 1150 4300 50 0001 C CNN
F 1 "GND" H 1150 4400 50 0000 C CNN
F 2 "" H 1150 4550 50 0000 C CNN
F 3 "" H 1150 4550 50 0000 C CNN
@ -860,10 +861,10 @@ PMODA6
Text Label 2150 4950 0 60 ~ 0
PMODA8
$Comp
L GND #PWR021
L GND #PWR23
U 1 1 58D510F5
P 2550 4550
F 0 "#PWR021" H 2550 4300 50 0001 C CNN
F 0 "#PWR23" H 2550 4300 50 0001 C CNN
F 1 "GND" H 2550 4400 50 0000 C CNN
F 2 "" H 2550 4550 50 0000 C CNN
F 3 "" H 2550 4550 50 0000 C CNN
@ -871,10 +872,10 @@ F 3 "" H 2550 4550 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR022
L +3V3 #PWR17
U 1 1 58D5FBBC
P 2150 3950
F 0 "#PWR022" H 2150 3800 50 0001 C CNN
F 0 "#PWR17" H 2150 3800 50 0001 C CNN
F 1 "+3V3" H 2150 4090 50 0000 C CNN
F 2 "" H 2150 3950 50 0000 C CNN
F 3 "" H 2150 3950 50 0000 C CNN
@ -882,10 +883,10 @@ F 3 "" H 2150 3950 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR023
L +3V3 #PWR16
U 1 1 58D5FBF3
P 1550 3950
F 0 "#PWR023" H 1550 3800 50 0001 C CNN
F 0 "#PWR16" H 1550 3800 50 0001 C CNN
F 1 "+3V3" H 1550 4090 50 0000 C CNN
F 2 "" H 1550 3950 50 0000 C CNN
F 3 "" H 1550 3950 50 0000 C CNN
@ -893,10 +894,10 @@ F 3 "" H 1550 3950 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR024
L +3V3 #PWR20
U 1 1 58D5FC6D
P 2400 4450
F 0 "#PWR024" H 2400 4300 50 0001 C CNN
F 0 "#PWR20" H 2400 4300 50 0001 C CNN
F 1 "+3V3" H 2400 4590 50 0000 C CNN
F 2 "" H 2400 4450 50 0000 C CNN
F 3 "" H 2400 4450 50 0000 C CNN
@ -904,10 +905,10 @@ F 3 "" H 2400 4450 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR025
L +3V3 #PWR11
U 1 1 58D5FC94
P 1300 4450
F 0 "#PWR025" H 1300 4300 50 0001 C CNN
F 0 "#PWR11" H 1300 4300 50 0001 C CNN
F 1 "+3V3" H 1300 4590 50 0000 C CNN
F 2 "" H 1300 4450 50 0000 C CNN
F 3 "" H 1300 4450 50 0000 C CNN
@ -915,10 +916,10 @@ F 3 "" H 1300 4450 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR026
L +3V3 #PWR21
U 1 1 58D60015
P 2400 5400
F 0 "#PWR026" H 2400 5250 50 0001 C CNN
F 0 "#PWR21" H 2400 5250 50 0001 C CNN
F 1 "+3V3" H 2400 5540 50 0000 C CNN
F 2 "" H 2400 5400 50 0000 C CNN
F 3 "" H 2400 5400 50 0000 C CNN
@ -926,10 +927,10 @@ F 3 "" H 2400 5400 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR027
L +3V3 #PWR22
U 1 1 58D60037
P 2400 6300
F 0 "#PWR027" H 2400 6150 50 0001 C CNN
F 0 "#PWR22" H 2400 6150 50 0001 C CNN
F 1 "+3V3" H 2400 6440 50 0000 C CNN
F 2 "" H 2400 6300 50 0000 C CNN
F 3 "" H 2400 6300 50 0000 C CNN
@ -937,10 +938,10 @@ F 3 "" H 2400 6300 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR028
L +3V3 #PWR12
U 1 1 58D60059
P 1300 5400
F 0 "#PWR028" H 1300 5250 50 0001 C CNN
F 0 "#PWR12" H 1300 5250 50 0001 C CNN
F 1 "+3V3" H 1300 5540 50 0000 C CNN
F 2 "" H 1300 5400 50 0000 C CNN
F 3 "" H 1300 5400 50 0000 C CNN
@ -948,10 +949,10 @@ F 3 "" H 1300 5400 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR029
L +3V3 #PWR13
U 1 1 58D60089
P 1300 6300
F 0 "#PWR029" H 1300 6150 50 0001 C CNN
F 0 "#PWR13" H 1300 6150 50 0001 C CNN
F 1 "+3V3" H 1300 6440 50 0000 C CNN
F 2 "" H 1300 6300 50 0000 C CNN
F 3 "" H 1300 6300 50 0000 C CNN

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 5
Sheet 2 8
Title "ULX3S"
Date ""
Rev ""
@ -110,10 +111,10 @@ P27
Text Label 5200 1950 0 60 ~ 0
P28
$Comp
L GND #PWR011
L GND #PWR07
U 1 1 56AC4825
P 1450 950
F 0 "#PWR011" H 1450 700 50 0001 C CNN
F 0 "#PWR07" H 1450 700 50 0001 C CNN
F 1 "GND" H 1450 800 50 0000 C CNN
F 2 "" H 1450 950 60 0000 C CNN
F 3 "" H 1450 950 60 0000 C CNN
@ -121,10 +122,10 @@ F 3 "" H 1450 950 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR012
L GND #PWR08
U 1 1 56AC482D
P 2250 950
F 0 "#PWR012" H 2250 700 50 0001 C CNN
F 0 "#PWR08" H 2250 700 50 0001 C CNN
F 1 "GND" H 2250 800 50 0000 C CNN
F 2 "" H 2250 950 60 0000 C CNN
F 3 "" H 2250 950 60 0000 C CNN
@ -160,10 +161,10 @@ OUT5V
Text Label 850 850 0 60 ~ 0
+5V
$Comp
L +5V #PWR013
L +5V #PWR09
U 1 1 56AC484F
P 850 850
F 0 "#PWR013" H 850 700 50 0001 C CNN
F 0 "#PWR09" H 850 700 50 0001 C CNN
F 1 "+5V" H 850 990 50 0000 C CNN
F 2 "" H 850 850 60 0000 C CNN
F 3 "" H 850 850 60 0000 C CNN
@ -512,10 +513,10 @@ MP3
Text Label 1400 6200 0 60 ~ 0
MP5
$Comp
L GND #PWR014
L GND #PWR010
U 1 1 58D3C3A8
P 1150 6400
F 0 "#PWR014" H 1150 6150 50 0001 C CNN
F 0 "#PWR010" H 1150 6150 50 0001 C CNN
F 1 "GND" H 1150 6250 50 0000 C CNN
F 2 "" H 1150 6400 50 0000 C CNN
F 3 "" H 1150 6400 50 0000 C CNN
@ -523,10 +524,10 @@ F 3 "" H 1150 6400 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR015
L GND #PWR011
U 1 1 58D3C3C8
P 2550 6400
F 0 "#PWR015" H 2550 6150 50 0001 C CNN
F 0 "#PWR011" H 2550 6150 50 0001 C CNN
F 1 "GND" H 2550 6250 50 0000 C CNN
F 2 "" H 2550 6400 50 0000 C CNN
F 3 "" H 2550 6400 50 0000 C CNN
@ -534,10 +535,10 @@ F 3 "" H 2550 6400 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR016
L GND #PWR012
U 1 1 58D3C400
P 2550 5500
F 0 "#PWR016" H 2550 5250 50 0001 C CNN
F 0 "#PWR012" H 2550 5250 50 0001 C CNN
F 1 "GND" H 2550 5350 50 0000 C CNN
F 2 "" H 2550 5500 50 0000 C CNN
F 3 "" H 2550 5500 50 0000 C CNN
@ -545,10 +546,10 @@ F 3 "" H 2550 5500 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR017
L GND #PWR013
U 1 1 58D3C426
P 1150 5500
F 0 "#PWR017" H 1150 5250 50 0001 C CNN
F 0 "#PWR013" H 1150 5250 50 0001 C CNN
F 1 "GND" H 1150 5350 50 0000 C CNN
F 2 "" H 1150 5500 50 0000 C CNN
F 3 "" H 1150 5500 50 0000 C CNN
@ -556,10 +557,10 @@ F 3 "" H 1150 5500 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR018
L GND #PWR014
U 1 1 58D565FC
P 1400 3850
F 0 "#PWR018" H 1400 3600 50 0001 C CNN
F 0 "#PWR014" H 1400 3600 50 0001 C CNN
F 1 "GND" H 1400 3700 50 0000 C CNN
F 2 "" H 1400 3850 60 0000 C CNN
F 3 "" H 1400 3850 60 0000 C CNN
@ -569,10 +570,10 @@ $EndComp
Wire Wire Line
1400 3850 1600 3850
$Comp
L GND #PWR019
L GND #PWR015
U 1 1 58D56721
P 2300 3850
F 0 "#PWR019" H 2300 3600 50 0001 C CNN
F 0 "#PWR015" H 2300 3600 50 0001 C CNN
F 1 "GND" H 2300 3700 50 0000 C CNN
F 2 "" H 2300 3850 60 0000 C CNN
F 3 "" H 2300 3850 60 0000 C CNN
@ -829,10 +830,10 @@ PMODA5
Text Label 1200 4950 0 60 ~ 0
PMODA7
$Comp
L GND #PWR020
L GND #PWR016
U 1 1 58D51066
P 1150 4550
F 0 "#PWR020" H 1150 4300 50 0001 C CNN
F 0 "#PWR016" H 1150 4300 50 0001 C CNN
F 1 "GND" H 1150 4400 50 0000 C CNN
F 2 "" H 1150 4550 50 0000 C CNN
F 3 "" H 1150 4550 50 0000 C CNN
@ -860,10 +861,10 @@ PMODA6
Text Label 2150 4950 0 60 ~ 0
PMODA8
$Comp
L GND #PWR021
L GND #PWR017
U 1 1 58D510F5
P 2550 4550
F 0 "#PWR021" H 2550 4300 50 0001 C CNN
F 0 "#PWR017" H 2550 4300 50 0001 C CNN
F 1 "GND" H 2550 4400 50 0000 C CNN
F 2 "" H 2550 4550 50 0000 C CNN
F 3 "" H 2550 4550 50 0000 C CNN
@ -871,10 +872,10 @@ F 3 "" H 2550 4550 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR022
L +3V3 #PWR018
U 1 1 58D5FBBC
P 2150 3950
F 0 "#PWR022" H 2150 3800 50 0001 C CNN
F 0 "#PWR018" H 2150 3800 50 0001 C CNN
F 1 "+3V3" H 2150 4090 50 0000 C CNN
F 2 "" H 2150 3950 50 0000 C CNN
F 3 "" H 2150 3950 50 0000 C CNN
@ -882,10 +883,10 @@ F 3 "" H 2150 3950 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR023
L +3V3 #PWR019
U 1 1 58D5FBF3
P 1550 3950
F 0 "#PWR023" H 1550 3800 50 0001 C CNN
F 0 "#PWR019" H 1550 3800 50 0001 C CNN
F 1 "+3V3" H 1550 4090 50 0000 C CNN
F 2 "" H 1550 3950 50 0000 C CNN
F 3 "" H 1550 3950 50 0000 C CNN
@ -893,10 +894,10 @@ F 3 "" H 1550 3950 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR024
L +3V3 #PWR020
U 1 1 58D5FC6D
P 2400 4450
F 0 "#PWR024" H 2400 4300 50 0001 C CNN
F 0 "#PWR020" H 2400 4300 50 0001 C CNN
F 1 "+3V3" H 2400 4590 50 0000 C CNN
F 2 "" H 2400 4450 50 0000 C CNN
F 3 "" H 2400 4450 50 0000 C CNN
@ -904,10 +905,10 @@ F 3 "" H 2400 4450 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR025
L +3V3 #PWR021
U 1 1 58D5FC94
P 1300 4450
F 0 "#PWR025" H 1300 4300 50 0001 C CNN
F 0 "#PWR021" H 1300 4300 50 0001 C CNN
F 1 "+3V3" H 1300 4590 50 0000 C CNN
F 2 "" H 1300 4450 50 0000 C CNN
F 3 "" H 1300 4450 50 0000 C CNN
@ -915,10 +916,10 @@ F 3 "" H 1300 4450 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR026
L +3V3 #PWR022
U 1 1 58D60015
P 2400 5400
F 0 "#PWR026" H 2400 5250 50 0001 C CNN
F 0 "#PWR022" H 2400 5250 50 0001 C CNN
F 1 "+3V3" H 2400 5540 50 0000 C CNN
F 2 "" H 2400 5400 50 0000 C CNN
F 3 "" H 2400 5400 50 0000 C CNN
@ -926,10 +927,10 @@ F 3 "" H 2400 5400 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR027
L +3V3 #PWR023
U 1 1 58D60037
P 2400 6300
F 0 "#PWR027" H 2400 6150 50 0001 C CNN
F 0 "#PWR023" H 2400 6150 50 0001 C CNN
F 1 "+3V3" H 2400 6440 50 0000 C CNN
F 2 "" H 2400 6300 50 0000 C CNN
F 3 "" H 2400 6300 50 0000 C CNN
@ -937,10 +938,10 @@ F 3 "" H 2400 6300 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR028
L +3V3 #PWR024
U 1 1 58D60059
P 1300 5400
F 0 "#PWR028" H 1300 5250 50 0001 C CNN
F 0 "#PWR024" H 1300 5250 50 0001 C CNN
F 1 "+3V3" H 1300 5540 50 0000 C CNN
F 2 "" H 1300 5400 50 0000 C CNN
F 3 "" H 1300 5400 50 0000 C CNN
@ -948,10 +949,10 @@ F 3 "" H 1300 5400 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR029
L +3V3 #PWR025
U 1 1 58D60089
P 1300 6300
F 0 "#PWR029" H 1300 6150 50 0001 C CNN
F 0 "#PWR025" H 1300 6150 50 0001 C CNN
F 1 "+3V3" H 1300 6440 50 0000 C CNN
F 2 "" H 1300 6300 50 0000 C CNN
F 3 "" H 1300 6300 50 0000 C CNN

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 3 5
Sheet 3 8
Title "ULX3S"
Date ""
Rev "0.0.1"
@ -49,10 +50,10 @@ Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR030
L GND #PWR26
U 1 1 58D54769
P 1100 2650
F 0 "#PWR030" H 1100 2400 50 0001 C CNN
F 0 "#PWR26" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
@ -82,10 +83,10 @@ F 3 "" H 4150 3700 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR031
L GND #PWR35
U 1 1 58D58A0B
P 4150 4200
F 0 "#PWR031" H 4150 3950 50 0001 C CNN
F 0 "#PWR35" H 4150 3950 50 0001 C CNN
F 1 "GND" H 4150 4050 50 0000 C CNN
F 2 "" H 4150 4200 50 0000 C CNN
F 3 "" H 4150 4200 50 0000 C CNN
@ -93,10 +94,10 @@ F 3 "" H 4150 4200 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR032
L +5V #PWR29
U 1 1 58D58F93
P 3500 3550
F 0 "#PWR032" H 3500 3400 50 0001 C CNN
F 0 "#PWR29" H 3500 3400 50 0001 C CNN
F 1 "+5V" H 3500 3690 50 0000 C CNN
F 2 "" H 3500 3550 50 0000 C CNN
F 3 "" H 3500 3550 50 0000 C CNN
@ -104,10 +105,10 @@ F 3 "" H 3500 3550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR033
L GND #PWR30
U 1 1 58D5989A
P 3500 4200
F 0 "#PWR033" H 3500 3950 50 0001 C CNN
F 0 "#PWR30" H 3500 3950 50 0001 C CNN
F 1 "GND" H 3500 4050 50 0000 C CNN
F 2 "" H 3500 4200 50 0000 C CNN
F 3 "" H 3500 4200 50 0000 C CNN
@ -120,7 +121,7 @@ U 1 1 58D598B7
P 3500 4000
F 0 "C1" H 3525 4100 50 0000 L CNN
F 1 "22uF" H 3525 3900 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 3538 3850 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 3538 3850 50 0001 C CNN
F 3 "" H 3500 4000 50 0000 C CNN
1 3500 4000
1 0 0 -1
@ -170,10 +171,10 @@ F 3 "" H 5700 3800 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR034
L GND #PWR40
U 1 1 58D5AE5E
P 5900 3900
F 0 "#PWR034" H 5900 3650 50 0001 C CNN
F 0 "#PWR40" H 5900 3650 50 0001 C CNN
F 1 "GND" H 5900 3750 50 0000 C CNN
F 2 "" H 5900 3900 50 0000 C CNN
F 3 "" H 5900 3900 50 0000 C CNN
@ -186,7 +187,7 @@ U 1 1 58D5AE64
P 5900 3600
F 0 "C3" H 5925 3700 50 0000 L CNN
F 1 "22uF" H 5925 3500 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 5938 3450 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 5938 3450 50 0001 C CNN
F 3 "" H 5900 3600 50 0000 C CNN
1 5900 3600
1 0 0 -1
@ -197,16 +198,16 @@ U 1 1 58D5AEB3
P 6250 3600
F 0 "C4" H 6275 3700 50 0000 L CNN
F 1 "22uF" H 6275 3500 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 6288 3450 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 6288 3450 50 0001 C CNN
F 3 "" H 6250 3600 50 0000 C CNN
1 6250 3600
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR035
L +3V3 #PWR39
U 1 1 58D5CFDD
P 4750 900
F 0 "#PWR035" H 4750 750 50 0001 C CNN
F 0 "#PWR39" H 4750 750 50 0001 C CNN
F 1 "+3V3" H 4750 1040 50 0000 C CNN
F 2 "" H 4750 900 50 0000 C CNN
F 3 "" H 4750 900 50 0000 C CNN
@ -684,10 +685,10 @@ F 3 "" H 4150 6550 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR036
L GND #PWR37
U 1 1 58D6294C
P 4150 7050
F 0 "#PWR036" H 4150 6800 50 0001 C CNN
F 0 "#PWR37" H 4150 6800 50 0001 C CNN
F 1 "GND" H 4150 6900 50 0000 C CNN
F 2 "" H 4150 7050 50 0000 C CNN
F 3 "" H 4150 7050 50 0000 C CNN
@ -695,10 +696,10 @@ F 3 "" H 4150 7050 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR037
L +5V #PWR33
U 1 1 58D62952
P 3500 6400
F 0 "#PWR037" H 3500 6250 50 0001 C CNN
F 0 "#PWR33" H 3500 6250 50 0001 C CNN
F 1 "+5V" H 3500 6540 50 0000 C CNN
F 2 "" H 3500 6400 50 0000 C CNN
F 3 "" H 3500 6400 50 0000 C CNN
@ -706,10 +707,10 @@ F 3 "" H 3500 6400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR038
L GND #PWR34
U 1 1 58D62958
P 3500 7050
F 0 "#PWR038" H 3500 6800 50 0001 C CNN
F 0 "#PWR34" H 3500 6800 50 0001 C CNN
F 1 "GND" H 3500 6900 50 0000 C CNN
F 2 "" H 3500 7050 50 0000 C CNN
F 3 "" H 3500 7050 50 0000 C CNN
@ -722,7 +723,7 @@ U 1 1 58D6295E
P 3500 6850
F 0 "C5" H 3525 6950 50 0000 L CNN
F 1 "22uF" H 3525 6750 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 3538 6700 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 3538 6700 50 0001 C CNN
F 3 "" H 3500 6850 50 0000 C CNN
1 3500 6850
1 0 0 -1
@ -772,10 +773,10 @@ F 3 "" H 5700 6650 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR039
L GND #PWR42
U 1 1 58D62982
P 5900 6750
F 0 "#PWR039" H 5900 6500 50 0001 C CNN
F 0 "#PWR42" H 5900 6500 50 0001 C CNN
F 1 "GND" H 5900 6600 50 0000 C CNN
F 2 "" H 5900 6750 50 0000 C CNN
F 3 "" H 5900 6750 50 0000 C CNN
@ -788,7 +789,7 @@ U 1 1 58D62988
P 5900 6450
F 0 "C7" H 5925 6550 50 0000 L CNN
F 1 "22uF" H 5925 6350 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 5938 6300 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 5938 6300 50 0001 C CNN
F 3 "" H 5900 6450 50 0000 C CNN
1 5900 6450
1 0 0 -1
@ -799,7 +800,7 @@ U 1 1 58D6298E
P 6250 6450
F 0 "C8" H 6275 6550 50 0000 L CNN
F 1 "22uF" H 6275 6350 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 6288 6300 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 6288 6300 50 0001 C CNN
F 3 "" H 6250 6450 50 0000 C CNN
1 6250 6450
1 0 0 -1
@ -851,10 +852,10 @@ Wire Wire Line
Wire Wire Line
3550 6650 3050 6650
$Comp
L +3V3 #PWR040
L +3V3 #PWR45
U 1 1 58D62CE2
P 6250 6200
F 0 "#PWR040" H 6250 6050 50 0001 C CNN
F 0 "#PWR45" H 6250 6050 50 0001 C CNN
F 1 "+3V3" H 6250 6340 50 0000 C CNN
F 2 "" H 6250 6200 50 0000 C CNN
F 3 "" H 6250 6200 50 0000 C CNN
@ -862,10 +863,10 @@ F 3 "" H 6250 6200 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR041
L +1V2 #PWR43
U 1 1 58D63C77
P 6250 3350
F 0 "#PWR041" H 6250 3200 50 0001 C CNN
F 0 "#PWR43" H 6250 3200 50 0001 C CNN
F 1 "+1V2" H 6250 3490 50 0000 C CNN
F 2 "" H 6250 3350 50 0000 C CNN
F 3 "" H 6250 3350 50 0000 C CNN
@ -873,10 +874,10 @@ F 3 "" H 6250 3350 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR042
L +1V2 #PWR28
U 1 1 58D63D96
P 2150 900
F 0 "#PWR042" H 2150 750 50 0001 C CNN
F 0 "#PWR28" H 2150 750 50 0001 C CNN
F 1 "+1V2" H 2150 1040 50 0000 C CNN
F 2 "" H 2150 900 50 0000 C CNN
F 3 "" H 2150 900 50 0000 C CNN
@ -899,10 +900,10 @@ F 3 "" H 4150 5150 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR043
L GND #PWR36
U 1 1 58D67BC0
P 4150 5650
F 0 "#PWR043" H 4150 5400 50 0001 C CNN
F 0 "#PWR36" H 4150 5400 50 0001 C CNN
F 1 "GND" H 4150 5500 50 0000 C CNN
F 2 "" H 4150 5650 50 0000 C CNN
F 3 "" H 4150 5650 50 0000 C CNN
@ -910,10 +911,10 @@ F 3 "" H 4150 5650 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR044
L +5V #PWR31
U 1 1 58D67BC6
P 3500 5000
F 0 "#PWR044" H 3500 4850 50 0001 C CNN
F 0 "#PWR31" H 3500 4850 50 0001 C CNN
F 1 "+5V" H 3500 5140 50 0000 C CNN
F 2 "" H 3500 5000 50 0000 C CNN
F 3 "" H 3500 5000 50 0000 C CNN
@ -921,10 +922,10 @@ F 3 "" H 3500 5000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR045
L GND #PWR32
U 1 1 58D67BCC
P 3500 5650
F 0 "#PWR045" H 3500 5400 50 0001 C CNN
F 0 "#PWR32" H 3500 5400 50 0001 C CNN
F 1 "GND" H 3500 5500 50 0000 C CNN
F 2 "" H 3500 5650 50 0000 C CNN
F 3 "" H 3500 5650 50 0000 C CNN
@ -937,7 +938,7 @@ U 1 1 58D67BD2
P 3500 5450
F 0 "C9" H 3525 5550 50 0000 L CNN
F 1 "22uF" H 3525 5350 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 3538 5300 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 3538 5300 50 0001 C CNN
F 3 "" H 3500 5450 50 0000 C CNN
1 3500 5450
1 0 0 -1
@ -987,10 +988,10 @@ F 3 "" H 5700 5250 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR046
L GND #PWR41
U 1 1 58D67BF0
P 5900 5350
F 0 "#PWR046" H 5900 5100 50 0001 C CNN
F 0 "#PWR41" H 5900 5100 50 0001 C CNN
F 1 "GND" H 5900 5200 50 0000 C CNN
F 2 "" H 5900 5350 50 0000 C CNN
F 3 "" H 5900 5350 50 0000 C CNN
@ -1003,7 +1004,7 @@ U 1 1 58D67BF6
P 5900 5050
F 0 "C11" H 5925 5150 50 0000 L CNN
F 1 "22uF" H 5925 4950 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 5938 4900 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 5938 4900 50 0001 C CNN
F 3 "" H 5900 5050 50 0000 C CNN
1 5900 5050
1 0 0 -1
@ -1014,7 +1015,7 @@ U 1 1 58D67BFC
P 6250 5050
F 0 "C12" H 6275 5150 50 0000 L CNN
F 1 "22uF" H 6275 4950 50 0000 L CNN
F 2 "SMD_Packages:SMD-2112_Pol" H 6288 4900 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 6288 4900 50 0001 C CNN
F 3 "" H 6250 5050 50 0000 C CNN
1 6250 5050
1 0 0 -1
@ -1079,10 +1080,10 @@ $EndComp
Wire Wire Line
1900 4850 1900 4800
$Comp
L +5V #PWR047
L +5V #PWR27
U 1 1 58D67C25
P 1900 4800
F 0 "#PWR047" H 1900 4650 50 0001 C CNN
F 0 "#PWR27" H 1900 4650 50 0001 C CNN
F 1 "+5V" H 1900 4940 50 0000 C CNN
F 2 "" H 1900 4800 50 0000 C CNN
F 3 "" H 1900 4800 50 0000 C CNN
@ -1090,10 +1091,10 @@ F 3 "" H 1900 4800 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +2V5 #PWR048
L +2V5 #PWR44
U 1 1 58D681D4
P 6250 4800
F 0 "#PWR048" H 6250 4650 50 0001 C CNN
F 0 "#PWR44" H 6250 4650 50 0001 C CNN
F 1 "+2V5" H 6250 4940 50 0000 C CNN
F 2 "" H 6250 4800 50 0000 C CNN
F 3 "" H 6250 4800 50 0000 C CNN
@ -1131,10 +1132,10 @@ Wire Wire Line
Wire Wire Line
4650 1000 4650 1100
$Comp
L +2V5 #PWR049
L +2V5 #PWR38
U 1 1 58D68750
P 4250 900
F 0 "#PWR049" H 4250 750 50 0001 C CNN
F 0 "#PWR38" H 4250 750 50 0001 C CNN
F 1 "+2V5" H 4250 1040 50 0000 C CNN
F 2 "" H 4250 900 50 0000 C CNN
F 3 "" H 4250 900 50 0000 C CNN
@ -1144,10 +1145,10 @@ $EndComp
Wire Wire Line
6250 1000 7150 1000
$Comp
L +3V3 #PWR050
L +3V3 #PWR46
U 1 1 58D69259
P 6350 900
F 0 "#PWR050" H 6350 750 50 0001 C CNN
F 0 "#PWR46" H 6350 750 50 0001 C CNN
F 1 "+3V3" H 6350 1040 50 0000 C CNN
F 2 "" H 6350 900 50 0000 C CNN
F 3 "" H 6350 900 50 0000 C CNN

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 3 5
Sheet 3 8
Title "ULX3S"
Date ""
Rev "0.0.1"
@ -49,10 +50,10 @@ Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR030
L GND #PWR026
U 1 1 58D54769
P 1100 2650
F 0 "#PWR030" H 1100 2400 50 0001 C CNN
F 0 "#PWR026" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
@ -82,10 +83,10 @@ F 3 "" H 4150 3700 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR031
L GND #PWR027
U 1 1 58D58A0B
P 4150 4200
F 0 "#PWR031" H 4150 3950 50 0001 C CNN
F 0 "#PWR027" H 4150 3950 50 0001 C CNN
F 1 "GND" H 4150 4050 50 0000 C CNN
F 2 "" H 4150 4200 50 0000 C CNN
F 3 "" H 4150 4200 50 0000 C CNN
@ -93,10 +94,10 @@ F 3 "" H 4150 4200 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR032
L +5V #PWR028
U 1 1 58D58F93
P 3500 3550
F 0 "#PWR032" H 3500 3400 50 0001 C CNN
F 0 "#PWR028" H 3500 3400 50 0001 C CNN
F 1 "+5V" H 3500 3690 50 0000 C CNN
F 2 "" H 3500 3550 50 0000 C CNN
F 3 "" H 3500 3550 50 0000 C CNN
@ -104,10 +105,10 @@ F 3 "" H 3500 3550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR033
L GND #PWR029
U 1 1 58D5989A
P 3500 4200
F 0 "#PWR033" H 3500 3950 50 0001 C CNN
F 0 "#PWR029" H 3500 3950 50 0001 C CNN
F 1 "GND" H 3500 4050 50 0000 C CNN
F 2 "" H 3500 4200 50 0000 C CNN
F 3 "" H 3500 4200 50 0000 C CNN
@ -170,10 +171,10 @@ F 3 "" H 5700 3800 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR034
L GND #PWR030
U 1 1 58D5AE5E
P 5900 3900
F 0 "#PWR034" H 5900 3650 50 0001 C CNN
F 0 "#PWR030" H 5900 3650 50 0001 C CNN
F 1 "GND" H 5900 3750 50 0000 C CNN
F 2 "" H 5900 3900 50 0000 C CNN
F 3 "" H 5900 3900 50 0000 C CNN
@ -203,10 +204,10 @@ F 3 "" H 6250 3600 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR035
L +3V3 #PWR031
U 1 1 58D5CFDD
P 4750 900
F 0 "#PWR035" H 4750 750 50 0001 C CNN
F 0 "#PWR031" H 4750 750 50 0001 C CNN
F 1 "+3V3" H 4750 1040 50 0000 C CNN
F 2 "" H 4750 900 50 0000 C CNN
F 3 "" H 4750 900 50 0000 C CNN
@ -684,10 +685,10 @@ F 3 "" H 4150 6550 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR036
L GND #PWR032
U 1 1 58D6294C
P 4150 7050
F 0 "#PWR036" H 4150 6800 50 0001 C CNN
F 0 "#PWR032" H 4150 6800 50 0001 C CNN
F 1 "GND" H 4150 6900 50 0000 C CNN
F 2 "" H 4150 7050 50 0000 C CNN
F 3 "" H 4150 7050 50 0000 C CNN
@ -695,10 +696,10 @@ F 3 "" H 4150 7050 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR037
L +5V #PWR033
U 1 1 58D62952
P 3500 6400
F 0 "#PWR037" H 3500 6250 50 0001 C CNN
F 0 "#PWR033" H 3500 6250 50 0001 C CNN
F 1 "+5V" H 3500 6540 50 0000 C CNN
F 2 "" H 3500 6400 50 0000 C CNN
F 3 "" H 3500 6400 50 0000 C CNN
@ -706,10 +707,10 @@ F 3 "" H 3500 6400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR038
L GND #PWR034
U 1 1 58D62958
P 3500 7050
F 0 "#PWR038" H 3500 6800 50 0001 C CNN
F 0 "#PWR034" H 3500 6800 50 0001 C CNN
F 1 "GND" H 3500 6900 50 0000 C CNN
F 2 "" H 3500 7050 50 0000 C CNN
F 3 "" H 3500 7050 50 0000 C CNN
@ -772,10 +773,10 @@ F 3 "" H 5700 6650 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR039
L GND #PWR035
U 1 1 58D62982
P 5900 6750
F 0 "#PWR039" H 5900 6500 50 0001 C CNN
F 0 "#PWR035" H 5900 6500 50 0001 C CNN
F 1 "GND" H 5900 6600 50 0000 C CNN
F 2 "" H 5900 6750 50 0000 C CNN
F 3 "" H 5900 6750 50 0000 C CNN
@ -851,10 +852,10 @@ Wire Wire Line
Wire Wire Line
3550 6650 3050 6650
$Comp
L +3V3 #PWR040
L +3V3 #PWR036
U 1 1 58D62CE2
P 6250 6200
F 0 "#PWR040" H 6250 6050 50 0001 C CNN
F 0 "#PWR036" H 6250 6050 50 0001 C CNN
F 1 "+3V3" H 6250 6340 50 0000 C CNN
F 2 "" H 6250 6200 50 0000 C CNN
F 3 "" H 6250 6200 50 0000 C CNN
@ -862,10 +863,10 @@ F 3 "" H 6250 6200 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR041
L +1V2 #PWR037
U 1 1 58D63C77
P 6250 3350
F 0 "#PWR041" H 6250 3200 50 0001 C CNN
F 0 "#PWR037" H 6250 3200 50 0001 C CNN
F 1 "+1V2" H 6250 3490 50 0000 C CNN
F 2 "" H 6250 3350 50 0000 C CNN
F 3 "" H 6250 3350 50 0000 C CNN
@ -873,10 +874,10 @@ F 3 "" H 6250 3350 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR042
L +1V2 #PWR038
U 1 1 58D63D96
P 2150 900
F 0 "#PWR042" H 2150 750 50 0001 C CNN
F 0 "#PWR038" H 2150 750 50 0001 C CNN
F 1 "+1V2" H 2150 1040 50 0000 C CNN
F 2 "" H 2150 900 50 0000 C CNN
F 3 "" H 2150 900 50 0000 C CNN
@ -899,10 +900,10 @@ F 3 "" H 4150 5150 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR043
L GND #PWR039
U 1 1 58D67BC0
P 4150 5650
F 0 "#PWR043" H 4150 5400 50 0001 C CNN
F 0 "#PWR039" H 4150 5400 50 0001 C CNN
F 1 "GND" H 4150 5500 50 0000 C CNN
F 2 "" H 4150 5650 50 0000 C CNN
F 3 "" H 4150 5650 50 0000 C CNN
@ -910,10 +911,10 @@ F 3 "" H 4150 5650 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR044
L +5V #PWR040
U 1 1 58D67BC6
P 3500 5000
F 0 "#PWR044" H 3500 4850 50 0001 C CNN
F 0 "#PWR040" H 3500 4850 50 0001 C CNN
F 1 "+5V" H 3500 5140 50 0000 C CNN
F 2 "" H 3500 5000 50 0000 C CNN
F 3 "" H 3500 5000 50 0000 C CNN
@ -921,10 +922,10 @@ F 3 "" H 3500 5000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR045
L GND #PWR041
U 1 1 58D67BCC
P 3500 5650
F 0 "#PWR045" H 3500 5400 50 0001 C CNN
F 0 "#PWR041" H 3500 5400 50 0001 C CNN
F 1 "GND" H 3500 5500 50 0000 C CNN
F 2 "" H 3500 5650 50 0000 C CNN
F 3 "" H 3500 5650 50 0000 C CNN
@ -987,10 +988,10 @@ F 3 "" H 5700 5250 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR046
L GND #PWR042
U 1 1 58D67BF0
P 5900 5350
F 0 "#PWR046" H 5900 5100 50 0001 C CNN
F 0 "#PWR042" H 5900 5100 50 0001 C CNN
F 1 "GND" H 5900 5200 50 0000 C CNN
F 2 "" H 5900 5350 50 0000 C CNN
F 3 "" H 5900 5350 50 0000 C CNN
@ -1079,10 +1080,10 @@ $EndComp
Wire Wire Line
1900 4850 1900 4800
$Comp
L +5V #PWR047
L +5V #PWR043
U 1 1 58D67C25
P 1900 4800
F 0 "#PWR047" H 1900 4650 50 0001 C CNN
F 0 "#PWR043" H 1900 4650 50 0001 C CNN
F 1 "+5V" H 1900 4940 50 0000 C CNN
F 2 "" H 1900 4800 50 0000 C CNN
F 3 "" H 1900 4800 50 0000 C CNN
@ -1090,10 +1091,10 @@ F 3 "" H 1900 4800 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +2V5 #PWR048
L +2V5 #PWR044
U 1 1 58D681D4
P 6250 4800
F 0 "#PWR048" H 6250 4650 50 0001 C CNN
F 0 "#PWR044" H 6250 4650 50 0001 C CNN
F 1 "+2V5" H 6250 4940 50 0000 C CNN
F 2 "" H 6250 4800 50 0000 C CNN
F 3 "" H 6250 4800 50 0000 C CNN
@ -1131,10 +1132,10 @@ Wire Wire Line
Wire Wire Line
4650 1000 4650 1100
$Comp
L +2V5 #PWR049
L +2V5 #PWR045
U 1 1 58D68750
P 4250 900
F 0 "#PWR049" H 4250 750 50 0001 C CNN
F 0 "#PWR045" H 4250 750 50 0001 C CNN
F 1 "+2V5" H 4250 1040 50 0000 C CNN
F 2 "" H 4250 900 50 0000 C CNN
F 3 "" H 4250 900 50 0000 C CNN
@ -1144,10 +1145,10 @@ $EndComp
Wire Wire Line
6250 1000 7150 1000
$Comp
L +3V3 #PWR050
L +3V3 #PWR046
U 1 1 58D69259
P 6350 900
F 0 "#PWR050" H 6350 750 50 0001 C CNN
F 0 "#PWR046" H 6350 750 50 0001 C CNN
F 1 "+3V3" H 6350 1040 50 0000 C CNN
F 2 "" H 6350 900 50 0000 C CNN
F 3 "" H 6350 900 50 0000 C CNN

@ -0,0 +1,63 @@
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 8 8
Title "ULX3S"
Date ""
Rev "0.0.1"
Comp "FER+RIZ+RADIONA"
Comment1 "Root sheet"
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L MT48LC16M4A2P IC1
U 1 1 58D6DCC9
P 3350 3550
F 0 "IC1" H 2750 4750 50 0000 C CNN
F 1 "MT48LC16M4A2P" H 4000 2350 50 0000 C CNN
F 2 "TSOP54:TSOP54" V 3750 3550 50 0000 C CIN
F 3 "" H 3350 3300 50 0000 C CNN
1 3350 3550
1 0 0 -1
$EndComp
$EndSCHEMATC

@ -0,0 +1,63 @@
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 8 8
Title "ULX3S"
Date ""
Rev "0.0.1"
Comp "FER+RIZ+RADIONA"
Comment1 "Root sheet"
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L MT48LC16M4A2P IC1
U 1 1 58D6DCC9
P 3350 3550
F 0 "IC1" H 2750 4750 50 0000 C CNN
F 1 "MT48LC16M4A2P" H 4000 2350 50 0000 C CNN
F 2 "TSOP54:TSOP54" V 3750 3550 50 0000 C CIN
F 3 "" H 3350 3300 50 0000 C CNN
1 3350 3550
1 0 0 -1
$EndComp
$EndSCHEMATC

@ -516,6 +516,82 @@ X GPIO4 19 900 0 300 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# FT2232H
#
DEF FT2232H U 0 40 Y Y 1 F N
F0 "U" 0 50 60 H V C CNN
F1 "FT2232H" 0 -50 60 H V C CNN
F2 "" 0 0 60 H I C CNN
F3 "" 0 0 60 H I C CNN
DRAW
S -1000 -1850 1000 1850 0 1 0 N
X GND 1 -300 -2150 300 U 50 50 1 1 W
X OSCI 2 -1300 -1300 300 R 50 50 1 1 I
X OSCO 3 -1300 -1600 300 R 50 50 1 1 O
X VPHY 4 -500 2150 300 D 50 50 1 1 W
X GND 5 -200 -2150 300 U 50 50 1 1 W
X REF 6 -1300 -150 300 R 50 50 1 1 I
X DM 7 -1300 200 300 R 50 50 1 1 B
X DP 8 -1300 100 300 R 50 50 1 1 B
X VPLL 9 -400 2150 300 D 50 50 1 1 W
X AGND 10 -450 -2150 300 U 50 50 1 1 W
X VCCIO 20 100 2150 300 D 50 50 1 1 W
X ACBUS4 30 1300 500 300 L 50 50 1 1 B
X BDBUS2 40 1300 -150 300 L 50 50 1 1 O
X VREGIN 50 -1300 1750 300 R 50 50 1 1 W
X ~PWREN 60 1300 -1650 300 L 50 50 1 1 O
X GND 11 -100 -2150 300 U 50 50 1 1 W
X ADBUS4 21 1300 1350 300 L 50 50 1 1 B
X VCCIO 31 200 2150 300 D 50 50 1 1 W
X BDBUS3 41 1300 -250 300 L 50 50 1 1 O
X GND 51 400 -2150 300 U 50 50 1 1 W
X EEDATA 61 -1300 -1100 300 R 50 50 1 1 B
X VCORE 12 -250 2150 300 D 50 50 1 1 W
X ADBUS5 22 1300 1250 300 L 50 50 1 1 B
X ACBUS5 32 1300 400 300 L 50 50 1 1 B
X VCCIO 42 300 2150 300 D 50 50 1 1 W
X BCBUS1 52 1300 -900 300 L 50 50 1 1 O
X EECLK 62 -1300 -1000 300 R 50 50 1 1 O
X TEST 13 -1300 -1750 300 R 50 50 1 1 I
X ADBUS6 23 1300 1150 300 L 50 50 1 1 B
X ACBUS6 33 1300 300 300 L 50 50 1 1 B
X BDBUS4 43 1300 -350 300 L 50 50 1 1 O
X BCBUS2 53 1300 -1000 300 L 50 50 1 1 O
X EECS 63 -1300 -900 300 R 50 50 1 1 B
X ~RESET 14 -1300 -300 300 R 50 50 1 1 I
X ADBUS7 24 1300 1050 300 L 50 50 1 1 B
X ACBUS7 34 1300 200 300 L 50 50 1 1 B
X BDBUS5 44 1300 -450 300 L 50 50 1 1 O
X BCBUS3 54 1300 -1100 300 L 50 50 1 1 O
X VCORE 64 -50 2150 300 D 50 50 1 1 W
X GND 15 0 -2150 300 U 50 50 1 1 W
X GND 25 100 -2150 300 U 50 50 1 1 W
X GND 35 200 -2150 300 U 50 50 1 1 W
X BDBUS6 45 1300 -550 300 L 50 50 1 1 O
X BCBUS4 55 1300 -1200 300 L 50 50 1 1 O
X ADBUS0 16 1300 1750 300 L 50 50 1 1 B
X ACBUS0 26 1300 900 300 L 50 50 1 1 B
X ~SUSPEND 36 1300 -1750 300 L 50 50 1 1 O
X BDBUS7 46 1300 -650 300 L 50 50 1 1 O
X VCCIO 56 400 2150 300 D 50 50 1 1 W
X ADBUS1 17 1300 1650 300 L 50 50 1 1 B
X ACBUS1 27 1300 800 300 L 50 50 1 1 B
X VCORE 37 -150 2150 300 D 50 50 1 1 W
X GND 47 300 -2150 300 U 50 50 1 1 W
X BCBUS5 57 1300 -1300 300 L 50 50 1 1 O
X ADBUS2 18 1300 1550 300 L 50 50 1 1 B
X ACBUS2 28 1300 700 300 L 50 50 1 1 B
X BDBUS0 38 1300 50 300 L 50 50 1 1 O
X BCBUS0 48 1300 -800 300 L 50 50 1 1 O
X BCBUS6 58 1300 -1400 300 L 50 50 1 1 O
X ADBUS3 19 1300 1450 300 L 50 50 1 1 B
X ACBUS3 29 1300 600 300 L 50 50 1 1 B
X BDBUS1 39 1300 -50 300 L 50 50 1 1 O
X VREGOUT 49 -1300 1600 300 R 50 50 1 1 w
X BCBUS7 59 1300 -1500 300 L 50 50 1 1 O
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 5
Sheet 1 8
Title "ULX3S"
Date ""
Rev "0.0.1"
@ -78,10 +79,10 @@ TMS
Wire Wire Line
4100 4950 4200 4950
$Comp
L GND #PWR01
L GND #PWR6
U 1 1 56ACA1D2
P 4200 4950
F 0 "#PWR01" H 4200 4700 50 0001 C CNN
F 0 "#PWR6" H 4200 4700 50 0001 C CNN
F 1 "GND" H 4200 4800 50 0000 C CNN
F 2 "" H 4200 4950 60 0000 C CNN
F 3 "" H 4200 4950 60 0000 C CNN
@ -111,60 +112,6 @@ F 3 "" H 1400 2500 60 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L D_Schottky_Small D53
U 1 1 56AA1324
P 6450 5900
F 0 "D53" H 6400 5980 50 0000 L CNN
F 1 "2A" H 6400 5800 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 6450 5900 60 0001 C CNN
F 3 "" V 6450 5900 60 0000 C CNN
1 6450 5900
-1 0 0 1
$EndComp
Wire Wire Line
5600 5900 6350 5900
Wire Wire Line
6550 5900 6600 5900
$Comp
L +5V #PWR02
U 1 1 56AA16D2
P 6600 5900
F 0 "#PWR02" H 6600 5750 50 0001 C CNN
F 1 "+5V" H 6600 6040 50 0000 C CNN
F 2 "" H 6600 5900 60 0000 C CNN
F 3 "" H 6600 5900 60 0000 C CNN
1 6600 5900
0 1 1 0
$EndComp
Text Label 5650 5900 0 60 ~ 0
USB5V
Wire Wire Line
6550 6750 6650 6750
$Comp
L D_Schottky_Small D54
U 1 1 56AA2821
P 6450 6750
F 0 "D54" H 6400 6830 50 0000 L CNN
F 1 "2A" H 6400 6650 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 6450 6750 60 0001 C CNN
F 3 "" V 6450 6750 60 0000 C CNN
1 6450 6750
1 0 0 -1
$EndComp
Wire Wire Line
5600 6750 6350 6750
$Comp
L +5V #PWR03
U 1 1 56AA305B
P 6650 6750
F 0 "#PWR03" H 6650 6600 50 0001 C CNN
F 1 "+5V" H 6650 6890 50 0000 C CNN
F 2 "" H 6650 6750 60 0000 C CNN
F 3 "" H 6650 6750 60 0000 C CNN
1 6650 6750
0 1 1 0
$EndComp
$Comp
L LFE5-BG381 U1
U 10 1 56AAA6F3
P 1200 4700
@ -224,61 +171,13 @@ Wire Wire Line
Text Label 1100 7000 0 60 ~ 0
MTDI
$Sheet
S 7000 5900 750 450
S 7000 5250 750 450
U 56AC389C
F0 "gpio" 60
F1 "gpio.sch" 60
$EndSheet
$Comp
L USB_OTG US1
U 1 1 58D432CE
P 5300 6100
F 0 "US1" H 5625 5975 50 0000 C CNN
F 1 "USB_FTDI" H 5300 6300 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 5250 6000 50 0001 C CNN
F 3 "" V 5250 6000 50 0000 C CNN
1 5300 6100
0 -1 1 0
$EndComp
$Comp
L USB_OTG US2
U 1 1 58D4378B
P 5300 6950
F 0 "US2" H 5625 6825 50 0000 C CNN
F 1 "USB_FPGA" H 5300 7150 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 5250 6850 50 0001 C CNN
F 3 "" V 5250 6850 50 0000 C CNN
1 5300 6950
0 -1 1 0
$EndComp
$Comp
L GND #PWR04
U 1 1 58D43846
P 5700 6300
F 0 "#PWR04" H 5700 6050 50 0001 C CNN
F 1 "GND" H 5700 6150 50 0000 C CNN
F 2 "" H 5700 6300 50 0000 C CNN
F 3 "" H 5700 6300 50 0000 C CNN
1 5700 6300
0 -1 -1 0
$EndComp
Wire Wire Line
5600 6300 5700 6300
Wire Wire Line
5600 7150 5700 7150
$Comp
L GND #PWR05
U 1 1 58D43AF2
P 5700 7150
F 0 "#PWR05" H 5700 6900 50 0001 C CNN
F 1 "GND" H 5700 7000 50 0000 C CNN
F 2 "" H 5700 7150 50 0000 C CNN
F 3 "" H 5700 7150 50 0000 C CNN
1 5700 7150
0 -1 -1 0
$EndComp
$Sheet
S 7850 5900 750 450
S 7850 5250 750 450
U 58D51CAD
F0 "power" 60
F1 "power.sch" 60
@ -300,10 +199,10 @@ Wire Wire Line
Wire Wire Line
1050 6800 1400 6800
$Comp
L GND #PWR06
L GND #PWR2
U 1 1 58D58197
P 1050 6500
F 0 "#PWR06" H 1050 6250 50 0001 C CNN
F 0 "#PWR2" H 1050 6250 50 0001 C CNN
F 1 "GND" H 1050 6350 50 0000 C CNN
F 2 "" H 1050 6500 50 0000 C CNN
F 3 "" H 1050 6500 50 0000 C CNN
@ -311,10 +210,10 @@ F 3 "" H 1050 6500 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR07
L GND #PWR3
U 1 1 58D581BF
P 1050 6800
F 0 "#PWR07" H 1050 6550 50 0001 C CNN
F 0 "#PWR3" H 1050 6550 50 0001 C CNN
F 1 "GND" H 1050 6650 50 0000 C CNN
F 2 "" H 1050 6800 50 0000 C CNN
F 3 "" H 1050 6800 50 0000 C CNN
@ -330,10 +229,10 @@ Wire Wire Line
Text Label 3250 6500 0 60 ~ 0
SD_WP
$Comp
L GND #PWR08
L GND #PWR5
U 1 1 58D58733
P 3300 6900
F 0 "#PWR08" H 3300 6650 50 0001 C CNN
F 0 "#PWR5" H 3300 6650 50 0001 C CNN
F 1 "GND" H 3300 6750 50 0000 C CNN
F 2 "" H 3300 6900 50 0000 C CNN
F 3 "" H 3300 6900 50 0000 C CNN
@ -348,10 +247,10 @@ Wire Wire Line
3200 6800 3300 6800
Connection ~ 3300 6800
$Comp
L +3V3 #PWR09
L +3V3 #PWR4
U 1 1 58D5F08C
P 2250 4950
F 0 "#PWR09" H 2250 4800 50 0001 C CNN
F 0 "#PWR4" H 2250 4800 50 0001 C CNN
F 1 "+3V3" H 2250 5090 50 0000 C CNN
F 2 "" H 2250 4950 50 0000 C CNN
F 3 "" H 2250 4950 50 0000 C CNN
@ -359,61 +258,46 @@ F 3 "" H 2250 4950 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR010
L +3V3 #PWR1
U 1 1 58D5F123
P 900 6600
F 0 "#PWR010" H 900 6450 50 0001 C CNN
F 0 "#PWR1" H 900 6450 50 0001 C CNN
F 1 "+3V3" H 900 6740 50 0000 C CNN
F 2 "" H 900 6600 50 0000 C CNN
F 3 "" H 900 6600 50 0000 C CNN
1 900 6600
0 -1 -1 0
$EndComp
Text GLabel 5650 6000 2 60 Input ~ 0
USB_FTDI_DN
Text GLabel 5650 6100 2 60 Input ~ 0
USB_FTDI_DP
Wire Wire Line
5600 6000 5650 6000
Wire Wire Line
5600 6100 5650 6100
Text GLabel 5650 6850 2 60 Input ~ 0
USB_FPGA_DN
Text GLabel 5650 6950 2 60 Input ~ 0
USB_FPGA_DP
Wire Wire Line
5600 6850 5650 6850
Wire Wire Line
5600 6950 5650 6950
Wire Wire Line
5600 7050 5650 7050
NoConn ~ 5650 7050
Wire Wire Line
5600 6200 5650 6200
NoConn ~ 5650 6200
$Comp
L MT48LC16M4A2P IC1
U 1 1 58D5C787
P 9650 2100
F 0 "IC1" H 9050 3300 50 0000 C CNN
F 1 "MT48LC16M4A2P" H 10300 900 50 0000 C CNN
F 2 "TSOP54:TSOP54" V 10050 2100 50 0000 C CIN
F 3 "" H 9650 1850 50 0000 C CNN
1 9650 2100
1 0 0 -1
$EndComp
$Sheet
S 8700 5900 750 450
S 8700 5250 750 450
U 58D6547C
F0 "blinkey" 60
F1 "blinkey.sch" 60
$EndSheet
Text Notes 7000 5750 0 60 ~ 0
Text Notes 7000 5100 0 60 ~ 0
click on mouse pointer arrow on top of right toolbar\nand double-click on sheet to open
$Sheet
S 9550 5900 750 450
S 9550 5250 750 450
U 58D686D9
F0 "gpdi" 60
F1 "gpdi.sch" 60
$EndSheet
$Sheet
S 10400 5250 750 450
U 58D6BF46
F0 "usb" 60
F1 "usb.sch" 60
$EndSheet
$Sheet
S 7000 5900 750 450
U 58D6D447
F0 "wifi" 60
F1 "wifi.sch" 60
$EndSheet
$Sheet
S 7850 5900 750 450
U 58D6D507
F0 "ram" 60
F1 "ram.sch" 60
$EndSheet
$EndSCHEMATC

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

@ -1,7 +1,7 @@
(export (version D)
(design
(source /home/davor/tmp/ulx3s/ulx3s.sch)
(date "Sub 25 Ožu 2017 16:20:09")
(date "Sub 25 Ožu 2017 20:18:12")
(tool "Eeschema 4.0.5+dfsg1-4")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -57,6 +57,39 @@
(comment (number 1) (value "General Purpose Differential Interface"))
(comment (number 2) (value "Digital Video and Ethernet"))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
(sheet (number 6) (name /usb/) (tstamps /58D6BF46/)
(title_block
(title ULX3S)
(company FER+RIZ+RADIONA)
(rev 0.0.1)
(date)
(source usb.sch)
(comment (number 1) (value "Root sheet"))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
(sheet (number 7) (name /wifi/) (tstamps /58D6D447/)
(title_block
(title)
(company)
(rev)
(date)
(source wifi.sch)
(comment (number 1) (value ""))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value ""))))
(sheet (number 8) (name /ram/) (tstamps /58D6D507/)
(title_block
(title ULX3S)
(company FER+RIZ+RADIONA)
(rev 0.0.1)
(date)
(source ram.sch)
(comment (number 1) (value "Root sheet"))
(comment (number 2) (value ""))
(comment (number 3) (value ""))
(comment (number 4) (value "")))))
(components
(comp (ref U2)
@ -77,42 +110,12 @@
(libsource (lib conn) (part JACK_TRS_6PINS))
(sheetpath (names /) (tstamps /))
(tstamp 56A9D95B))
(comp (ref D53)
(value 2A)
(footprint SMD_Packages:SMD-1206_Pol)
(libsource (lib device) (part D_Schottky_Small))
(sheetpath (names /) (tstamps /))
(tstamp 56AA1324))
(comp (ref D54)
(value 2A)
(footprint SMD_Packages:SMD-1206_Pol)
(libsource (lib device) (part D_Schottky_Small))
(sheetpath (names /) (tstamps /))
(tstamp 56AA2821))
(comp (ref U1)
(value LFE5U-25F-6BG381C)
(footprint lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm)
(libsource (lib lfe5bg381) (part LFE5-BG381))
(sheetpath (names /) (tstamps /))
(tstamp 56AAA6F3))
(comp (ref US1)
(value USB_FTDI)
(footprint usb_otg:USB_Micro-B)
(libsource (lib conn) (part USB_OTG))
(sheetpath (names /) (tstamps /))
(tstamp 58D432CE))
(comp (ref US2)
(value USB_FPGA)
(footprint usb_otg:USB_Micro-B)
(libsource (lib conn) (part USB_OTG))
(sheetpath (names /) (tstamps /))
(tstamp 58D4378B))
(comp (ref IC1)
(value MT48LC16M4A2P)
(footprint TSOP54:TSOP54)
(libsource (lib memory) (part MT48LC16M4A2P))
(sheetpath (names /) (tstamps /))
(tstamp 58D5C787))
(comp (ref D51)
(value 2A)
(footprint SMD_Packages:SMD-1206_Pol)
@ -394,7 +397,43 @@
(footprint micro-hdmi-d:MICRO-HDMI-D)
(libsource (lib micro-hdmi-d) (part MICRO-HDMI-D))
(sheetpath (names /gpdi/) (tstamps /58D686D9/))
(tstamp 58D69067)))
(tstamp 58D69067))
(comp (ref D8)
(value 2A)
(footprint SMD_Packages:SMD-1206_Pol)
(libsource (lib device) (part D_Schottky_Small))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D6C83A))
(comp (ref D9)
(value 2A)
(footprint SMD_Packages:SMD-1206_Pol)
(libsource (lib device) (part D_Schottky_Small))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D6C83C))
(comp (ref US1)
(value USB_FTDI)
(footprint usb_otg:USB_Micro-B)
(libsource (lib conn) (part USB_OTG))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D6C840))
(comp (ref US2)
(value USB_FPGA)
(footprint usb_otg:USB_Micro-B)
(libsource (lib conn) (part USB_OTG))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D6C841))
(comp (ref U6)
(value FT2232H)
(footprint Housings_DFN_QFN:QFN-64-1EP_9x9mm_Pitch0.5mm)
(libsource (lib ft2232) (part FT2232H))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D6FD91))
(comp (ref IC1)
(value MT48LC16M4A2P)
(footprint TSOP54:TSOP54)
(libsource (lib memory) (part MT48LC16M4A2P))
(sheetpath (names /ram/) (tstamps /58D6D507/))
(tstamp 58D6DCC9)))
(libparts
(libpart (lib ap3429a) (part AP3429A)
(description "AP3429A DC-DC Converter")
@ -655,6 +694,75 @@
(pin (num 20) (name GPIO5) (type BiDi))
(pin (num 21) (name RXD) (type input))
(pin (num 22) (name TXD) (type output))))
(libpart (lib ft2232) (part FT2232H)
(fields
(field (name Reference) U)
(field (name Value) FT2232H))
(pins
(pin (num 1) (name GND) (type power_in))
(pin (num 2) (name OSCI) (type input))
(pin (num 3) (name OSCO) (type output))
(pin (num 4) (name VPHY) (type power_in))
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name REF) (type input))
(pin (num 7) (name DM) (type BiDi))
(pin (num 8) (name DP) (type BiDi))
(pin (num 9) (name VPLL) (type power_in))
(pin (num 10) (name AGND) (type power_in))
(pin (num 11) (name GND) (type power_in))
(pin (num 12) (name VCORE) (type power_in))
(pin (num 13) (name TEST) (type input))
(pin (num 14) (name ~RESET) (type input))
(pin (num 15) (name GND) (type power_in))
(pin (num 16) (name ADBUS0) (type BiDi))
(pin (num 17) (name ADBUS1) (type BiDi))
(pin (num 18) (name ADBUS2) (type BiDi))
(pin (num 19) (name ADBUS3) (type BiDi))
(pin (num 20) (name VCCIO) (type power_in))
(pin (num 21) (name ADBUS4) (type BiDi))
(pin (num 22) (name ADBUS5) (type BiDi))
(pin (num 23) (name ADBUS6) (type BiDi))
(pin (num 24) (name ADBUS7) (type BiDi))
(pin (num 25) (name GND) (type power_in))
(pin (num 26) (name ACBUS0) (type BiDi))
(pin (num 27) (name ACBUS1) (type BiDi))
(pin (num 28) (name ACBUS2) (type BiDi))
(pin (num 29) (name ACBUS3) (type BiDi))
(pin (num 30) (name ACBUS4) (type BiDi))
(pin (num 31) (name VCCIO) (type power_in))
(pin (num 32) (name ACBUS5) (type BiDi))
(pin (num 33) (name ACBUS6) (type BiDi))
(pin (num 34) (name ACBUS7) (type BiDi))
(pin (num 35) (name GND) (type power_in))
(pin (num 36) (name ~SUSPEND) (type output))
(pin (num 37) (name VCORE) (type power_in))
(pin (num 38) (name BDBUS0) (type output))
(pin (num 39) (name BDBUS1) (type output))
(pin (num 40) (name BDBUS2) (type output))
(pin (num 41) (name BDBUS3) (type output))
(pin (num 42) (name VCCIO) (type power_in))
(pin (num 43) (name BDBUS4) (type output))
(pin (num 44) (name BDBUS5) (type output))
(pin (num 45) (name BDBUS6) (type output))
(pin (num 46) (name BDBUS7) (type output))
(pin (num 47) (name GND) (type power_in))
(pin (num 48) (name BCBUS0) (type output))
(pin (num 49) (name VREGOUT) (type power_out))
(pin (num 50) (name VREGIN) (type power_in))
(pin (num 51) (name GND) (type power_in))
(pin (num 52) (name BCBUS1) (type output))
(pin (num 53) (name BCBUS2) (type output))
(pin (num 54) (name BCBUS3) (type output))
(pin (num 55) (name BCBUS4) (type output))
(pin (num 56) (name VCCIO) (type power_in))
(pin (num 57) (name BCBUS5) (type output))
(pin (num 58) (name BCBUS6) (type output))
(pin (num 59) (name BCBUS7) (type output))
(pin (num 60) (name ~PWREN) (type output))
(pin (num 61) (name EEDATA) (type BiDi))
(pin (num 62) (name EECLK) (type output))
(pin (num 63) (name EECS) (type BiDi))
(pin (num 64) (name VCORE) (type power_in))))
(libpart (lib conn) (part JACK_TRS_6PINS)
(description "audio jack TRS 6 pins")
(fields
@ -1022,752 +1130,882 @@
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name shield) (type passive)))))
(libraries
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical ap3429a)
(uri footprints/dcdc_converter/ap3429a.lib))
(library (logical ft2232)
(uri footprints/usbserial/ft2232.lib))
(library (logical ESP8266)
(uri footprints/esp8266/ESP8266.lib))
(library (logical conn)
(uri /usr/share/kicad/library/conn.lib))
(library (logical memory)
(uri /usr/share/kicad/library/memory.lib))
(library (logical ESP8266)
(uri footprints/esp8266/ESP8266.lib))
(library (logical micro-hdmi-d)
(uri footprints/micro-hdmi-d/micro-hdmi-d.lib))
(library (logical lfe5bg381)
(uri footprints/lattice/lfe5bg381.lib))
(library (logical ap3429a)
(uri footprints/dcdc_converter/ap3429a.lib)))
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical micro-hdmi-d)
(uri footprints/micro-hdmi-d/micro-hdmi-d.lib)))
(nets
(net (code 1) (name GND)
(node (ref U1) (pin K6))
(node (ref U1) (pin K10))
(node (ref U1) (pin J10))
(node (ref U1) (pin G10))
(node (ref U1) (pin V9))
(node (ref U1) (pin U9))
(node (ref U1) (pin T9))
(node (ref U1) (pin L10))
(node (ref U1) (pin T6))
(node (ref U1) (pin N6))
(node (ref U1) (pin M10))
(node (ref U1) (pin F8))
(node (ref U1) (pin Y5))
(node (ref U1) (pin V5))
(node (ref U1) (pin G4))
(node (ref U1) (pin D4))
(node (ref U1) (pin M2))
(node (ref U1) (pin J2))
(node (ref U1) (pin M9))
(node (ref U1) (pin L9))
(node (ref U1) (pin K9))
(node (ref U1) (pin J9))
(node (ref U1) (pin G9))
(node (ref U1) (pin Y8))
(node (ref U1) (pin G6))
(node (ref U1) (pin U8))
(node (ref U1) (pin T8))
(node (ref U1) (pin P8))
(node (ref U1) (pin G8))
(node (ref U1) (pin V8))
(node (ref U1) (pin V20))
(node (ref U1) (pin V10))
(node (ref U1) (pin U10))
(node (ref U1) (pin T10))
(node (ref U1) (pin K15))
(node (ref U1) (pin G11))
(node (ref U1) (pin G15))
(node (ref U1) (pin V14))
(node (ref U1) (pin U14))
(node (ref U1) (pin P14))
(node (ref U1) (pin N14))
(node (ref U1) (pin M14))
(node (ref U1) (pin K14))
(node (ref U1) (pin N15))
(node (ref U1) (pin M16))
(node (ref U1) (pin W19))
(node (ref U1) (pin V19))
(node (ref U1) (pin R19))
(node (ref U1) (pin H19))
(node (ref U1) (pin C19))
(node (ref U1) (pin G17))
(node (ref U1) (pin K7))
(node (ref U1) (pin Y7))
(node (ref U1) (pin W7))
(node (ref U1) (pin V7))
(node (ref U1) (pin U7))
(node (ref U1) (pin T7))
(node (ref U1) (pin P7))
(node (ref U1) (pin N7))
(node (ref U1) (pin M7))
(node (ref U1) (pin U6))
(node (ref U1) (pin J7))
(node (ref U1) (pin G7))
(node (ref U1) (pin F7))
(node (ref U1) (pin B7))
(node (ref U1) (pin Y6))
(net (code 1) (name /MTCK)
(node (ref SD1) (pin 5))
(node (ref U2) (pin 13)))
(net (code 2) (name /MTDI)
(node (ref SD1) (pin 8))
(node (ref U2) (pin 10)))
(net (code 3) (name /MTDO)
(node (ref SD1) (pin 7))
(node (ref U2) (pin 9)))
(net (code 4) (name /MTMS)
(node (ref SD1) (pin 2))
(node (ref U2) (pin 12)))
(net (code 5) (name /SD_3)
(node (ref SD1) (pin 1))
(node (ref U2) (pin 11)))
(net (code 6) (name /SD_2)
(node (ref U2) (pin 14))
(node (ref SD1) (pin 9)))
(net (code 7) (name /SD_CD)
(node (ref SD1) (pin 10)))
(net (code 8) (name "Net-(U2-Pad1)")
(node (ref U2) (pin 1)))
(net (code 9) (name +3V3)
(node (ref U1) (pin J6))
(node (ref J3) (pin 2))
(node (ref U1) (pin L14))
(node (ref U1) (pin P10))
(node (ref U1) (pin F10))
(node (ref U1) (pin P9))
(node (ref J3) (pin 19))
(node (ref U1) (pin M6))
(node (ref U1) (pin L6))
(node (ref U1) (pin H14))
(node (ref J3) (pin 20))
(node (ref U1) (pin H6))
(node (ref C6) (pin 1))
(node (ref RA3) (pin 1))
(node (ref L2) (pin 2))
(node (ref C7) (pin 1))
(node (ref C8) (pin 1))
(node (ref U1) (pin F9))
(node (ref U1) (pin F12))
(node (ref J2) (pin 1))
(node (ref J2) (pin 2))
(node (ref U1) (pin F11))
(node (ref U1) (pin L7))
(node (ref OLED1) (pin 2))
(node (ref U2) (pin 8))
(node (ref U1) (pin H7))
(node (ref SD1) (pin 4))
(node (ref U1) (pin M15))
(node (ref J3) (pin 1))
(node (ref R7) (pin 1))
(node (ref J1) (pin 63))
(node (ref U1) (pin H15))
(node (ref J1) (pin 64))
(node (ref U1) (pin J15))
(node (ref U1) (pin L15)))
(net (code 10) (name GND)
(node (ref U1) (pin M12))
(node (ref U1) (pin G13))
(node (ref U1) (pin Y12))
(node (ref U1) (pin W6))
(node (ref U1) (pin V6))
(node (ref U1) (pin W15))
(node (ref U1) (pin V15))
(node (ref J2) (pin 4))
(node (ref J2) (pin 3))
(node (ref U1) (pin W16))
(node (ref J1) (pin 4))
(node (ref J1) (pin 3))
(node (ref J1) (pin 62))
(node (ref J1) (pin 61))
(node (ref U1) (pin U13))
(node (ref U1) (pin P13))
(node (ref U1) (pin P12))
(node (ref U1) (pin G13))
(node (ref U1) (pin U13))
(node (ref U1) (pin V13))
(node (ref U1) (pin Y6))
(node (ref U1) (pin V11))
(node (ref U1) (pin F13))
(node (ref U1) (pin Y12))
(node (ref U1) (pin W12))
(node (ref U1) (pin V12))
(node (ref U1) (pin P12))
(node (ref U1) (pin U12))
(node (ref U1) (pin V13))
(node (ref U1) (pin U11))
(node (ref U1) (pin V16))
(node (ref U1) (pin W16))
(node (ref U1) (pin G17))
(node (ref U1) (pin C19))
(node (ref U1) (pin H19))
(node (ref U1) (pin R19))
(node (ref U1) (pin V19))
(node (ref U1) (pin K15))
(node (ref U1) (pin V15))
(node (ref U3) (pin 2))
(node (ref U1) (pin M12))
(node (ref U1) (pin L12))
(node (ref U1) (pin K12))
(node (ref U1) (pin J12))
(node (ref U1) (pin G12))
(node (ref U1) (pin M16))
(node (ref U1) (pin G15))
(node (ref U1) (pin V20))
(node (ref U1) (pin V14))
(node (ref U1) (pin J14))
(node (ref U1) (pin V11))
(node (ref U1) (pin P11))
(node (ref U1) (pin M11))
(node (ref U1) (pin L11))
(node (ref U1) (pin K11))
(node (ref U1) (pin K14))
(node (ref U1) (pin M14))
(node (ref U1) (pin N14))
(node (ref U1) (pin P14))
(node (ref U1) (pin U14))
(node (ref U1) (pin G12))
(node (ref U1) (pin F14))
(node (ref U1) (pin U11))
(node (ref U1) (pin G11))
(node (ref U1) (pin J11))
(node (ref U1) (pin Y11))
(node (ref U1) (pin K11))
(node (ref U1) (pin L11))
(node (ref U1) (pin M11))
(node (ref U1) (pin P11))
(node (ref U1) (pin G14))
(node (ref U1) (pin F14))
(node (ref U1) (pin Y11))
(node (ref U1) (pin B14))
(node (ref U1) (pin V6))
(node (ref U1) (pin U6))
(node (ref U1) (pin K7))
(node (ref U1) (pin N15))
(node (ref U1) (pin J12))
(node (ref U1) (pin K12))
(node (ref U1) (pin L12))
(node (ref U1) (pin W19))
(node (ref U1) (pin W15))
(node (ref J1) (pin 3))
(node (ref C12) (pin 2))
(node (ref C9) (pin 2))
(node (ref RB2) (pin 1))
(node (ref C12) (pin 2))
(node (ref C11) (pin 2))
(node (ref C5) (pin 2))
(node (ref RB3) (pin 1))
(node (ref U5) (pin 2))
(node (ref U4) (pin 2))
(node (ref C8) (pin 2))
(node (ref J3) (pin 22))
(node (ref C7) (pin 2))
(node (ref J3) (pin 21))
(node (ref C5) (pin 2))
(node (ref J3) (pin 4))
(node (ref J3) (pin 3))
(node (ref OLED1) (pin 1))
(node (ref D4) (pin 1))
(node (ref C8) (pin 2))
(node (ref U4) (pin 2))
(node (ref U5) (pin 2))
(node (ref D5) (pin 1))
(node (ref D6) (pin 1))
(node (ref D7) (pin 1))
(node (ref RB1) (pin 1))
(node (ref C1) (pin 2))
(node (ref C3) (pin 2))
(node (ref C4) (pin 2))
(node (ref GPDI1) (pin 4))
(node (ref GPDI1) (pin 7))
(node (ref GPDI1) (pin 13))
(node (ref GPDI1) (pin 10))
(node (ref GPDI1) (pin 16))
(node (ref GPDI1) (pin SHD))
(node (ref US2) (pin 5))
(node (ref US1) (pin 5))
(node (ref D4) (pin 1))
(node (ref D3) (pin 1))
(node (ref D2) (pin 1))
(node (ref D1) (pin 1))
(node (ref D0) (pin 1))
(node (ref GPDI1) (pin 10))
(node (ref GPDI1) (pin 7))
(node (ref GPDI1) (pin 4))
(node (ref GPDI1) (pin 13))
(node (ref SD1) (pin 3))
(node (ref SD1) (pin 6))
(node (ref SD1) (pin 12))
(node (ref OLED1) (pin 1))
(node (ref U1) (pin Y8))
(node (ref U1) (pin L9))
(node (ref U1) (pin K10))
(node (ref U1) (pin L10))
(node (ref U1) (pin M10))
(node (ref U1) (pin T10))
(node (ref U1) (pin U10))
(node (ref U1) (pin V10))
(node (ref U1) (pin T8))
(node (ref U1) (pin F8))
(node (ref U1) (pin G8))
(node (ref U1) (pin P8))
(node (ref U1) (pin U8))
(node (ref U1) (pin V8))
(node (ref U1) (pin G10))
(node (ref U1) (pin G9))
(node (ref U1) (pin J9))
(node (ref U1) (pin K9))
(node (ref U1) (pin J2))
(node (ref U1) (pin F7))
(node (ref U1) (pin G7))
(node (ref U1) (pin J7))
(node (ref U1) (pin T6))
(node (ref U1) (pin M7))
(node (ref U1) (pin N7))
(node (ref U1) (pin P7))
(node (ref U1) (pin T7))
(node (ref U1) (pin U7))
(node (ref U1) (pin V7))
(node (ref U1) (pin W7))
(node (ref U1) (pin M2))
(node (ref U1) (pin D4))
(node (ref U1) (pin G4))
(node (ref U1) (pin V5))
(node (ref U1) (pin Y5))
(node (ref U1) (pin Y7))
(node (ref U1) (pin G6))
(node (ref U1) (pin K6))
(node (ref U1) (pin N6))
(node (ref U1) (pin J10))
(node (ref U1) (pin M9))
(node (ref U1) (pin T9))
(node (ref U1) (pin U9))
(node (ref U1) (pin V9))
(node (ref U1) (pin B7))
(node (ref J1) (pin 61))
(node (ref J3) (pin 22))
(node (ref J3) (pin 21))
(node (ref J3) (pin 4))
(node (ref J3) (pin 3))
(node (ref J1) (pin 62))
(node (ref J2) (pin 4))
(node (ref J2) (pin 3))
(node (ref SD1) (pin 13))
(node (ref SD1) (pin 12))
(node (ref U2) (pin 15))
(node (ref GPDI1) (pin SHD))
(node (ref GPDI1) (pin 16))
(node (ref US1) (pin 5))
(node (ref US2) (pin 5))
(node (ref C1) (pin 2))
(node (ref RB1) (pin 1))
(node (ref C3) (pin 2))
(node (ref C4) (pin 2)))
(net (code 2) (name /SD_CD)
(node (ref SD1) (pin 10)))
(net (code 3) (name /SD_WP)
(node (ref SD1) (pin 3))
(node (ref SD1) (pin 6)))
(net (code 11) (name /SD_WP)
(node (ref SD1) (pin 11)))
(net (code 4) (name +3V3)
(node (ref U2) (pin 8))
(node (ref RA3) (pin 1))
(node (ref C8) (pin 1))
(node (ref C7) (pin 1))
(node (ref J3) (pin 19))
(node (ref SD1) (pin 4))
(node (ref C6) (pin 1))
(node (ref L2) (pin 2))
(node (ref J3) (pin 20))
(node (ref J3) (pin 2))
(node (ref J3) (pin 1))
(node (ref J1) (pin 63))
(node (ref J1) (pin 64))
(node (ref U1) (pin F10))
(node (ref R7) (pin 1))
(node (ref U1) (pin F9))
(node (ref U1) (pin F11))
(node (ref U1) (pin P10))
(node (ref OLED1) (pin 2))
(node (ref U1) (pin F12))
(node (ref U1) (pin P9))
(node (ref U1) (pin M6))
(node (ref U1) (pin L6))
(node (ref U1) (pin J6))
(node (ref U1) (pin H6))
(node (ref U1) (pin H7))
(node (ref U1) (pin L7))
(node (ref U1) (pin M15))
(node (ref U1) (pin L15))
(node (ref U1) (pin J15))
(node (ref U1) (pin L14))
(node (ref U1) (pin H15))
(node (ref J2) (pin 1))
(node (ref J2) (pin 2))
(node (ref U1) (pin H14)))
(net (code 5) (name USB_FTDI_DN)
(node (ref US1) (pin 2)))
(net (code 6) (name USB_FTDI_DP)
(node (ref US1) (pin 3)))
(net (code 7) (name "Net-(US1-Pad4)")
(node (ref US1) (pin 4)))
(net (code 8) (name "Net-(US1-Pad6)")
(node (ref US1) (pin 6)))
(net (code 9) (name USB_FPGA_DN)
(node (ref US2) (pin 2)))
(net (code 10) (name "Net-(US2-Pad4)")
(node (ref US2) (pin 4)))
(net (code 11) (name "Net-(US2-Pad6)")
(node (ref US2) (pin 6)))
(net (code 12) (name USB_FPGA_DP)
(node (ref US2) (pin 3)))
(net (code 13) (name /WIFI_TXD)
(node (ref U2) (pin 22)))
(net (code 14) (name /WIFI_RXD)
(node (ref U2) (pin 21)))
(net (code 15) (name "Net-(IC1-Pad46)")
(node (ref IC1) (pin 46)))
(net (code 16) (name "Net-(IC1-Pad33)")
(node (ref IC1) (pin 33)))
(net (code 17) (name "Net-(IC1-Pad43)")
(node (ref IC1) (pin 43)))
(net (code 18) (name "Net-(IC1-Pad14)")
(node (ref IC1) (pin 14)))
(net (code 19) (name "Net-(IC1-Pad24)")
(node (ref IC1) (pin 24)))
(net (code 20) (name "Net-(IC1-Pad34)")
(node (ref IC1) (pin 34)))
(net (code 21) (name "Net-(IC1-Pad44)")
(node (ref IC1) (pin 44)))
(net (code 22) (name "Net-(IC1-Pad54)")
(node (ref IC1) (pin 54)))
(net (code 23) (name "Net-(IC1-Pad25)")
(node (ref IC1) (pin 25)))
(net (code 24) (name "Net-(IC1-Pad35)")
(node (ref IC1) (pin 35)))
(net (code 25) (name "Net-(IC1-Pad16)")
(node (ref IC1) (pin 16)))
(net (code 26) (name "Net-(IC1-Pad26)")
(node (ref IC1) (pin 26)))
(net (code 27) (name "Net-(IC1-Pad23)")
(node (ref IC1) (pin 23)))
(net (code 28) (name "Net-(IC1-Pad17)")
(node (ref IC1) (pin 17)))
(net (code 29) (name "Net-(IC1-Pad27)")
(node (ref IC1) (pin 27)))
(net (code 30) (name "Net-(IC1-Pad37)")
(node (ref IC1) (pin 37)))
(net (code 31) (name "Net-(IC1-Pad18)")
(node (ref IC1) (pin 18)))
(net (code 32) (name "Net-(IC1-Pad28)")
(node (ref IC1) (pin 28)))
(net (code 33) (name "Net-(IC1-Pad38)")
(node (ref IC1) (pin 38)))
(net (code 34) (name "Net-(IC1-Pad19)")
(node (ref IC1) (pin 19)))
(net (code 35) (name "Net-(IC1-Pad29)")
(node (ref IC1) (pin 29)))
(net (code 36) (name "Net-(IC1-Pad39)")
(node (ref IC1) (pin 39)))
(net (code 37) (name "Net-(IC1-Pad49)")
(node (ref IC1) (pin 49)))
(net (code 38) (name "Net-(U2-Pad1)")
(node (ref U2) (pin 1)))
(net (code 39) (name "Net-(IC1-Pad20)")
(node (ref IC1) (pin 20)))
(net (code 40) (name "Net-(IC1-Pad1)")
(node (ref IC1) (pin 1)))
(net (code 41) (name "Net-(IC1-Pad3)")
(node (ref IC1) (pin 3)))
(net (code 42) (name "Net-(IC1-Pad5)")
(node (ref IC1) (pin 5)))
(net (code 43) (name "Net-(IC1-Pad6)")
(node (ref IC1) (pin 6)))
(net (code 44) (name "Net-(IC1-Pad9)")
(node (ref IC1) (pin 9)))
(net (code 45) (name "Net-(U2-Pad2)")
(net (code 12) (name "Net-(U2-Pad2)")
(node (ref U2) (pin 2)))
(net (code 46) (name "Net-(IC1-Pad30)")
(node (ref IC1) (pin 30)))
(net (code 47) (name "Net-(IC1-Pad50)")
(node (ref IC1) (pin 50)))
(net (code 48) (name "Net-(IC1-Pad11)")
(node (ref IC1) (pin 11)))
(net (code 49) (name "Net-(IC1-Pad21)")
(node (ref IC1) (pin 21)))
(net (code 50) (name "Net-(IC1-Pad31)")
(node (ref IC1) (pin 31)))
(net (code 51) (name "Net-(IC1-Pad41)")
(node (ref IC1) (pin 41)))
(net (code 52) (name "Net-(IC1-Pad12)")
(node (ref IC1) (pin 12)))
(net (code 53) (name "Net-(IC1-Pad22)")
(node (ref IC1) (pin 22)))
(net (code 54) (name "Net-(IC1-Pad32)")
(node (ref IC1) (pin 32)))
(net (code 55) (name "Net-(IC1-Pad52)")
(node (ref IC1) (pin 52)))
(net (code 56) (name /TDI)
(net (code 13) (name /WIFI_RXD)
(node (ref U2) (pin 21)))
(net (code 14) (name /WIFI_TXD)
(node (ref U2) (pin 22)))
(net (code 15) (name /TDI)
(node (ref U1) (pin R5))
(node (ref U2) (pin 4)))
(net (code 57) (name /TCK)
(node (ref U1) (pin T5))
(node (ref U2) (pin 6)))
(net (code 58) (name /TMS)
(node (ref U2) (pin 7))
(node (ref U1) (pin U5)))
(net (code 59) (name "Net-(AUDIO1-Pad1)")
(node (ref AUDIO1) (pin 1)))
(net (code 60) (name "Net-(U2-Pad3)")
(node (ref U2) (pin 3)))
(net (code 61) (name /TDO)
(node (ref U2) (pin 5))
(node (ref U1) (pin V4)))
(net (code 62) (name "Net-(U2-Pad20)")
(node (ref U2) (pin 20)))
(net (code 63) (name "Net-(U2-Pad16)")
(node (ref U2) (pin 16)))
(net (code 64) (name "Net-(U2-Pad17)")
(node (ref U2) (pin 17)))
(net (code 65) (name "Net-(U2-Pad18)")
(node (ref U2) (pin 18)))
(net (code 66) (name "Net-(U2-Pad19)")
(net (code 16) (name /TDO)
(node (ref U1) (pin V4))
(node (ref U2) (pin 5)))
(net (code 17) (name "Net-(U2-Pad19)")
(node (ref U2) (pin 19)))
(net (code 67) (name /SD_2)
(node (ref SD1) (pin 9))
(node (ref U2) (pin 14)))
(net (code 68) (name /MTDI)
(node (ref U2) (pin 10))
(node (ref SD1) (pin 8)))
(net (code 69) (name /SD_3)
(node (ref U2) (pin 11))
(node (ref SD1) (pin 1)))
(net (code 70) (name /MTMS)
(node (ref U2) (pin 12))
(node (ref SD1) (pin 2)))
(net (code 71) (name /MTCK)
(node (ref U2) (pin 13))
(node (ref SD1) (pin 5)))
(net (code 72) (name "Net-(AUDIO1-Pad3)")
(net (code 18) (name "Net-(U2-Pad18)")
(node (ref U2) (pin 18)))
(net (code 19) (name "Net-(U2-Pad17)")
(node (ref U2) (pin 17)))
(net (code 20) (name "Net-(U2-Pad16)")
(node (ref U2) (pin 16)))
(net (code 21) (name "Net-(U2-Pad20)")
(node (ref U2) (pin 20)))
(net (code 22) (name "Net-(U2-Pad3)")
(node (ref U2) (pin 3)))
(net (code 23) (name /TCK)
(node (ref U2) (pin 6))
(node (ref U1) (pin T5)))
(net (code 24) (name "Net-(AUDIO1-Pad6)")
(node (ref AUDIO1) (pin 6)))
(net (code 25) (name "Net-(AUDIO1-Pad5)")
(node (ref AUDIO1) (pin 5)))
(net (code 26) (name "Net-(AUDIO1-Pad4)")
(node (ref AUDIO1) (pin 4)))
(net (code 27) (name "Net-(AUDIO1-Pad3)")
(node (ref AUDIO1) (pin 3)))
(net (code 73) (name /MTDO)
(node (ref U2) (pin 9))
(node (ref SD1) (pin 7)))
(net (code 74) (name "Net-(AUDIO1-Pad2)")
(net (code 28) (name "Net-(AUDIO1-Pad2)")
(node (ref AUDIO1) (pin 2)))
(net (code 75) (name "Net-(AUDIO1-Pad4)")
(node (ref AUDIO1) (pin 4)))
(net (code 76) (name "Net-(AUDIO1-Pad5)")
(node (ref AUDIO1) (pin 5)))
(net (code 77) (name "Net-(AUDIO1-Pad6)")
(node (ref AUDIO1) (pin 6)))
(net (code 78) (name +5V)
(node (ref U5) (pin 4))
(node (ref C1) (pin 1))
(node (ref U3) (pin 4))
(node (ref C9) (pin 1))
(node (ref C5) (pin 1))
(node (ref GPDI1) (pin 19))
(node (ref U4) (pin 4))
(node (ref R1) (pin 1))
(node (ref D53) (pin 1))
(node (ref D54) (pin 2))
(node (ref D51) (pin 1)))
(net (code 79) (name /USB5V)
(node (ref D53) (pin 2))
(node (ref US1) (pin 1)))
(net (code 80) (name "Net-(D54-Pad1)")
(node (ref D54) (pin 1))
(node (ref US2) (pin 1)))
(net (code 81) (name /gpio/MP3)
(net (code 29) (name "Net-(AUDIO1-Pad1)")
(node (ref AUDIO1) (pin 1)))
(net (code 30) (name /TMS)
(node (ref U1) (pin U5))
(node (ref U2) (pin 7)))
(net (code 31) (name /gpio/MP3)
(node (ref J3) (pin 15)))
(net (code 82) (name /gpio/MP5)
(net (code 32) (name /gpio/MP5)
(node (ref J3) (pin 17)))
(net (code 83) (name /gpio/MP1)
(net (code 33) (name /gpio/MP1)
(node (ref J3) (pin 13)))
(net (code 84) (name /gpio/PMODB7)
(net (code 34) (name /gpio/PMODB7)
(node (ref J3) (pin 11)))
(net (code 85) (name /gpio/PMODB5)
(net (code 35) (name /gpio/PMODB5)
(node (ref J3) (pin 9)))
(net (code 86) (name /gpio/PMODB3)
(net (code 36) (name /gpio/PMODB3)
(node (ref J3) (pin 7)))
(net (code 87) (name /gpio/PMODB1)
(net (code 37) (name /gpio/PMODB1)
(node (ref J3) (pin 5)))
(net (code 88) (name /gpio/PMODB8)
(net (code 38) (name /gpio/PMODB8)
(node (ref J3) (pin 12)))
(net (code 89) (name /gpio/PMODB6)
(net (code 39) (name /gpio/PMODB6)
(node (ref J3) (pin 10)))
(net (code 90) (name /gpio/PMODB4)
(net (code 40) (name /gpio/PMODB4)
(node (ref J3) (pin 8)))
(net (code 91) (name /gpio/PMODB2)
(net (code 41) (name /gpio/PMODB2)
(node (ref J3) (pin 6)))
(net (code 92) (name /gpio/MP6)
(net (code 42) (name /gpio/MP6)
(node (ref J3) (pin 18)))
(net (code 93) (name /gpio/P58)
(node (ref U1) (pin B12))
(node (ref J1) (pin 58)))
(net (code 94) (name /gpio/P56)
(node (ref U1) (pin D12))
(node (ref J1) (pin 56)))
(net (code 95) (name /gpio/P54)
(node (ref U1) (pin A12))
(node (ref J1) (pin 54)))
(net (code 96) (name /gpio/P52)
(node (ref J1) (pin 52))
(node (ref U1) (pin B13)))
(net (code 97) (name /gpio/P50)
(node (ref U1) (pin D13))
(node (ref J1) (pin 50)))
(net (code 98) (name /gpio/P48)
(node (ref U1) (pin A14))
(node (ref J1) (pin 48)))
(net (code 99) (name /gpio/P46)
(node (ref J1) (pin 46))
(node (ref U1) (pin D14)))
(net (code 100) (name /gpio/P44)
(net (code 43) (name /gpio/MP4)
(node (ref J3) (pin 16)))
(net (code 44) (name /gpio/P46)
(node (ref U1) (pin D14))
(node (ref J1) (pin 46)))
(net (code 45) (name /gpio/P40)
(node (ref U1) (pin A16))
(node (ref J1) (pin 40)))
(net (code 46) (name /gpio/P42)
(node (ref U1) (pin D15))
(node (ref J1) (pin 42)))
(net (code 47) (name /gpio/P44)
(node (ref J1) (pin 44))
(node (ref U1) (pin B15)))
(net (code 101) (name /gpio/P42)
(node (ref J1) (pin 42))
(node (ref U1) (pin D15)))
(net (code 102) (name /gpio/P40)
(node (ref J1) (pin 40))
(node (ref U1) (pin A16)))
(net (code 103) (name /gpio/P39)
(node (ref J1) (pin 39))
(node (ref U1) (pin B16)))
(net (code 104) (name /gpio/P59)
(node (ref U1) (pin E11))
(node (ref J1) (pin 59)))
(net (code 105) (name /gpio/P57)
(node (ref J1) (pin 57))
(node (ref U1) (pin C12)))
(net (code 106) (name /gpio/P55)
(net (code 48) (name /gpio/P48)
(node (ref J1) (pin 48))
(node (ref U1) (pin A14)))
(net (code 49) (name /gpio/P50)
(node (ref U1) (pin D13))
(node (ref J1) (pin 50)))
(net (code 50) (name /gpio/P52)
(node (ref J1) (pin 52))
(node (ref U1) (pin B13)))
(net (code 51) (name /gpio/P54)
(node (ref U1) (pin A12))
(node (ref J1) (pin 54)))
(net (code 52) (name /gpio/P56)
(node (ref U1) (pin D12))
(node (ref J1) (pin 56)))
(net (code 53) (name /gpio/P58)
(node (ref J1) (pin 58))
(node (ref U1) (pin B12)))
(net (code 54) (name /gpio/P59)
(node (ref J1) (pin 59))
(node (ref U1) (pin E11)))
(net (code 55) (name /gpio/P57)
(node (ref U1) (pin C12))
(node (ref J1) (pin 57)))
(net (code 56) (name /gpio/P55)
(node (ref U1) (pin E12))
(node (ref J1) (pin 55)))
(net (code 107) (name /gpio/P53)
(node (ref U1) (pin A13))
(node (ref J1) (pin 53)))
(net (code 108) (name /gpio/P51)
(net (code 57) (name /gpio/P53)
(node (ref J1) (pin 53))
(node (ref U1) (pin A13)))
(net (code 58) (name /gpio/P51)
(node (ref U1) (pin C13))
(node (ref J1) (pin 51)))
(net (code 109) (name /gpio/P49)
(node (ref J1) (pin 49))
(node (ref U1) (pin E13)))
(net (code 110) (name /gpio/P47)
(node (ref U1) (pin C14))
(node (ref J1) (pin 47)))
(net (code 111) (name /gpio/P45)
(net (code 59) (name /gpio/P49)
(node (ref U1) (pin E13))
(node (ref J1) (pin 49)))
(net (code 60) (name /gpio/P47)
(node (ref J1) (pin 47))
(node (ref U1) (pin C14)))
(net (code 61) (name /gpio/P45)
(node (ref U1) (pin E14))
(node (ref J1) (pin 45)))
(net (code 112) (name /gpio/P43)
(node (ref U1) (pin C15))
(node (ref J1) (pin 43)))
(net (code 113) (name /gpio/P41)
(net (code 62) (name /gpio/P41)
(node (ref U1) (pin E15))
(node (ref J1) (pin 41)))
(net (code 114) (name /gpio/PMODC6)
(node (ref J3) (pin 28)))
(net (code 115) (name /gpio/MP2)
(node (ref J3) (pin 14)))
(net (code 116) (name /gpio/PMODC7)
(net (code 63) (name /gpio/P39)
(node (ref U1) (pin B16))
(node (ref J1) (pin 39)))
(net (code 64) (name /gpio/P43)
(node (ref U1) (pin C15))
(node (ref J1) (pin 43)))
(net (code 65) (name /gpio/PMODC7)
(node (ref J3) (pin 29)))
(net (code 117) (name /gpio/PMODC5)
(net (code 66) (name /gpio/PMODC5)
(node (ref J3) (pin 27)))
(net (code 118) (name /gpio/PMODC3)
(net (code 67) (name /gpio/PMODC3)
(node (ref J3) (pin 25)))
(net (code 119) (name /gpio/PMODC8)
(net (code 68) (name /gpio/PMODC8)
(node (ref J3) (pin 30)))
(net (code 120) (name /gpio/PMODC4)
(net (code 69) (name /gpio/PMODC6)
(node (ref J3) (pin 28)))
(net (code 70) (name /gpio/PMODC4)
(node (ref J3) (pin 26)))
(net (code 121) (name /gpio/PMODC2)
(net (code 71) (name /gpio/PMODC2)
(node (ref J3) (pin 24)))
(net (code 122) (name /gpio/PMODC1)
(net (code 72) (name /gpio/PMODC1)
(node (ref J3) (pin 23)))
(net (code 123) (name /gpio/MP4)
(node (ref J3) (pin 16)))
(net (code 124) (name /gpio/PMODA3)
(net (code 73) (name /gpio/MP2)
(node (ref J3) (pin 14)))
(net (code 74) (name /gpio/PMODA3)
(node (ref J2) (pin 7)))
(net (code 125) (name /gpio/PMODA1)
(net (code 75) (name /gpio/PMODA1)
(node (ref J2) (pin 5)))
(net (code 126) (name /gpio/PMODA5)
(net (code 76) (name /gpio/PMODA5)
(node (ref J2) (pin 9)))
(net (code 127) (name /gpio/P60)
(node (ref U1) (pin D11))
(node (ref J1) (pin 60)))
(net (code 128) (name /gpio/PMODA8)
(net (code 77) (name /gpio/P60)
(node (ref J1) (pin 60))
(node (ref U1) (pin D11)))
(net (code 78) (name /gpio/PMODA8)
(node (ref J2) (pin 12)))
(net (code 129) (name /gpio/PMODA6)
(net (code 79) (name /gpio/PMODA6)
(node (ref J2) (pin 10)))
(net (code 130) (name /gpio/PMODA4)
(net (code 80) (name /gpio/PMODA4)
(node (ref J2) (pin 8)))
(net (code 131) (name /gpio/PMODA2)
(net (code 81) (name /gpio/PMODA2)
(node (ref J2) (pin 6)))
(net (code 132) (name /gpio/PMODA7)
(net (code 82) (name /gpio/PMODA7)
(node (ref J2) (pin 11)))
(net (code 133) (name /gpio/P37)
(net (code 83) (name /gpio/P37)
(node (ref U1) (pin D16))
(node (ref J1) (pin 37)))
(net (code 134) (name /gpio/P35)
(net (code 84) (name /gpio/P35)
(node (ref J1) (pin 35))
(node (ref U1) (pin C17)))
(net (code 135) (name /gpio/P33)
(node (ref J1) (pin 33))
(node (ref U1) (pin B18)))
(net (code 136) (name /gpio/P31)
(net (code 85) (name /gpio/P33)
(node (ref U1) (pin B18))
(node (ref J1) (pin 33)))
(net (code 86) (name /gpio/P31)
(node (ref U1) (pin B19))
(node (ref J1) (pin 31)))
(net (code 137) (name /gpio/P29)
(net (code 87) (name /gpio/P29)
(node (ref U1) (pin B20))
(node (ref J1) (pin 29)))
(net (code 138) (name /gpio/P38)
(net (code 88) (name /gpio/P38)
(node (ref J1) (pin 38))
(node (ref U1) (pin C16)))
(net (code 139) (name /gpio/P36)
(node (ref J1) (pin 36))
(node (ref U1) (pin B17)))
(net (code 140) (name /gpio/P34)
(node (ref U1) (pin A17))
(node (ref J1) (pin 34)))
(net (code 141) (name /gpio/P32)
(node (ref U1) (pin A18))
(node (ref J1) (pin 32)))
(net (code 142) (name /gpio/P30)
(net (code 89) (name /gpio/P36)
(node (ref U1) (pin B17))
(node (ref J1) (pin 36)))
(net (code 90) (name /gpio/P34)
(node (ref J1) (pin 34))
(node (ref U1) (pin A17)))
(net (code 91) (name /gpio/P32)
(node (ref J1) (pin 32))
(node (ref U1) (pin A18)))
(net (code 92) (name /gpio/P30)
(node (ref J1) (pin 30))
(node (ref U1) (pin A19)))
(net (code 143) (name /gpio/P23)
(node (ref J1) (pin 23))
(node (ref U1) (pin D7)))
(net (code 144) (name /gpio/P24)
(net (code 93) (name /gpio/P23)
(node (ref U1) (pin D7))
(node (ref J1) (pin 23)))
(net (code 94) (name /gpio/P24)
(node (ref J1) (pin 24))
(node (ref U1) (pin E7)))
(net (code 145) (name /gpio/P25)
(net (code 95) (name /gpio/P25)
(node (ref J1) (pin 25))
(node (ref U1) (pin D6)))
(net (code 146) (name /gpio/P26)
(node (ref J1) (pin 26))
(node (ref U1) (pin E6)))
(net (code 147) (name /gpio/P27)
(net (code 96) (name /gpio/P26)
(node (ref U1) (pin E6))
(node (ref J1) (pin 26)))
(net (code 97) (name /gpio/P27)
(node (ref J1) (pin 27))
(node (ref U1) (pin B6)))
(net (code 148) (name /gpio/P28)
(net (code 98) (name /gpio/P28)
(node (ref J1) (pin 28))
(node (ref U1) (pin A6)))
(net (code 149) (name /gpio/P22)
(net (code 99) (name /gpio/P22)
(node (ref J1) (pin 22))
(node (ref U1) (pin C6)))
(net (code 150) (name /gpio/P21)
(net (code 100) (name /gpio/P21)
(node (ref J1) (pin 21))
(node (ref U1) (pin C7)))
(net (code 151) (name /gpio/P20)
(net (code 101) (name /gpio/P20)
(node (ref J1) (pin 20))
(node (ref U1) (pin E8)))
(net (code 152) (name /gpio/P19)
(net (code 102) (name /gpio/P19)
(node (ref J1) (pin 19))
(node (ref U1) (pin D8)))
(net (code 153) (name /gpio/P18)
(node (ref U1) (pin C8))
(node (ref J1) (pin 18)))
(net (code 154) (name /gpio/P17)
(net (code 103) (name /gpio/P18)
(node (ref J1) (pin 18))
(node (ref U1) (pin C8)))
(net (code 104) (name /gpio/P17)
(node (ref J1) (pin 17))
(node (ref U1) (pin B8)))
(net (code 155) (name /gpio/P16)
(node (ref J1) (pin 16))
(node (ref U1) (pin A7)))
(net (code 156) (name /gpio/P15)
(node (ref U1) (pin A8))
(node (ref J1) (pin 15)))
(net (code 157) (name /gpio/P13)
(net (code 105) (name /gpio/P16)
(node (ref U1) (pin A7))
(node (ref J1) (pin 16)))
(net (code 106) (name /gpio/P15)
(node (ref J1) (pin 15))
(node (ref U1) (pin A8)))
(net (code 107) (name /gpio/P13)
(node (ref U1) (pin E9))
(node (ref J1) (pin 13)))
(net (code 158) (name /gpio/P14)
(node (ref J1) (pin 14))
(node (ref U1) (pin D9)))
(net (code 159) (name /gpio/P12)
(node (ref U1) (pin B9))
(node (ref J1) (pin 12)))
(net (code 160) (name /gpio/P11)
(node (ref U1) (pin C10))
(node (ref J1) (pin 11)))
(net (code 161) (name /gpio/P9)
(net (code 108) (name /gpio/P14)
(node (ref U1) (pin D9))
(node (ref J1) (pin 14)))
(net (code 109) (name /gpio/P12)
(node (ref J1) (pin 12))
(node (ref U1) (pin B9)))
(net (code 110) (name /gpio/P11)
(node (ref J1) (pin 11))
(node (ref U1) (pin C10)))
(net (code 111) (name /gpio/P9)
(node (ref U1) (pin B10))
(node (ref J1) (pin 9)))
(net (code 162) (name /gpio/P10)
(net (code 112) (name /gpio/P10)
(node (ref U1) (pin A9))
(node (ref J1) (pin 10)))
(net (code 163) (name /gpio/P8)
(net (code 113) (name /gpio/P8)
(node (ref U1) (pin A11))
(node (ref J1) (pin 8)))
(net (code 164) (name /gpio/P7)
(net (code 114) (name /gpio/P7)
(node (ref U1) (pin A10))
(node (ref J1) (pin 7)))
(net (code 165) (name /gpio/P5)
(node (ref J1) (pin 5))
(node (ref U1) (pin B11)))
(net (code 166) (name /gpio/P6)
(node (ref J1) (pin 6))
(node (ref U1) (pin C11)))
(net (code 167) (name /gpio/USB5V)
(net (code 115) (name /gpio/P5)
(node (ref U1) (pin B11))
(node (ref J1) (pin 5)))
(net (code 116) (name /gpio/P6)
(node (ref U1) (pin C11))
(node (ref J1) (pin 6)))
(net (code 117) (name /gpio/USB5V)
(node (ref D52) (pin 2)))
(net (code 168) (name /gpio/OUT5V)
(node (ref D52) (pin 1))
(node (ref J1) (pin 2)))
(net (code 169) (name /gpio/IN5V)
(node (ref D51) (pin 2))
(node (ref J1) (pin 1)))
(net (code 170) (name "Net-(L1-Pad1)")
(node (ref L1) (pin 1))
(node (ref U3) (pin 3)))
(net (code 171) (name +1V2)
(net (code 118) (name /gpio/OUT5V)
(node (ref J1) (pin 2))
(node (ref D52) (pin 1)))
(net (code 119) (name +5V)
(node (ref D51) (pin 1))
(node (ref D8) (pin 1))
(node (ref C1) (pin 1))
(node (ref U3) (pin 4))
(node (ref R1) (pin 1))
(node (ref GPDI1) (pin 19))
(node (ref D9) (pin 2))
(node (ref C9) (pin 1))
(node (ref U5) (pin 4))
(node (ref U4) (pin 4))
(node (ref C5) (pin 1)))
(net (code 120) (name /gpio/IN5V)
(node (ref J1) (pin 1))
(node (ref D51) (pin 2)))
(net (code 121) (name +1V2)
(node (ref U1) (pin L8))
(node (ref C4) (pin 1))
(node (ref C3) (pin 1))
(node (ref RA1) (pin 1))
(node (ref C2) (pin 1))
(node (ref L1) (pin 2))
(node (ref U1) (pin H12))
(node (ref U1) (pin L13))
(node (ref U1) (pin H13))
(node (ref U1) (pin J13))
(node (ref U1) (pin K13))
(node (ref U1) (pin M13))
(node (ref U1) (pin N13))
(node (ref U1) (pin H11))
(node (ref U1) (pin N11))
(node (ref U1) (pin N12))
(node (ref U1) (pin H10))
(node (ref C2) (pin 1))
(node (ref U1) (pin H9))
(node (ref U1) (pin K8))
(node (ref U1) (pin N9))
(node (ref U1) (pin H10))
(node (ref U1) (pin N10))
(node (ref U1) (pin H8))
(node (ref U1) (pin J8))
(node (ref U1) (pin K8))
(node (ref U1) (pin L8))
(node (ref U1) (pin H12))
(node (ref U1) (pin N11))
(node (ref U1) (pin H11))
(node (ref U1) (pin N13))
(node (ref U1) (pin M13))
(node (ref U1) (pin L13))
(node (ref U1) (pin K13))
(node (ref U1) (pin H13))
(node (ref U1) (pin N12))
(node (ref U1) (pin J13))
(node (ref U1) (pin M8))
(node (ref U1) (pin H9))
(node (ref U1) (pin N8)))
(net (code 172) (name /power/FB1)
(node (ref RA1) (pin 2))
(node (ref RB1) (pin 2))
(net (code 122) (name "Net-(L1-Pad1)")
(node (ref U3) (pin 3))
(node (ref L1) (pin 1)))
(net (code 123) (name /power/FB1)
(node (ref U3) (pin 5))
(node (ref C2) (pin 2)))
(net (code 173) (name +2V5)
(node (ref U1) (pin F6))
(node (ref U1) (pin F15))
(node (ref U1) (pin P6))
(node (ref L3) (pin 2))
(node (ref C10) (pin 1))
(node (ref C2) (pin 2))
(node (ref RA1) (pin 2))
(node (ref RB1) (pin 2)))
(net (code 124) (name +2V5)
(node (ref RA2) (pin 1))
(node (ref C10) (pin 1))
(node (ref L3) (pin 2))
(node (ref U1) (pin P15))
(node (ref U1) (pin F15))
(node (ref C12) (pin 1))
(node (ref C11) (pin 1))
(node (ref U1) (pin P15)))
(net (code 174) (name /power/FB2)
(node (ref U1) (pin F6))
(node (ref U1) (pin P6)))
(net (code 125) (name /power/FB2)
(node (ref RB2) (pin 2))
(node (ref RA2) (pin 2))
(node (ref C10) (pin 2))
(node (ref U5) (pin 5)))
(net (code 175) (name "Net-(L3-Pad1)")
(node (ref U5) (pin 3))
(node (ref L3) (pin 1)))
(net (code 176) (name /power/PWREN)
(net (code 126) (name "Net-(L3-Pad1)")
(node (ref L3) (pin 1))
(node (ref U5) (pin 3)))
(net (code 127) (name /power/PWREN)
(node (ref U5) (pin 1))
(node (ref R1) (pin 2))
(node (ref U3) (pin 1))
(node (ref U5) (pin 1))
(node (ref U4) (pin 1)))
(net (code 177) (name "Net-(L2-Pad1)")
(node (ref U4) (pin 3))
(node (ref L2) (pin 1)))
(net (code 178) (name /power/FB3)
(net (code 128) (name "Net-(L2-Pad1)")
(node (ref L2) (pin 1))
(node (ref U4) (pin 3)))
(net (code 129) (name /power/FB3)
(node (ref U4) (pin 5))
(node (ref RB3) (pin 2))
(node (ref RA3) (pin 2))
(node (ref C6) (pin 2)))
(net (code 179) (name BTN_R)
(node (ref C6) (pin 2))
(node (ref RB3) (pin 2)))
(net (code 130) (name LED2)
(node (ref D2) (pin 2)))
(net (code 131) (name BTN_R)
(node (ref BTN6) (pin 2)))
(net (code 180) (name BTN_L)
(net (code 132) (name BTN_L)
(node (ref BTN5) (pin 2)))
(net (code 181) (name LED7)
(net (code 133) (name LED7)
(node (ref D7) (pin 2)))
(net (code 182) (name LED6)
(net (code 134) (name LED6)
(node (ref D6) (pin 2)))
(net (code 183) (name LED5)
(net (code 135) (name LED5)
(node (ref D5) (pin 2)))
(net (code 184) (name LED4)
(net (code 136) (name LED4)
(node (ref D4) (pin 2)))
(net (code 185) (name LED3)
(net (code 137) (name LED3)
(node (ref D3) (pin 2)))
(net (code 186) (name LED2)
(node (ref D2) (pin 2)))
(net (code 187) (name LED1)
(net (code 138) (name LED1)
(node (ref D1) (pin 2)))
(net (code 188) (name LED0)
(net (code 139) (name LED0)
(node (ref D0) (pin 2)))
(net (code 189) (name OLED_DC)
(node (ref OLED1) (pin 6)))
(net (code 190) (name "Net-(BTN1-Pad1)")
(node (ref BTN5) (pin 1))
(node (ref R7) (pin 2))
(net (code 140) (name OLED_RES)
(node (ref OLED1) (pin 5)))
(net (code 141) (name OLED_CS)
(node (ref OLED1) (pin 7)))
(net (code 142) (name "Net-(BTN1-Pad1)")
(node (ref BTN3) (pin 1))
(node (ref BTN1) (pin 1))
(node (ref BTN2) (pin 1))
(node (ref BTN4) (pin 1))
(node (ref R7) (pin 2))
(node (ref BTN6) (pin 1))
(node (ref BTN3) (pin 1)))
(net (code 191) (name OLED_CS)
(node (ref OLED1) (pin 7)))
(net (code 192) (name OLED_RES)
(node (ref OLED1) (pin 5)))
(net (code 193) (name OLED_MOSI)
(node (ref BTN5) (pin 1)))
(net (code 143) (name OLED_DC)
(node (ref OLED1) (pin 6)))
(net (code 144) (name OLED_MOSI)
(node (ref OLED1) (pin 4)))
(net (code 194) (name OLED_CLK)
(net (code 145) (name OLED_CLK)
(node (ref OLED1) (pin 3)))
(net (code 195) (name BTN_U)
(net (code 146) (name BTN_U)
(node (ref BTN3) (pin 2)))
(net (code 196) (name BTN_D)
(net (code 147) (name BTN_D)
(node (ref BTN4) (pin 2)))
(net (code 197) (name BTN_F2)
(net (code 148) (name BTN_F2)
(node (ref BTN2) (pin 2)))
(net (code 198) (name BTN_F1)
(net (code 149) (name BTN_F1)
(node (ref BTN1) (pin 2)))
(net (code 199) (name GPDI_SDA)
(net (code 150) (name GPDI_SDA)
(node (ref GPDI1) (pin 18)))
(net (code 200) (name GPDI_SCL)
(net (code 151) (name GPDI_SCL)
(node (ref GPDI1) (pin 17)))
(net (code 201) (name GPDI_CEC)
(net (code 152) (name GPDI_CEC)
(node (ref GPDI1) (pin 15)))
(net (code 202) (name GPDI_CLK_N)
(net (code 153) (name GPDI_CLK_N)
(node (ref GPDI1) (pin 14)))
(net (code 203) (name GPDI_D1_N)
(node (ref GPDI1) (pin 8)))
(net (code 204) (name GPDI_CLK_P)
(net (code 154) (name GPDI_CLK_P)
(node (ref GPDI1) (pin 12)))
(net (code 205) (name GPDI_D0_N)
(net (code 155) (name GPDI_D0_N)
(node (ref GPDI1) (pin 11)))
(net (code 206) (name GPDI_D0_P)
(net (code 156) (name GPDI_D0_P)
(node (ref GPDI1) (pin 9)))
(net (code 207) (name GPDI_D1_P)
(net (code 157) (name GPDI_D1_N)
(node (ref GPDI1) (pin 8)))
(net (code 158) (name GPDI_D1_P)
(node (ref GPDI1) (pin 6)))
(net (code 208) (name GPDI_D2_N)
(net (code 159) (name GPDI_D2_N)
(node (ref GPDI1) (pin 5)))
(net (code 209) (name GPDI_D2_P)
(net (code 160) (name GPDI_D2_P)
(node (ref GPDI1) (pin 3)))
(net (code 210) (name GPDI_ETH_P)
(net (code 161) (name GPDI_ETH_P)
(node (ref GPDI1) (pin 2)))
(net (code 211) (name GPDI_ETH_N)
(node (ref GPDI1) (pin 1)))))
(net (code 162) (name GPDI_ETH_N)
(node (ref GPDI1) (pin 1)))
(net (code 163) (name "Net-(U6-Pad42)")
(node (ref U6) (pin 42)))
(net (code 164) (name "Net-(U6-Pad13)")
(node (ref U6) (pin 13)))
(net (code 165) (name "Net-(U6-Pad23)")
(node (ref U6) (pin 23)))
(net (code 166) (name "Net-(U6-Pad62)")
(node (ref U6) (pin 62)))
(net (code 167) (name "Net-(U6-Pad52)")
(node (ref U6) (pin 52)))
(net (code 168) (name "Net-(U6-Pad44)")
(node (ref U6) (pin 44)))
(net (code 169) (name "Net-(U6-Pad33)")
(node (ref U6) (pin 33)))
(net (code 170) (name "Net-(U6-Pad43)")
(node (ref U6) (pin 43)))
(net (code 171) (name "Net-(U6-Pad53)")
(node (ref U6) (pin 53)))
(net (code 172) (name "Net-(U6-Pad63)")
(node (ref U6) (pin 63)))
(net (code 173) (name "Net-(U6-Pad14)")
(node (ref U6) (pin 14)))
(net (code 174) (name "Net-(U6-Pad24)")
(node (ref U6) (pin 24)))
(net (code 175) (name "Net-(U6-Pad34)")
(node (ref U6) (pin 34)))
(net (code 176) (name "Net-(U6-Pad57)")
(node (ref U6) (pin 57)))
(net (code 177) (name "Net-(U6-Pad32)")
(node (ref U6) (pin 32)))
(net (code 178) (name "Net-(U6-Pad22)")
(node (ref U6) (pin 22)))
(net (code 179) (name "Net-(U6-Pad12)")
(node (ref U6) (pin 12)))
(net (code 180) (name "Net-(U6-Pad61)")
(node (ref U6) (pin 61)))
(net (code 181) (name "Net-(U6-Pad51)")
(node (ref U6) (pin 51)))
(net (code 182) (name "Net-(U6-Pad41)")
(node (ref U6) (pin 41)))
(net (code 183) (name "Net-(U6-Pad31)")
(node (ref U6) (pin 31)))
(net (code 184) (name "Net-(U6-Pad21)")
(node (ref U6) (pin 21)))
(net (code 185) (name "Net-(U6-Pad11)")
(node (ref U6) (pin 11)))
(net (code 186) (name "Net-(U6-Pad60)")
(node (ref U6) (pin 60)))
(net (code 187) (name "Net-(U6-Pad50)")
(node (ref U6) (pin 50)))
(net (code 188) (name "Net-(U6-Pad40)")
(node (ref U6) (pin 40)))
(net (code 189) (name "Net-(U6-Pad30)")
(node (ref U6) (pin 30)))
(net (code 190) (name "Net-(U6-Pad27)")
(node (ref U6) (pin 27)))
(net (code 191) (name "Net-(U6-Pad59)")
(node (ref U6) (pin 59)))
(net (code 192) (name "Net-(U6-Pad49)")
(node (ref U6) (pin 49)))
(net (code 193) (name "Net-(U6-Pad39)")
(node (ref U6) (pin 39)))
(net (code 194) (name "Net-(U6-Pad29)")
(node (ref U6) (pin 29)))
(net (code 195) (name "Net-(U6-Pad19)")
(node (ref U6) (pin 19)))
(net (code 196) (name "Net-(U6-Pad58)")
(node (ref U6) (pin 58)))
(net (code 197) (name "Net-(U6-Pad48)")
(node (ref U6) (pin 48)))
(net (code 198) (name "Net-(U6-Pad38)")
(node (ref U6) (pin 38)))
(net (code 199) (name "Net-(U6-Pad28)")
(node (ref U6) (pin 28)))
(net (code 200) (name "Net-(U6-Pad18)")
(node (ref U6) (pin 18)))
(net (code 201) (name "Net-(U6-Pad47)")
(node (ref U6) (pin 47)))
(net (code 202) (name "Net-(U6-Pad37)")
(node (ref U6) (pin 37)))
(net (code 203) (name "Net-(U6-Pad54)")
(node (ref U6) (pin 54)))
(net (code 204) (name "Net-(U6-Pad17)")
(node (ref U6) (pin 17)))
(net (code 205) (name "Net-(U6-Pad56)")
(node (ref U6) (pin 56)))
(net (code 206) (name "Net-(U6-Pad46)")
(node (ref U6) (pin 46)))
(net (code 207) (name "Net-(U6-Pad36)")
(node (ref U6) (pin 36)))
(net (code 208) (name "Net-(U6-Pad26)")
(node (ref U6) (pin 26)))
(net (code 209) (name "Net-(U6-Pad16)")
(node (ref U6) (pin 16)))
(net (code 210) (name "Net-(U6-Pad55)")
(node (ref U6) (pin 55)))
(net (code 211) (name "Net-(U6-Pad45)")
(node (ref U6) (pin 45)))
(net (code 212) (name "Net-(U6-Pad35)")
(node (ref U6) (pin 35)))
(net (code 213) (name "Net-(U6-Pad25)")
(node (ref U6) (pin 25)))
(net (code 214) (name "Net-(U6-Pad15)")
(node (ref U6) (pin 15)))
(net (code 215) (name "Net-(U6-Pad64)")
(node (ref U6) (pin 64)))
(net (code 216) (name "Net-(US2-Pad6)")
(node (ref US2) (pin 6)))
(net (code 217) (name "Net-(US2-Pad4)")
(node (ref US2) (pin 4)))
(net (code 218) (name "Net-(D9-Pad1)")
(node (ref D9) (pin 1))
(node (ref US2) (pin 1)))
(net (code 219) (name "Net-(US1-Pad6)")
(node (ref US1) (pin 6)))
(net (code 220) (name "Net-(U6-Pad10)")
(node (ref U6) (pin 10)))
(net (code 221) (name /usb/USB5V)
(node (ref US1) (pin 1))
(node (ref D8) (pin 2)))
(net (code 222) (name "Net-(U6-Pad20)")
(node (ref U6) (pin 20)))
(net (code 223) (name "Net-(U6-Pad9)")
(node (ref U6) (pin 9)))
(net (code 224) (name "Net-(U6-Pad8)")
(node (ref U6) (pin 8)))
(net (code 225) (name "Net-(U6-Pad7)")
(node (ref U6) (pin 7)))
(net (code 226) (name "Net-(U6-Pad6)")
(node (ref U6) (pin 6)))
(net (code 227) (name "Net-(U6-Pad5)")
(node (ref U6) (pin 5)))
(net (code 228) (name "Net-(U6-Pad4)")
(node (ref U6) (pin 4)))
(net (code 229) (name "Net-(U6-Pad3)")
(node (ref U6) (pin 3)))
(net (code 230) (name "Net-(U6-Pad2)")
(node (ref U6) (pin 2)))
(net (code 231) (name "Net-(U6-Pad1)")
(node (ref U6) (pin 1)))
(net (code 232) (name "Net-(US1-Pad4)")
(node (ref US1) (pin 4)))
(net (code 233) (name USB_FTDI_DN)
(node (ref US1) (pin 2)))
(net (code 234) (name USB_FTDI_DP)
(node (ref US1) (pin 3)))
(net (code 235) (name USB_FPGA_DN)
(node (ref US2) (pin 2)))
(net (code 236) (name USB_FPGA_DP)
(node (ref US2) (pin 3)))
(net (code 237) (name "Net-(IC1-Pad24)")
(node (ref IC1) (pin 24)))
(net (code 238) (name "Net-(IC1-Pad34)")
(node (ref IC1) (pin 34)))
(net (code 239) (name "Net-(IC1-Pad44)")
(node (ref IC1) (pin 44)))
(net (code 240) (name "Net-(IC1-Pad54)")
(node (ref IC1) (pin 54)))
(net (code 241) (name "Net-(IC1-Pad25)")
(node (ref IC1) (pin 25)))
(net (code 242) (name "Net-(IC1-Pad35)")
(node (ref IC1) (pin 35)))
(net (code 243) (name "Net-(IC1-Pad16)")
(node (ref IC1) (pin 16)))
(net (code 244) (name "Net-(IC1-Pad26)")
(node (ref IC1) (pin 26)))
(net (code 245) (name "Net-(IC1-Pad46)")
(node (ref IC1) (pin 46)))
(net (code 246) (name "Net-(IC1-Pad17)")
(node (ref IC1) (pin 17)))
(net (code 247) (name "Net-(IC1-Pad27)")
(node (ref IC1) (pin 27)))
(net (code 248) (name "Net-(IC1-Pad37)")
(node (ref IC1) (pin 37)))
(net (code 249) (name "Net-(IC1-Pad18)")
(node (ref IC1) (pin 18)))
(net (code 250) (name "Net-(IC1-Pad28)")
(node (ref IC1) (pin 28)))
(net (code 251) (name "Net-(IC1-Pad38)")
(node (ref IC1) (pin 38)))
(net (code 252) (name "Net-(IC1-Pad19)")
(node (ref IC1) (pin 19)))
(net (code 253) (name "Net-(IC1-Pad29)")
(node (ref IC1) (pin 29)))
(net (code 254) (name "Net-(IC1-Pad39)")
(node (ref IC1) (pin 39)))
(net (code 255) (name "Net-(IC1-Pad49)")
(node (ref IC1) (pin 49)))
(net (code 256) (name "Net-(IC1-Pad21)")
(node (ref IC1) (pin 21)))
(net (code 257) (name "Net-(IC1-Pad1)")
(node (ref IC1) (pin 1)))
(net (code 258) (name "Net-(IC1-Pad3)")
(node (ref IC1) (pin 3)))
(net (code 259) (name "Net-(IC1-Pad5)")
(node (ref IC1) (pin 5)))
(net (code 260) (name "Net-(IC1-Pad6)")
(node (ref IC1) (pin 6)))
(net (code 261) (name "Net-(IC1-Pad9)")
(node (ref IC1) (pin 9)))
(net (code 262) (name "Net-(IC1-Pad20)")
(node (ref IC1) (pin 20)))
(net (code 263) (name "Net-(IC1-Pad30)")
(node (ref IC1) (pin 30)))
(net (code 264) (name "Net-(IC1-Pad50)")
(node (ref IC1) (pin 50)))
(net (code 265) (name "Net-(IC1-Pad11)")
(node (ref IC1) (pin 11)))
(net (code 266) (name "Net-(IC1-Pad14)")
(node (ref IC1) (pin 14)))
(net (code 267) (name "Net-(IC1-Pad31)")
(node (ref IC1) (pin 31)))
(net (code 268) (name "Net-(IC1-Pad41)")
(node (ref IC1) (pin 41)))
(net (code 269) (name "Net-(IC1-Pad12)")
(node (ref IC1) (pin 12)))
(net (code 270) (name "Net-(IC1-Pad22)")
(node (ref IC1) (pin 22)))
(net (code 271) (name "Net-(IC1-Pad32)")
(node (ref IC1) (pin 32)))
(net (code 272) (name "Net-(IC1-Pad52)")
(node (ref IC1) (pin 52)))
(net (code 273) (name "Net-(IC1-Pad23)")
(node (ref IC1) (pin 23)))
(net (code 274) (name "Net-(IC1-Pad33)")
(node (ref IC1) (pin 33)))
(net (code 275) (name "Net-(IC1-Pad43)")
(node (ref IC1) (pin 43)))))

@ -1,4 +1,4 @@
update=Pet 24 Ožu 2017 21:16:28
update=Sub 25 Ožu 2017 20:07:22
version=1
last_client=kicad
[pcbnew]
@ -27,7 +27,7 @@ NetIExt=net
version=1
[eeschema]
version=1
LibDir=footprints/esp8266;footprints/lattice;footprints/micro-hdmi-d;footprints/dcdc_converter
LibDir=footprints/esp8266;footprints/lattice;footprints/micro-hdmi-d;footprints/dcdc_converter;footprints/usbserial
[eeschema/libraries]
LibName1=ulx3s-rescue
LibName2=power
@ -63,3 +63,4 @@ LibName31=footprints/esp8266/ESP8266
LibName32=footprints/lattice/lfe5bg381
LibName33=footprints/micro-hdmi-d/micro-hdmi-d
LibName34=footprints/dcdc_converter/ap3429a
LibName35=footprints/usbserial/ft2232

@ -33,12 +33,13 @@ LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 5
Sheet 1 8
Title "ULX3S"
Date ""
Rev "0.0.1"
@ -111,60 +112,6 @@ F 3 "" H 1400 2500 60 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L D_Schottky_Small D53
U 1 1 56AA1324
P 6450 5900
F 0 "D53" H 6400 5980 50 0000 L CNN
F 1 "2A" H 6400 5800 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 6450 5900 60 0001 C CNN
F 3 "" V 6450 5900 60 0000 C CNN
1 6450 5900
-1 0 0 1
$EndComp
Wire Wire Line
5600 5900 6350 5900
Wire Wire Line
6550 5900 6600 5900
$Comp
L +5V #PWR02
U 1 1 56AA16D2
P 6600 5900
F 0 "#PWR02" H 6600 5750 50 0001 C CNN
F 1 "+5V" H 6600 6040 50 0000 C CNN
F 2 "" H 6600 5900 60 0000 C CNN
F 3 "" H 6600 5900 60 0000 C CNN
1 6600 5900
0 1 1 0
$EndComp
Text Label 5650 5900 0 60 ~ 0
USB5V
Wire Wire Line
6550 6750 6650 6750
$Comp
L D_Schottky_Small D54
U 1 1 56AA2821
P 6450 6750
F 0 "D54" H 6400 6830 50 0000 L CNN
F 1 "2A" H 6400 6650 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 6450 6750 60 0001 C CNN
F 3 "" V 6450 6750 60 0000 C CNN
1 6450 6750
1 0 0 -1
$EndComp
Wire Wire Line
5600 6750 6350 6750
$Comp
L +5V #PWR03
U 1 1 56AA305B
P 6650 6750
F 0 "#PWR03" H 6650 6600 50 0001 C CNN
F 1 "+5V" H 6650 6890 50 0000 C CNN
F 2 "" H 6650 6750 60 0000 C CNN
F 3 "" H 6650 6750 60 0000 C CNN
1 6650 6750
0 1 1 0
$EndComp
$Comp
L LFE5-BG381 U1
U 10 1 56AAA6F3
P 1200 4700
@ -224,61 +171,13 @@ Wire Wire Line
Text Label 1100 7000 0 60 ~ 0
MTDI
$Sheet
S 7000 5900 750 450
S 7000 5250 750 450
U 56AC389C
F0 "gpio" 60
F1 "gpio.sch" 60
$EndSheet
$Comp
L USB_OTG US1
U 1 1 58D432CE
P 5300 6100
F 0 "US1" H 5625 5975 50 0000 C CNN
F 1 "USB_FTDI" H 5300 6300 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 5250 6000 50 0001 C CNN
F 3 "" V 5250 6000 50 0000 C CNN
1 5300 6100
0 -1 1 0
$EndComp
$Comp
L USB_OTG US2
U 1 1 58D4378B
P 5300 6950
F 0 "US2" H 5625 6825 50 0000 C CNN
F 1 "USB_FPGA" H 5300 7150 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 5250 6850 50 0001 C CNN
F 3 "" V 5250 6850 50 0000 C CNN
1 5300 6950
0 -1 1 0
$EndComp
$Comp
L GND #PWR04
U 1 1 58D43846
P 5700 6300
F 0 "#PWR04" H 5700 6050 50 0001 C CNN
F 1 "GND" H 5700 6150 50 0000 C CNN
F 2 "" H 5700 6300 50 0000 C CNN
F 3 "" H 5700 6300 50 0000 C CNN
1 5700 6300
0 -1 -1 0
$EndComp
Wire Wire Line
5600 6300 5700 6300
Wire Wire Line
5600 7150 5700 7150
$Comp
L GND #PWR05
U 1 1 58D43AF2
P 5700 7150
F 0 "#PWR05" H 5700 6900 50 0001 C CNN
F 1 "GND" H 5700 7000 50 0000 C CNN
F 2 "" H 5700 7150 50 0000 C CNN
F 3 "" H 5700 7150 50 0000 C CNN
1 5700 7150
0 -1 -1 0
$EndComp
$Sheet
S 7850 5900 750 450
S 7850 5250 750 450
U 58D51CAD
F0 "power" 60
F1 "power.sch" 60
@ -300,10 +199,10 @@ Wire Wire Line
Wire Wire Line
1050 6800 1400 6800
$Comp
L GND #PWR06
L GND #PWR02
U 1 1 58D58197
P 1050 6500
F 0 "#PWR06" H 1050 6250 50 0001 C CNN
F 0 "#PWR02" H 1050 6250 50 0001 C CNN
F 1 "GND" H 1050 6350 50 0000 C CNN
F 2 "" H 1050 6500 50 0000 C CNN
F 3 "" H 1050 6500 50 0000 C CNN
@ -311,10 +210,10 @@ F 3 "" H 1050 6500 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR07
L GND #PWR03
U 1 1 58D581BF
P 1050 6800
F 0 "#PWR07" H 1050 6550 50 0001 C CNN
F 0 "#PWR03" H 1050 6550 50 0001 C CNN
F 1 "GND" H 1050 6650 50 0000 C CNN
F 2 "" H 1050 6800 50 0000 C CNN
F 3 "" H 1050 6800 50 0000 C CNN
@ -330,10 +229,10 @@ Wire Wire Line
Text Label 3250 6500 0 60 ~ 0
SD_WP
$Comp
L GND #PWR08
L GND #PWR04
U 1 1 58D58733
P 3300 6900
F 0 "#PWR08" H 3300 6650 50 0001 C CNN
F 0 "#PWR04" H 3300 6650 50 0001 C CNN
F 1 "GND" H 3300 6750 50 0000 C CNN
F 2 "" H 3300 6900 50 0000 C CNN
F 3 "" H 3300 6900 50 0000 C CNN
@ -348,10 +247,10 @@ Wire Wire Line
3200 6800 3300 6800
Connection ~ 3300 6800
$Comp
L +3V3 #PWR09
L +3V3 #PWR05
U 1 1 58D5F08C
P 2250 4950
F 0 "#PWR09" H 2250 4800 50 0001 C CNN
F 0 "#PWR05" H 2250 4800 50 0001 C CNN
F 1 "+3V3" H 2250 5090 50 0000 C CNN
F 2 "" H 2250 4950 50 0000 C CNN
F 3 "" H 2250 4950 50 0000 C CNN
@ -359,61 +258,46 @@ F 3 "" H 2250 4950 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR010
L +3V3 #PWR06
U 1 1 58D5F123
P 900 6600
F 0 "#PWR010" H 900 6450 50 0001 C CNN
F 0 "#PWR06" H 900 6450 50 0001 C CNN
F 1 "+3V3" H 900 6740 50 0000 C CNN
F 2 "" H 900 6600 50 0000 C CNN
F 3 "" H 900 6600 50 0000 C CNN
1 900 6600
0 -1 -1 0
$EndComp
Text GLabel 5650 6000 2 60 Input ~ 0
USB_FTDI_DN
Text GLabel 5650 6100 2 60 Input ~ 0
USB_FTDI_DP
Wire Wire Line
5600 6000 5650 6000
Wire Wire Line
5600 6100 5650 6100
Text GLabel 5650 6850 2 60 Input ~ 0
USB_FPGA_DN
Text GLabel 5650 6950 2 60 Input ~ 0
USB_FPGA_DP
Wire Wire Line
5600 6850 5650 6850
Wire Wire Line
5600 6950 5650 6950
Wire Wire Line
5600 7050 5650 7050
NoConn ~ 5650 7050
Wire Wire Line
5600 6200 5650 6200
NoConn ~ 5650 6200
$Comp
L MT48LC16M4A2P IC1
U 1 1 58D5C787
P 9650 2100
F 0 "IC1" H 9050 3300 50 0000 C CNN
F 1 "MT48LC16M4A2P" H 10300 900 50 0000 C CNN
F 2 "TSOP54:TSOP54" V 10050 2100 50 0000 C CIN
F 3 "" H 9650 1850 50 0000 C CNN
1 9650 2100
1 0 0 -1
$EndComp
$Sheet
S 8700 5900 750 450
S 8700 5250 750 450
U 58D6547C
F0 "blinkey" 60
F1 "blinkey.sch" 60
$EndSheet
Text Notes 7000 5750 0 60 ~ 0
Text Notes 7000 5100 0 60 ~ 0
click on mouse pointer arrow on top of right toolbar\nand double-click on sheet to open
$Sheet
S 9550 5900 750 450
S 9550 5250 750 450
U 58D686D9
F0 "gpdi" 60
F1 "gpdi.sch" 60
$EndSheet
$Sheet
S 10400 5250 750 450
U 58D6BF46
F0 "usb" 60
F1 "usb.sch" 60
$EndSheet
$Sheet
S 7000 5900 750 450
U 58D6D447
F0 "wifi" 60
F1 "wifi.sch" 60
$EndSheet
$Sheet
S 7850 5900 750 450
U 58D6D507
F0 "ram" 60
F1 "ram.sch" 60
$EndSheet
$EndSCHEMATC

@ -0,0 +1,187 @@
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 6 8
Title "ULX3S"
Date ""
Rev "0.0.1"
Comp "FER+RIZ+RADIONA"
Comment1 "Root sheet"
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L D_Schottky_Small D8
U 1 1 58D6C83A
P 7500 1600
F 0 "D8" H 7450 1680 50 0000 L CNN
F 1 "2A" H 7450 1500 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 7500 1600 60 0001 C CNN
F 3 "" V 7500 1600 60 0000 C CNN
1 7500 1600
-1 0 0 1
$EndComp
Wire Wire Line
6650 1600 7400 1600
Wire Wire Line
7600 1600 7650 1600
$Comp
L +5V #PWR60
U 1 1 58D6C83B
P 7650 1600
F 0 "#PWR60" H 7650 1450 50 0001 C CNN
F 1 "+5V" H 7650 1740 50 0000 C CNN
F 2 "" H 7650 1600 60 0000 C CNN
F 3 "" H 7650 1600 60 0000 C CNN
1 7650 1600
0 1 1 0
$EndComp
Text Label 6700 1600 0 60 ~ 0
USB5V
Wire Wire Line
7600 2450 7700 2450
$Comp
L D_Schottky_Small D9
U 1 1 58D6C83C
P 7500 2450
F 0 "D9" H 7450 2530 50 0000 L CNN
F 1 "2A" H 7450 2350 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 7500 2450 60 0001 C CNN
F 3 "" V 7500 2450 60 0000 C CNN
1 7500 2450
1 0 0 -1
$EndComp
Wire Wire Line
6650 2450 7400 2450
$Comp
L +5V #PWR61
U 1 1 58D6C83D
P 7700 2450
F 0 "#PWR61" H 7700 2300 50 0001 C CNN
F 1 "+5V" H 7700 2590 50 0000 C CNN
F 2 "" H 7700 2450 60 0000 C CNN
F 3 "" H 7700 2450 60 0000 C CNN
1 7700 2450
0 1 1 0
$EndComp
$Comp
L USB_OTG US1
U 1 1 58D6C840
P 6350 1800
F 0 "US1" H 6675 1675 50 0000 C CNN
F 1 "USB_FTDI" H 6350 2000 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 6300 1700 50 0001 C CNN
F 3 "" V 6300 1700 50 0000 C CNN
1 6350 1800
0 -1 1 0
$EndComp
$Comp
L USB_OTG US2
U 1 1 58D6C841
P 6350 2650
F 0 "US2" H 6675 2525 50 0000 C CNN
F 1 "USB_FPGA" H 6350 2850 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 6300 2550 50 0001 C CNN
F 3 "" V 6300 2550 50 0000 C CNN
1 6350 2650
0 -1 1 0
$EndComp
$Comp
L GND #PWR58
U 1 1 58D6C842
P 6750 2000
F 0 "#PWR58" H 6750 1750 50 0001 C CNN
F 1 "GND" H 6750 1850 50 0000 C CNN
F 2 "" H 6750 2000 50 0000 C CNN
F 3 "" H 6750 2000 50 0000 C CNN
1 6750 2000
0 -1 -1 0
$EndComp
Wire Wire Line
6650 2000 6750 2000
Wire Wire Line
6650 2850 6750 2850
$Comp
L GND #PWR59
U 1 1 58D6C843
P 6750 2850
F 0 "#PWR59" H 6750 2600 50 0001 C CNN
F 1 "GND" H 6750 2700 50 0000 C CNN
F 2 "" H 6750 2850 50 0000 C CNN
F 3 "" H 6750 2850 50 0000 C CNN
1 6750 2850
0 -1 -1 0
$EndComp
Text GLabel 6700 1700 2 60 Input ~ 0
USB_FTDI_DN
Text GLabel 6700 1800 2 60 Input ~ 0
USB_FTDI_DP
Wire Wire Line
6650 1700 6700 1700
Wire Wire Line
6650 1800 6700 1800
Text GLabel 6700 2550 2 60 Input ~ 0
USB_FPGA_DN
Text GLabel 6700 2650 2 60 Input ~ 0
USB_FPGA_DP
Wire Wire Line
6650 2550 6700 2550
Wire Wire Line
6650 2650 6700 2650
Wire Wire Line
6650 2750 6700 2750
NoConn ~ 6700 2750
Wire Wire Line
6650 1900 6700 1900
NoConn ~ 6700 1900
$Comp
L FT2232H U6
U 1 1 58D6FD91
P 2950 3150
F 0 "U6" H 2950 3200 60 0000 C CNN
F 1 "FT2232H" H 2950 3100 60 0000 C CNN
F 2 "Housings_QFP:LQFP-64_14x14mm_Pitch0.8mm" H 2950 3150 60 0001 C CNN
F 3 "" H 2950 3150 60 0001 C CNN
1 2950 3150
1 0 0 -1
$EndComp
$EndSCHEMATC

@ -0,0 +1,187 @@
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 6 8
Title "ULX3S"
Date ""
Rev "0.0.1"
Comp "FER+RIZ+RADIONA"
Comment1 "Root sheet"
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L D_Schottky_Small D8
U 1 1 58D6C83A
P 7500 1600
F 0 "D8" H 7450 1680 50 0000 L CNN
F 1 "2A" H 7450 1500 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 7500 1600 60 0001 C CNN
F 3 "" V 7500 1600 60 0000 C CNN
1 7500 1600
-1 0 0 1
$EndComp
Wire Wire Line
6650 1600 7400 1600
Wire Wire Line
7600 1600 7650 1600
$Comp
L +5V #PWR058
U 1 1 58D6C83B
P 7650 1600
F 0 "#PWR058" H 7650 1450 50 0001 C CNN
F 1 "+5V" H 7650 1740 50 0000 C CNN
F 2 "" H 7650 1600 60 0000 C CNN
F 3 "" H 7650 1600 60 0000 C CNN
1 7650 1600
0 1 1 0
$EndComp
Text Label 6700 1600 0 60 ~ 0
USB5V
Wire Wire Line
7600 2450 7700 2450
$Comp
L D_Schottky_Small D9
U 1 1 58D6C83C
P 7500 2450
F 0 "D9" H 7450 2530 50 0000 L CNN
F 1 "2A" H 7450 2350 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 7500 2450 60 0001 C CNN
F 3 "" V 7500 2450 60 0000 C CNN
1 7500 2450
1 0 0 -1
$EndComp
Wire Wire Line
6650 2450 7400 2450
$Comp
L +5V #PWR059
U 1 1 58D6C83D
P 7700 2450
F 0 "#PWR059" H 7700 2300 50 0001 C CNN
F 1 "+5V" H 7700 2590 50 0000 C CNN
F 2 "" H 7700 2450 60 0000 C CNN
F 3 "" H 7700 2450 60 0000 C CNN
1 7700 2450
0 1 1 0
$EndComp
$Comp
L USB_OTG US1
U 1 1 58D6C840
P 6350 1800
F 0 "US1" H 6675 1675 50 0000 C CNN
F 1 "USB_FTDI" H 6350 2000 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 6300 1700 50 0001 C CNN
F 3 "" V 6300 1700 50 0000 C CNN
1 6350 1800
0 -1 1 0
$EndComp
$Comp
L USB_OTG US2
U 1 1 58D6C841
P 6350 2650
F 0 "US2" H 6675 2525 50 0000 C CNN
F 1 "USB_FPGA" H 6350 2850 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 6300 2550 50 0001 C CNN
F 3 "" V 6300 2550 50 0000 C CNN
1 6350 2650
0 -1 1 0
$EndComp
$Comp
L GND #PWR060
U 1 1 58D6C842
P 6750 2000
F 0 "#PWR060" H 6750 1750 50 0001 C CNN
F 1 "GND" H 6750 1850 50 0000 C CNN
F 2 "" H 6750 2000 50 0000 C CNN
F 3 "" H 6750 2000 50 0000 C CNN
1 6750 2000
0 -1 -1 0
$EndComp
Wire Wire Line
6650 2000 6750 2000
Wire Wire Line
6650 2850 6750 2850
$Comp
L GND #PWR061
U 1 1 58D6C843
P 6750 2850
F 0 "#PWR061" H 6750 2600 50 0001 C CNN
F 1 "GND" H 6750 2700 50 0000 C CNN
F 2 "" H 6750 2850 50 0000 C CNN
F 3 "" H 6750 2850 50 0000 C CNN
1 6750 2850
0 -1 -1 0
$EndComp
Text GLabel 6700 1700 2 60 Input ~ 0
USB_FTDI_DN
Text GLabel 6700 1800 2 60 Input ~ 0
USB_FTDI_DP
Wire Wire Line
6650 1700 6700 1700
Wire Wire Line
6650 1800 6700 1800
Text GLabel 6700 2550 2 60 Input ~ 0
USB_FPGA_DN
Text GLabel 6700 2650 2 60 Input ~ 0
USB_FPGA_DP
Wire Wire Line
6650 2550 6700 2550
Wire Wire Line
6650 2650 6700 2650
Wire Wire Line
6650 2750 6700 2750
NoConn ~ 6700 2750
Wire Wire Line
6650 1900 6700 1900
NoConn ~ 6700 1900
$Comp
L FT2232H U6
U 1 1 58D6FD91
P 2950 3150
F 0 "U6" H 2950 3200 60 0000 C CNN
F 1 "FT2232H" H 2950 3100 60 0000 C CNN
F 2 "Housings_DFN_QFN:QFN-64-1EP_9x9mm_Pitch0.5mm" H 2950 3150 60 0001 C CNN
F 3 "" H 2950 3150 60 0001 C CNN
1 2950 3150
1 0 0 -1
$EndComp
$EndSCHEMATC

@ -0,0 +1,52 @@
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 8
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$EndSCHEMATC

@ -0,0 +1,52 @@
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ap3429a
LIBS:ft2232
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 8
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$EndSCHEMATC
Loading…
Cancel
Save