gerbers update

pull/3/head
davor 6 years ago
parent af1ab538b0
commit 3917f845ae

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L4,Bot,Signal*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
@ -14,86 +14,86 @@ G04 APERTURE LIST*
G04 #@! TA.AperFunction,EtchedComponent*
%ADD10C,1.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD11C,2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD11R,1.800000X2.500000*%
%ADD12R,1.400000X1.295000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD12R,2.500000X1.800000*%
%ADD13R,2.500000X1.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD13R,1.400000X1.295000*%
%ADD14R,1.800000X2.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD14R,3.700000X3.500000*%
%ADD15R,3.700000X3.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,BGAPad,CuDef*
%ADD15C,9.000000*%
%ADD16C,9.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD16R,1.550000X0.600000*%
%ADD17R,1.550000X0.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD17O,1.550000X0.600000*%
%ADD18O,1.550000X0.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD18R,0.600000X2.100000*%
%ADD19R,0.600000X2.100000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD19O,0.600000X2.100000*%
%ADD20O,0.600000X2.100000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD20R,0.600000X1.550000*%
%ADD21R,0.600000X1.550000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD21O,0.600000X1.550000*%
%ADD22O,0.600000X1.550000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD22R,1.000000X0.400000*%
%ADD23R,1.000000X0.400000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD23R,0.700000X1.200000*%
%ADD24R,0.700000X1.200000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD24O,2.500000X0.900000*%
%ADD25O,2.500000X0.900000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD25O,0.900000X2.500000*%
%ADD26O,0.900000X2.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD26R,6.000000X6.000000*%
%ADD27R,6.000000X6.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD27O,1.727200X1.727200*%
%ADD28O,1.727200X1.727200*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD28R,1.727200X1.727200*%
%ADD29R,1.727200X1.727200*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD29C,5.500000*%
%ADD30C,5.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD30R,1.727200X2.032000*%
%ADD31R,1.727200X2.032000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD31O,1.727200X2.032000*%
%ADD32O,1.727200X2.032000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD32R,1.800000X1.400000*%
%ADD33R,1.800000X1.400000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD33R,0.970000X1.500000*%
%ADD34R,0.970000X1.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD34R,0.670000X1.000000*%
%ADD35R,0.670000X1.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD35R,1.500000X0.970000*%
%ADD36R,1.500000X0.970000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD36R,1.000000X0.670000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD37C,2.000000*%
%ADD37R,1.000000X0.670000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD38R,1.000000X1.000000*%
@ -190,26 +190,64 @@ G04 #@! TA.AperFunction,Conductor*
G04 #@! TD*
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,RD52*
X160155000Y-64391000D02*
X160155000Y-68391000D01*
G04 #@! TO.C,RD51*
X155710000Y-68518000D02*
X155710000Y-64518000D01*
G04 #@! TO.C,RD9*
X166854000Y-73630000D02*
X162854000Y-73630000D01*
G04 #@! TO.C,RP3*
X149472000Y-77311000D02*
X149472000Y-79311000D01*
G04 #@! TO.C,RP2*
X109609000Y-88632000D02*
X109609000Y-90632000D01*
G04 #@! TO.C,RP1*
X152281000Y-96361000D02*
X152281000Y-98361000D01*
G04 #@! TO.C,RP3*
X149472000Y-77311000D02*
X149472000Y-79311000D01*
G04 #@! TO.C,RD9*
X166854000Y-73630000D02*
X162854000Y-73630000D01*
G04 #@! TO.C,RD52*
X160155000Y-64391000D02*
X160155000Y-68391000D01*
G04 #@! TO.C,RD51*
X155710000Y-68518000D02*
X155710000Y-64518000D01*
G04 #@! TD*
D11*
G04 #@! TO.P,GPDI1,0*
G04 #@! TO.N,GND*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D12*
G04 #@! TO.P,RP3,2*
G04 #@! TO.N,+3V3*
X149472000Y-79278500D03*
G04 #@! TO.P,RP3,1*
G04 #@! TO.N,/power/P3V3*
X149472000Y-77343500D03*
G04 #@! TD*
G04 #@! TO.P,RP2,2*
G04 #@! TO.N,+2V5*
X109609000Y-90599500D03*
G04 #@! TO.P,RP2,1*
G04 #@! TO.N,/power/P2V5*
X109609000Y-88664500D03*
G04 #@! TD*
G04 #@! TO.P,RP1,2*
G04 #@! TO.N,+1V1*
X152281000Y-98328500D03*
G04 #@! TO.P,RP1,1*
G04 #@! TO.N,/power/P1V1*
X152281000Y-96393500D03*
G04 #@! TD*
D13*
G04 #@! TO.P,RD9,2*
G04 #@! TO.N,+5V*
X162854000Y-73630000D03*
G04 #@! TO.P,RD9,1*
G04 #@! TO.N,/usb/US2VBUS*
X166854000Y-73630000D03*
G04 #@! TD*
D14*
G04 #@! TO.P,RD52,2*
G04 #@! TO.N,+5V*
X160155000Y-68391000D03*
@ -224,37 +262,21 @@ G04 #@! TO.P,RD51,1*
G04 #@! TO.N,+5V*
X155710000Y-68518000D03*
G04 #@! TD*
D12*
G04 #@! TO.P,RD9,2*
G04 #@! TO.N,+5V*
X162854000Y-73630000D03*
G04 #@! TO.P,RD9,1*
G04 #@! TO.N,/usb/US2VBUS*
X166854000Y-73630000D03*
G04 #@! TD*
D13*
G04 #@! TO.P,RP2,2*
G04 #@! TO.N,+2V5*
X109609000Y-90599500D03*
G04 #@! TO.P,RP2,1*
G04 #@! TO.N,/power/P2V5*
X109609000Y-88664500D03*
G04 #@! TD*
D14*
D15*
G04 #@! TO.P,BAT1,1*
G04 #@! TO.N,/power/VBAT*
X172485000Y-105870000D03*
X156685000Y-105870000D03*
D15*
D16*
G04 #@! TO.P,BAT1,2*
G04 #@! TO.N,GND*
X164585000Y-105870000D03*
G04 #@! TD*
D16*
D17*
G04 #@! TO.P,U11,1*
G04 #@! TO.N,GND*
X141980000Y-67706500D03*
D17*
D18*
G04 #@! TO.P,U11,2*
G04 #@! TO.N,+2V5*
X141980000Y-66436500D03*
@ -277,11 +299,11 @@ G04 #@! TO.P,U11,8*
G04 #@! TO.N,+3V3*
X147380000Y-67706500D03*
G04 #@! TD*
D18*
D19*
G04 #@! TO.P,U10,1*
G04 #@! TO.N,/flash/FLASH_nCS*
X116340000Y-82520000D03*
D19*
D20*
G04 #@! TO.P,U10,2*
G04 #@! TO.N,/flash/FLASH_MISO*
X117610000Y-82520000D03*
@ -304,11 +326,11 @@ G04 #@! TO.P,U10,8*
G04 #@! TO.N,+3V3*
X116340000Y-89124000D03*
G04 #@! TD*
D20*
D21*
G04 #@! TO.P,U7,1*
G04 #@! TO.N,/power/OSCI_32k*
X175395000Y-96015000D03*
D21*
D22*
G04 #@! TO.P,U7,2*
G04 #@! TO.N,/power/OSCO_32k*
X174125000Y-96015000D03*
@ -331,7 +353,7 @@ G04 #@! TO.P,U7,8*
G04 #@! TO.N,/power/RTCVDD*
X175395000Y-90615000D03*
G04 #@! TD*
D22*
D23*
G04 #@! TO.P,U6,20*
G04 #@! TO.N,FTDI_TXD*
X129935000Y-104215000D03*
@ -393,7 +415,7 @@ G04 #@! TO.P,U6,1*
G04 #@! TO.N,FTDI_nDTR*
X135735000Y-104215000D03*
G04 #@! TD*
D23*
D24*
G04 #@! TO.P,U5,1*
G04 #@! TO.N,/power/PWREN*
X157285000Y-77392000D03*
@ -442,7 +464,7 @@ G04 #@! TO.P,U4,5*
G04 #@! TO.N,/power/FB2*
X104575000Y-83615000D03*
G04 #@! TD*
D24*
D25*
G04 #@! TO.P,U9,38*
G04 #@! TO.N,GND*
X126230000Y-111000000D03*
@ -485,7 +507,7 @@ X126230000Y-95760000D03*
G04 #@! TO.P,U9,25*
G04 #@! TO.N,WIFI_GPIO0*
X126230000Y-94490000D03*
D25*
D26*
G04 #@! TO.P,U9,24*
G04 #@! TO.N,SD_D0*
X122945000Y-93000000D03*
@ -516,7 +538,7 @@ X112785000Y-93000000D03*
G04 #@! TO.P,U9,15*
G04 #@! TO.N,GND*
X111515000Y-93000000D03*
D24*
D25*
G04 #@! TO.P,U9,14*
G04 #@! TO.N,SD_D2*
X108230000Y-94490000D03*
@ -559,23 +581,23 @@ X108230000Y-109730000D03*
G04 #@! TO.P,U9,1*
G04 #@! TO.N,GND*
X108230000Y-111000000D03*
D26*
D27*
G04 #@! TO.P,U9,39*
X116930000Y-103300000D03*
G04 #@! TD*
D27*
D28*
G04 #@! TO.P,J1,1*
G04 #@! TO.N,2V5_3V3*
X97910000Y-62690000D03*
G04 #@! TO.P,J1,2*
X95370000Y-62690000D03*
D28*
D29*
G04 #@! TO.P,J1,3*
G04 #@! TO.N,GND*
X97910000Y-65230000D03*
G04 #@! TO.P,J1,4*
X95370000Y-65230000D03*
D27*
D28*
G04 #@! TO.P,J1,5*
G04 #@! TO.N,GN0*
X97910000Y-67770000D03*
@ -623,13 +645,13 @@ G04 #@! TO.N,2V5_3V3*
X97910000Y-85550000D03*
G04 #@! TO.P,J1,20*
X95370000Y-85550000D03*
D28*
D29*
G04 #@! TO.P,J1,21*
G04 #@! TO.N,GND*
X97910000Y-88090000D03*
G04 #@! TO.P,J1,22*
X95370000Y-88090000D03*
D27*
D28*
G04 #@! TO.P,J1,23*
G04 #@! TO.N,GN7*
X97910000Y-90630000D03*
@ -672,13 +694,13 @@ X97910000Y-105870000D03*
G04 #@! TO.P,J1,36*
G04 #@! TO.N,GP13*
X95370000Y-105870000D03*
D28*
D29*
G04 #@! TO.P,J1,37*
G04 #@! TO.N,GND*
X97910000Y-108410000D03*
G04 #@! TO.P,J1,38*
X95370000Y-108410000D03*
D27*
D28*
G04 #@! TO.P,J1,39*
G04 #@! TO.N,2V5_3V3*
X97910000Y-110950000D03*
@ -690,13 +712,13 @@ G04 #@! TO.N,+3V3*
X184270000Y-110950000D03*
G04 #@! TO.P,J2,2*
X186810000Y-110950000D03*
D28*
D29*
G04 #@! TO.P,J2,3*
G04 #@! TO.N,GND*
X184270000Y-108410000D03*
G04 #@! TO.P,J2,4*
X186810000Y-108410000D03*
D27*
D28*
G04 #@! TO.P,J2,5*
G04 #@! TO.N,GN14*
X184270000Y-105870000D03*
@ -744,13 +766,13 @@ G04 #@! TO.N,+3V3*
X184270000Y-88090000D03*
G04 #@! TO.P,J2,20*
X186810000Y-88090000D03*
D28*
D29*
G04 #@! TO.P,J2,21*
G04 #@! TO.N,GND*
X184270000Y-85550000D03*
G04 #@! TO.P,J2,22*
X186810000Y-85550000D03*
D27*
D28*
G04 #@! TO.P,J2,23*
G04 #@! TO.N,GN21*
X184270000Y-83010000D03*
@ -793,13 +815,13 @@ X184270000Y-67770000D03*
G04 #@! TO.P,J2,36*
G04 #@! TO.N,GP27*
X186810000Y-67770000D03*
D28*
D29*
G04 #@! TO.P,J2,37*
G04 #@! TO.N,GND*
X184270000Y-65230000D03*
G04 #@! TO.P,J2,38*
X186810000Y-65230000D03*
D27*
D28*
G04 #@! TO.P,J2,39*
G04 #@! TO.N,/gpio/IN5V*
X184270000Y-62690000D03*
@ -807,7 +829,7 @@ G04 #@! TO.P,J2,40*
G04 #@! TO.N,/gpio/OUT5V*
X186810000Y-62690000D03*
G04 #@! TD*
D29*
D30*
G04 #@! TO.P,H1,1*
G04 #@! TO.N,GND*
X102990000Y-108410000D03*
@ -824,11 +846,11 @@ G04 #@! TO.P,H4,1*
G04 #@! TO.N,GND*
X102990000Y-65230000D03*
G04 #@! TD*
D28*
D29*
G04 #@! TO.P,J4,1*
G04 #@! TO.N,GND*
X140455000Y-104600000D03*
D27*
D28*
G04 #@! TO.P,J4,2*
G04 #@! TO.N,+3V3*
X137915000Y-104600000D03*
@ -845,11 +867,11 @@ G04 #@! TO.P,J4,6*
G04 #@! TO.N,JTAG_TDO*
X137915000Y-109680000D03*
G04 #@! TD*
D30*
D31*
G04 #@! TO.P,OLED1,1*
G04 #@! TO.N,GND*
X147440000Y-100790000D03*
D31*
D32*
G04 #@! TO.P,OLED1,2*
G04 #@! TO.N,+3V3*
X144900000Y-100790000D03*
@ -869,7 +891,7 @@ G04 #@! TO.P,OLED1,7*
G04 #@! TO.N,OLED_CS*
X132200000Y-100790000D03*
G04 #@! TD*
D32*
D33*
G04 #@! TO.P,Y2,4*
G04 #@! TO.N,/power/OSCI_32k*
X178576000Y-98522000D03*
@ -883,7 +905,7 @@ G04 #@! TO.P,Y2,1*
G04 #@! TO.N,/power/OSCO_32k*
X178576000Y-101322000D03*
G04 #@! TD*
D33*
D34*
G04 #@! TO.P,C47,1*
G04 #@! TO.N,2V5_3V3*
X133546000Y-68550000D03*
@ -898,7 +920,7 @@ G04 #@! TO.P,C1,2*
G04 #@! TO.N,GND*
X104658500Y-81885000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,C2,1*
G04 #@! TO.N,/power/P1V1*
X153985000Y-96910000D03*
@ -906,7 +928,7 @@ G04 #@! TO.P,C2,2*
G04 #@! TO.N,/power/FB1*
X155735000Y-96910000D03*
G04 #@! TD*
D33*
D34*
G04 #@! TO.P,C3,2*
G04 #@! TO.N,GND*
X156015000Y-90630000D03*
@ -921,7 +943,7 @@ G04 #@! TO.P,C4,2*
G04 #@! TO.N,GND*
X156015000Y-92535000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.P,C5,2*
G04 #@! TO.N,GND*
X163315000Y-90945000D03*
@ -929,7 +951,7 @@ G04 #@! TO.P,C5,1*
G04 #@! TO.N,+5V*
X163315000Y-92855000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,C6,1*
G04 #@! TO.N,/power/P3V3*
X151645000Y-82375000D03*
@ -937,7 +959,7 @@ G04 #@! TO.P,C6,2*
G04 #@! TO.N,/power/FB3*
X153395000Y-82375000D03*
G04 #@! TD*
D33*
D34*
G04 #@! TO.P,C7,2*
G04 #@! TO.N,GND*
X153475000Y-79200000D03*
@ -952,7 +974,7 @@ G04 #@! TO.P,C8,1*
G04 #@! TO.N,/power/P3V3*
X151565000Y-77295000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.P,C9,1*
G04 #@! TO.N,+5V*
X160775000Y-79520000D03*
@ -960,7 +982,7 @@ G04 #@! TO.P,C9,2*
G04 #@! TO.N,GND*
X160775000Y-77610000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,C10,2*
G04 #@! TO.N,/power/FB2*
X108465000Y-81105000D03*
@ -968,7 +990,7 @@ G04 #@! TO.P,C10,1*
G04 #@! TO.N,/power/P2V5*
X110215000Y-81105000D03*
G04 #@! TD*
D33*
D34*
G04 #@! TO.P,C11,2*
G04 #@! TO.N,GND*
X108385000Y-84280000D03*
@ -983,7 +1005,7 @@ G04 #@! TO.P,C12,2*
G04 #@! TO.N,GND*
X108385000Y-86185000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.P,C13,2*
G04 #@! TO.N,/power/WKUP*
X173221000Y-83833000D03*
@ -991,7 +1013,7 @@ G04 #@! TO.P,C13,1*
G04 #@! TO.N,+5V*
X173221000Y-85743000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,C14,2*
G04 #@! TO.N,GND*
X175380000Y-76900000D03*
@ -999,7 +1021,7 @@ G04 #@! TO.P,C14,1*
G04 #@! TO.N,/power/SHUT*
X175380000Y-75150000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.P,C15,1*
G04 #@! TO.N,/sdcard/SD3V3*
X105276000Y-99967000D03*
@ -1007,7 +1029,7 @@ G04 #@! TO.P,C15,2*
G04 #@! TO.N,GND*
X105276000Y-98057000D03*
G04 #@! TD*
D33*
D34*
G04 #@! TO.P,C16,1*
G04 #@! TO.N,+3V3*
X173424000Y-87473000D03*
@ -1015,7 +1037,7 @@ G04 #@! TO.P,C16,2*
G04 #@! TO.N,GND*
X175334000Y-87473000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.P,C17,1*
G04 #@! TO.N,+1V1*
X138500000Y-90665000D03*
@ -1023,7 +1045,7 @@ G04 #@! TO.P,C17,2*
G04 #@! TO.N,GND*
X138500000Y-92575000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,C18,1*
G04 #@! TO.N,/gpdi/VREF2*
X150589600Y-64359000D03*
@ -1031,7 +1053,7 @@ G04 #@! TO.P,C18,2*
G04 #@! TO.N,GND*
X150589600Y-62609000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.P,C19,1*
G04 #@! TO.N,+2V5*
X138500000Y-82375000D03*
@ -1046,7 +1068,7 @@ G04 #@! TO.P,C20,1*
G04 #@! TO.N,+3V3*
X138500000Y-85665000D03*
G04 #@! TD*
D33*
D34*
G04 #@! TO.P,C21,2*
G04 #@! TO.N,GND*
X103706000Y-93061000D03*
@ -1075,7 +1097,7 @@ G04 #@! TO.P,C24,2*
G04 #@! TO.N,GND*
X149279000Y-74882000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,C25,2*
G04 #@! TO.N,GND*
X140900000Y-87095000D03*
@ -1118,7 +1140,7 @@ G04 #@! TO.P,C30,2*
G04 #@! TO.N,GND*
X134900000Y-91895000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,C31,1*
G04 #@! TO.N,+3V3*
X135225000Y-88420000D03*
@ -1147,7 +1169,7 @@ G04 #@! TO.P,C34,2*
G04 #@! TO.N,GND*
X156625000Y-82220000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,C35,1*
G04 #@! TO.N,+3V3*
X177300000Y-94025000D03*
@ -1155,7 +1177,7 @@ G04 #@! TO.P,C35,2*
G04 #@! TO.N,GND*
X177300000Y-95775000D03*
G04 #@! TD*
D33*
D34*
G04 #@! TO.P,C46,1*
G04 #@! TO.N,+3V3*
X145342000Y-103584000D03*
@ -1163,7 +1185,7 @@ G04 #@! TO.P,C46,2*
G04 #@! TO.N,GND*
X147252000Y-103584000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,C48,2*
G04 #@! TO.N,GND*
X103995000Y-70963000D03*
@ -1178,7 +1200,7 @@ G04 #@! TO.P,C49,1*
G04 #@! TO.N,2V5_3V3*
X101622000Y-91156000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,C50,1*
G04 #@! TO.N,+3V3*
X179713000Y-88856000D03*
@ -1186,7 +1208,7 @@ G04 #@! TO.P,C50,2*
G04 #@! TO.N,GND*
X179713000Y-87106000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,C51,1*
G04 #@! TO.N,+3V3*
X180473000Y-103856000D03*
@ -1208,7 +1230,7 @@ G04 #@! TO.P,C53,1*
G04 #@! TO.N,2V5_3V3*
X134577200Y-86330000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.P,C54,2*
G04 #@! TO.N,GND*
X169172000Y-95281000D03*
@ -1223,14 +1245,6 @@ G04 #@! TO.P,D11,2*
G04 #@! TO.N,+3V3*
X179190000Y-78880000D03*
G04 #@! TD*
D37*
G04 #@! TO.P,GPDI1,0*
G04 #@! TO.N,GND*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D38*
G04 #@! TO.P,D10,1*
G04 #@! TO.N,/power/WAKE*
@ -1328,7 +1342,7 @@ G04 #@! TO.P,AE1,1*
G04 #@! TO.N,/usb/ANT_433MHz*
X181872000Y-111603000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R49,1*
G04 #@! TO.N,USB_FTDI_D-*
X113277000Y-74360000D03*
@ -1343,7 +1357,7 @@ G04 #@! TO.P,R50,1*
G04 #@! TO.N,USB_FTDI_D+*
X111372000Y-74360000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R51,2*
G04 #@! TO.N,/blinkey/SWPU*
X155455000Y-72487000D03*
@ -1351,7 +1365,7 @@ G04 #@! TO.P,R51,1*
G04 #@! TO.N,2V5_3V3*
X157205000Y-72487000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R52,2*
G04 #@! TO.N,/usb/FPD-*
X171331000Y-66278000D03*
@ -1366,7 +1380,7 @@ G04 #@! TO.P,R53,2*
G04 #@! TO.N,/usb/FPD+*
X169919000Y-66278000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R54,2*
G04 #@! TO.N,Net-(D26-Pad1)*
X174477000Y-65502000D03*
@ -1374,7 +1388,7 @@ G04 #@! TO.P,R54,1*
G04 #@! TO.N,USB_FPGA_D-*
X172727000Y-65502000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R56,1*
G04 #@! TO.N,GND*
X128390000Y-106321000D03*
@ -1410,7 +1424,7 @@ G04 #@! TO.P,R60,2*
G04 #@! TO.N,/analog/AUDIO_V*
X122294000Y-72483000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R61,1*
G04 #@! TO.N,GPDI_CEC*
X145655000Y-69900000D03*
@ -1439,7 +1453,7 @@ G04 #@! TO.P,J5,3*
G04 #@! TO.N,+3V3*
X135136000Y-71725000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R40,1*
G04 #@! TO.N,Net-(D24-Pad1)*
X166631000Y-65738000D03*
@ -1447,7 +1461,7 @@ G04 #@! TO.P,R40,2*
G04 #@! TO.N,USB_FPGA_D+*
X168381000Y-65738000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R55,1*
G04 #@! TO.N,/flash/FPGA_DONE*
X134740000Y-96740000D03*
@ -1455,7 +1469,7 @@ G04 #@! TO.P,R55,2*
G04 #@! TO.N,PROG_DONE*
X134740000Y-98490000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.P,C55,1*
G04 #@! TO.N,/power/RTCVDD*
X179078000Y-90963000D03*
@ -1463,7 +1477,7 @@ G04 #@! TO.P,C55,2*
G04 #@! TO.N,GND*
X179078000Y-92873000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R65,1*
G04 #@! TO.N,+3V3*
X177300000Y-92793000D03*
@ -1493,7 +1507,7 @@ G04 #@! TO.P,L3,1*
G04 #@! TO.N,/power/L3*
X157600000Y-74755000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R1,2*
G04 #@! TO.N,/power/PWREN*
X171175000Y-82375000D03*
@ -1501,7 +1515,7 @@ G04 #@! TO.P,R1,1*
G04 #@! TO.N,/power/WAKE*
X169425000Y-82375000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R2,2*
G04 #@! TO.N,GND*
X172840000Y-78960000D03*
@ -1516,7 +1530,7 @@ G04 #@! TO.P,R3,2*
G04 #@! TO.N,/power/PWRBTn*
X162045000Y-69435000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R4,1*
G04 #@! TO.N,/power/HOLD*
X176890000Y-80470000D03*
@ -1524,7 +1538,7 @@ G04 #@! TO.P,R4,2*
G04 #@! TO.N,/power/PWREN*
X175140000Y-80470000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R5,1*
G04 #@! TO.N,/power/SHUT*
X174110000Y-75150000D03*
@ -1560,7 +1574,7 @@ G04 #@! TO.P,R9,1*
G04 #@! TO.N,nRESET*
X128390000Y-108805000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R10,2*
G04 #@! TO.N,FTDI_nSLEEP*
X151264000Y-103076000D03*
@ -1568,7 +1582,7 @@ G04 #@! TO.P,R10,1*
G04 #@! TO.N,/power/FTDI_nSUSPEND*
X153014000Y-103076000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R11,2*
G04 #@! TO.N,/flash/FLASH_nWP*
X119515000Y-80093000D03*
@ -1583,7 +1597,7 @@ G04 #@! TO.P,R12,2*
G04 #@! TO.N,/flash/FLASH_nHOLD*
X114308000Y-87469000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R13,2*
G04 #@! TO.N,GND*
X175140000Y-78565000D03*
@ -1619,7 +1633,7 @@ G04 #@! TO.P,R17,2*
G04 #@! TO.N,/analog/AUDIO_L*
X124721000Y-80470000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R18,2*
G04 #@! TO.N,/analog/AUDIO_R*
X130422000Y-73898000D03*
@ -1669,7 +1683,7 @@ G04 #@! TO.P,R24,2*
G04 #@! TO.N,/gpdi/VREF2*
X150615000Y-65897000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R25,2*
G04 #@! TO.N,GPDI_SCL*
X148300000Y-72487000D03*
@ -1684,7 +1698,7 @@ G04 #@! TO.P,R26,2*
G04 #@! TO.N,GPDI_SDA*
X148612000Y-69693000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R27,2*
G04 #@! TO.N,/flash/FLASH_MOSI*
X129025000Y-95300000D03*
@ -1713,7 +1727,7 @@ G04 #@! TO.P,R30,2*
G04 #@! TO.N,/flash/FLASH_nCS*
X115690000Y-80093000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R31,2*
G04 #@! TO.N,/flash/FPGA_PROGRAMN*
X142755000Y-98250000D03*
@ -1721,7 +1735,7 @@ G04 #@! TO.P,R31,1*
G04 #@! TO.N,+3V3*
X144505000Y-98250000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R32,1*
G04 #@! TO.N,+3V3*
X132835000Y-98490000D03*
@ -1736,7 +1750,7 @@ G04 #@! TO.P,R33,1*
G04 #@! TO.N,+3V3*
X130930000Y-98490000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R34,1*
G04 #@! TO.N,+3V3*
X102115000Y-103600000D03*
@ -1751,7 +1765,7 @@ G04 #@! TO.P,R35,1*
G04 #@! TO.N,WIFI_EN*
X103865000Y-102060000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,R38,1*
G04 #@! TO.N,/sdcard/SD3V3*
X103576500Y-99905000D03*
@ -1759,7 +1773,7 @@ G04 #@! TO.P,R38,2*
G04 #@! TO.N,+3V3*
X103576500Y-98155000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.P,R39,1*
G04 #@! TO.N,+3V3*
X164190000Y-96345000D03*
@ -1802,7 +1816,7 @@ G04 #@! TO.P,RA3,2*
G04 #@! TO.N,/power/FB3*
X153395000Y-81105000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.P,RB1,2*
G04 #@! TO.N,/power/FB1*
X158235000Y-92775000D03*
@ -1824,7 +1838,7 @@ G04 #@! TO.P,RB3,1*
G04 #@! TO.N,GND*
X155695000Y-77690000D03*
G04 #@! TD*
D12*
D13*
G04 #@! TO.P,D8,1*
G04 #@! TO.N,+5V*
X110149000Y-70074000D03*
@ -1902,7 +1916,7 @@ G04 #@! TO.P,C59,2*
G04 #@! TO.N,GND*
X166251000Y-87562000D03*
G04 #@! TD*
D13*
D12*
G04 #@! TO.P,L4,1*
G04 #@! TO.N,+3V3*
X169807000Y-87394500D03*
@ -1910,20 +1924,6 @@ G04 #@! TO.P,L4,2*
G04 #@! TO.N,/analog/ADC3V3*
X169807000Y-89329500D03*
G04 #@! TD*
G04 #@! TO.P,RP1,2*
G04 #@! TO.N,+1V1*
X152281000Y-98328500D03*
G04 #@! TO.P,RP1,1*
G04 #@! TO.N,/power/P1V1*
X152281000Y-96393500D03*
G04 #@! TD*
G04 #@! TO.P,RP3,1*
G04 #@! TO.N,/power/P3V3*
X149472000Y-77343500D03*
G04 #@! TO.P,RP3,2*
G04 #@! TO.N,+3V3*
X149472000Y-79278500D03*
G04 #@! TD*
D48*
G04 #@! TO.N,*
X124632693Y-93120351D03*
@ -22363,8 +22363,7 @@ X154803367Y-70859000D01*
X154855657Y-70869401D01*
X154907947Y-70859000D01*
X155788774Y-70859000D01*
X155788773Y-71522468D01*
X155788773Y-71522473D01*
X155788773Y-71522472D01*
X155778372Y-71574763D01*
X155783101Y-71598536D01*
X155120000Y-71598536D01*
@ -22873,8 +22872,7 @@ X154803367Y-70859000D01*
X154855657Y-70869401D01*
X154907947Y-70859000D01*
X155788774Y-70859000D01*
X155788773Y-71522468D01*
X155788773Y-71522473D01*
X155788773Y-71522472D01*
X155778372Y-71574763D01*
X155783101Y-71598536D01*
X155120000Y-71598536D01*

@ -1,45 +1,45 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,1.200000*%
%ADD11R,1.800000X2.500000*%
%ADD12R,2.500000X1.800000*%
%ADD13R,1.400000X1.295000*%
%ADD14R,3.700000X3.500000*%
%ADD15C,9.100000*%
%ADD16R,1.550000X0.600000*%
%ADD17O,1.650000X0.700000*%
%ADD18R,0.600000X2.100000*%
%ADD19O,0.700000X2.200000*%
%ADD20R,0.600000X1.550000*%
%ADD21O,0.700000X1.650000*%
%ADD22R,1.000000X0.400000*%
%ADD23R,0.700000X1.200000*%
%ADD24O,2.600000X1.000000*%
%ADD25O,1.000000X2.600000*%
%ADD26R,6.000000X6.000000*%
%ADD27O,1.827200X1.827200*%
%ADD28R,1.727200X1.727200*%
%ADD29C,5.600000*%
%ADD30R,1.727200X2.032000*%
%ADD31O,1.827200X2.132000*%
%ADD32C,1.800000*%
%ADD33R,1.800000X1.400000*%
%ADD34R,0.970000X1.500000*%
%ADD35R,0.670000X1.000000*%
%ADD36R,1.500000X0.970000*%
%ADD37R,1.000000X0.670000*%
%ADD38C,2.100000*%
%ADD11C,2.100000*%
%ADD12R,1.400000X1.295000*%
%ADD13R,2.500000X1.800000*%
%ADD14R,1.800000X2.500000*%
%ADD15R,3.700000X3.500000*%
%ADD16C,9.100000*%
%ADD17R,1.550000X0.600000*%
%ADD18O,1.650000X0.700000*%
%ADD19R,0.600000X2.100000*%
%ADD20O,0.700000X2.200000*%
%ADD21R,0.600000X1.550000*%
%ADD22O,0.700000X1.650000*%
%ADD23R,1.000000X0.400000*%
%ADD24R,0.700000X1.200000*%
%ADD25O,2.600000X1.000000*%
%ADD26O,1.000000X2.600000*%
%ADD27R,6.000000X6.000000*%
%ADD28O,1.827200X1.827200*%
%ADD29R,1.727200X1.727200*%
%ADD30C,5.600000*%
%ADD31R,1.727200X2.032000*%
%ADD32O,1.827200X2.132000*%
%ADD33C,1.800000*%
%ADD34R,1.800000X1.400000*%
%ADD35R,0.970000X1.500000*%
%ADD36R,0.670000X1.000000*%
%ADD37R,1.500000X0.970000*%
%ADD38R,1.000000X0.670000*%
%ADD39R,1.000000X1.000000*%
%ADD40C,0.400000*%
%ADD41R,1.700000X1.700000*%
@ -52,26 +52,51 @@ G04 APERTURE LIST*
%ADD48R,1.400000X1.120000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,RP3*
X149472000Y-77311000D02*
X149472000Y-79311000D01*
G04 #@! TO.C,RP2*
X109609000Y-88632000D02*
X109609000Y-90632000D01*
G04 #@! TO.C,RP1*
X152281000Y-96361000D02*
X152281000Y-98361000D01*
G04 #@! TO.C,RD9*
X166854000Y-73630000D02*
X162854000Y-73630000D01*
G04 #@! TO.C,RD52*
X160155000Y-64391000D02*
X160155000Y-68391000D01*
G04 #@! TO.C,RD51*
X155710000Y-68518000D02*
X155710000Y-64518000D01*
G04 #@! TO.C,RD9*
X166854000Y-73630000D02*
X162854000Y-73630000D01*
G04 #@! TD*
D11*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D12*
G04 #@! TO.C,RP3*
X149472000Y-79278500D03*
X149472000Y-77343500D03*
G04 #@! TD*
G04 #@! TO.C,RP2*
X109609000Y-88632000D02*
X109609000Y-90632000D01*
X109609000Y-90599500D03*
X109609000Y-88664500D03*
G04 #@! TD*
G04 #@! TO.C,RP1*
X152281000Y-96361000D02*
X152281000Y-98361000D01*
G04 #@! TO.C,RP3*
X149472000Y-77311000D02*
X149472000Y-79311000D01*
X152281000Y-98328500D03*
X152281000Y-96393500D03*
G04 #@! TD*
D11*
D13*
G04 #@! TO.C,RD9*
X162854000Y-73630000D03*
X166854000Y-73630000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,RD52*
X160155000Y-68391000D03*
X160155000Y-64391000D03*
@ -80,27 +105,17 @@ G04 #@! TO.C,RD51*
X155710000Y-64518000D03*
X155710000Y-68518000D03*
G04 #@! TD*
D12*
G04 #@! TO.C,RD9*
X162854000Y-73630000D03*
X166854000Y-73630000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,RP2*
X109609000Y-90599500D03*
X109609000Y-88664500D03*
G04 #@! TD*
D14*
D15*
G04 #@! TO.C,BAT1*
X172485000Y-105870000D03*
X156685000Y-105870000D03*
D15*
D16*
X164585000Y-105870000D03*
G04 #@! TD*
D16*
D17*
G04 #@! TO.C,U11*
X141980000Y-67706500D03*
D17*
D18*
X141980000Y-66436500D03*
X141980000Y-65166500D03*
X141980000Y-63896500D03*
@ -109,10 +124,10 @@ X147380000Y-65166500D03*
X147380000Y-66436500D03*
X147380000Y-67706500D03*
G04 #@! TD*
D18*
D19*
G04 #@! TO.C,U10*
X116340000Y-82520000D03*
D19*
D20*
X117610000Y-82520000D03*
X118880000Y-82520000D03*
X120150000Y-82520000D03*
@ -121,10 +136,10 @@ X118880000Y-89124000D03*
X117610000Y-89124000D03*
X116340000Y-89124000D03*
G04 #@! TD*
D20*
D21*
G04 #@! TO.C,U7*
X175395000Y-96015000D03*
D21*
D22*
X174125000Y-96015000D03*
X172855000Y-96015000D03*
X171585000Y-96015000D03*
@ -133,7 +148,7 @@ X172855000Y-90615000D03*
X174125000Y-90615000D03*
X175395000Y-90615000D03*
G04 #@! TD*
D22*
D23*
G04 #@! TO.C,U6*
X129935000Y-104215000D03*
X129935000Y-104865000D03*
@ -156,7 +171,7 @@ X135735000Y-105515000D03*
X135735000Y-104865000D03*
X135735000Y-104215000D03*
G04 #@! TD*
D23*
D24*
G04 #@! TO.C,U5*
X157285000Y-77392000D03*
X158235000Y-77392000D03*
@ -178,7 +193,7 @@ X102675000Y-86215000D03*
X102675000Y-83615000D03*
X104575000Y-83615000D03*
G04 #@! TD*
D24*
D25*
G04 #@! TO.C,U9*
X126230000Y-111000000D03*
X126230000Y-109730000D03*
@ -194,7 +209,7 @@ X126230000Y-98300000D03*
X126230000Y-97030000D03*
X126230000Y-95760000D03*
X126230000Y-94490000D03*
D25*
D26*
X122945000Y-93000000D03*
X121675000Y-93000000D03*
X120405000Y-93000000D03*
@ -205,7 +220,7 @@ X115325000Y-93000000D03*
X114055000Y-93000000D03*
X112785000Y-93000000D03*
X111515000Y-93000000D03*
D24*
D25*
X108230000Y-94490000D03*
X108230000Y-95760000D03*
X108230000Y-97030000D03*
@ -220,17 +235,17 @@ X108230000Y-107190000D03*
X108230000Y-108460000D03*
X108230000Y-109730000D03*
X108230000Y-111000000D03*
D26*
D27*
X116930000Y-103300000D03*
G04 #@! TD*
D27*
D28*
G04 #@! TO.C,J1*
X97910000Y-62690000D03*
X95370000Y-62690000D03*
D28*
D29*
X97910000Y-65230000D03*
X95370000Y-65230000D03*
D27*
D28*
X97910000Y-67770000D03*
X95370000Y-67770000D03*
X97910000Y-70310000D03*
@ -247,10 +262,10 @@ X97910000Y-83010000D03*
X95370000Y-83010000D03*
X97910000Y-85550000D03*
X95370000Y-85550000D03*
D28*
D29*
X97910000Y-88090000D03*
X95370000Y-88090000D03*
D27*
D28*
X97910000Y-90630000D03*
X95370000Y-90630000D03*
X97910000Y-93170000D03*
@ -265,20 +280,20 @@ X97910000Y-103330000D03*
X95370000Y-103330000D03*
X97910000Y-105870000D03*
X95370000Y-105870000D03*
D28*
D29*
X97910000Y-108410000D03*
X95370000Y-108410000D03*
D27*
D28*
X97910000Y-110950000D03*
X95370000Y-110950000D03*
G04 #@! TD*
G04 #@! TO.C,J2*
X184270000Y-110950000D03*
X186810000Y-110950000D03*
D28*
D29*
X184270000Y-108410000D03*
X186810000Y-108410000D03*
D27*
D28*
X184270000Y-105870000D03*
X186810000Y-105870000D03*
X184270000Y-103330000D03*
@ -295,10 +310,10 @@ X184270000Y-90630000D03*
X186810000Y-90630000D03*
X184270000Y-88090000D03*
X186810000Y-88090000D03*
D28*
D29*
X184270000Y-85550000D03*
X186810000Y-85550000D03*
D27*
D28*
X184270000Y-83010000D03*
X186810000Y-83010000D03*
X184270000Y-80470000D03*
@ -313,14 +328,14 @@ X184270000Y-70310000D03*
X186810000Y-70310000D03*
X184270000Y-67770000D03*
X186810000Y-67770000D03*
D28*
D29*
X184270000Y-65230000D03*
X186810000Y-65230000D03*
D27*
D28*
X184270000Y-62690000D03*
X186810000Y-62690000D03*
G04 #@! TD*
D29*
D30*
G04 #@! TO.C,H1*
X102990000Y-108410000D03*
G04 #@! TD*
@ -333,20 +348,20 @@ G04 #@! TD*
G04 #@! TO.C,H4*
X102990000Y-65230000D03*
G04 #@! TD*
D28*
D29*
G04 #@! TO.C,J4*
X140455000Y-104600000D03*
D27*
D28*
X137915000Y-104600000D03*
X140455000Y-107140000D03*
X137915000Y-107140000D03*
X140455000Y-109680000D03*
X137915000Y-109680000D03*
G04 #@! TD*
D30*
D31*
G04 #@! TO.C,OLED1*
X147440000Y-100790000D03*
D31*
D32*
X144900000Y-100790000D03*
X142360000Y-100790000D03*
X139820000Y-100790000D03*
@ -354,19 +369,19 @@ X137280000Y-100790000D03*
X134740000Y-100790000D03*
X132200000Y-100790000D03*
G04 #@! TD*
D32*
D33*
G04 #@! TO.C,AUDIO1*
X124468000Y-66518000D03*
X124468000Y-73518000D03*
G04 #@! TD*
D33*
D34*
G04 #@! TO.C,Y2*
X178576000Y-98522000D03*
X172976000Y-98522000D03*
X172976000Y-101322000D03*
X178576000Y-101322000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.C,C47*
X133546000Y-68550000D03*
X135456000Y-68550000D03*
@ -375,12 +390,12 @@ G04 #@! TO.C,C1*
X102748500Y-81885000D03*
X104658500Y-81885000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,C2*
X153985000Y-96910000D03*
X155735000Y-96910000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.C,C3*
X156015000Y-90630000D03*
X154105000Y-90630000D03*
@ -389,17 +404,17 @@ G04 #@! TO.C,C4*
X154105000Y-92535000D03*
X156015000Y-92535000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,C5*
X163315000Y-90945000D03*
X163315000Y-92855000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,C6*
X151645000Y-82375000D03*
X153395000Y-82375000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.C,C7*
X153475000Y-79200000D03*
X151565000Y-79200000D03*
@ -408,17 +423,17 @@ G04 #@! TO.C,C8*
X153475000Y-77295000D03*
X151565000Y-77295000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,C9*
X160775000Y-79520000D03*
X160775000Y-77610000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,C10*
X108465000Y-81105000D03*
X110215000Y-81105000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.C,C11*
X108385000Y-84280000D03*
X110295000Y-84280000D03*
@ -427,37 +442,37 @@ G04 #@! TO.C,C12*
X110295000Y-86185000D03*
X108385000Y-86185000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,C13*
X173221000Y-83833000D03*
X173221000Y-85743000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,C14*
X175380000Y-76900000D03*
X175380000Y-75150000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,C15*
X105276000Y-99967000D03*
X105276000Y-98057000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.C,C16*
X173424000Y-87473000D03*
X175334000Y-87473000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,C17*
X138500000Y-90665000D03*
X138500000Y-92575000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,C18*
X150589600Y-64359000D03*
X150589600Y-62609000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,C19*
X138500000Y-82375000D03*
X138500000Y-80465000D03*
@ -466,7 +481,7 @@ G04 #@! TO.C,C20*
X138500000Y-87575000D03*
X138500000Y-85665000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.C,C21*
X103706000Y-93061000D03*
X101796000Y-93061000D03*
@ -483,7 +498,7 @@ G04 #@! TO.C,C24*
X151189000Y-74882000D03*
X149279000Y-74882000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,C25*
X140900000Y-87095000D03*
X140900000Y-85345000D03*
@ -508,7 +523,7 @@ G04 #@! TO.C,C30*
X134900000Y-90145000D03*
X134900000Y-91895000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,C31*
X135225000Y-88420000D03*
X136975000Y-88420000D03*
@ -525,17 +540,17 @@ G04 #@! TO.C,C34*
X158375000Y-82220000D03*
X156625000Y-82220000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,C35*
X177300000Y-94025000D03*
X177300000Y-95775000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.C,C46*
X145342000Y-103584000D03*
X147252000Y-103584000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,C48*
X103995000Y-70963000D03*
X102245000Y-70963000D03*
@ -544,12 +559,12 @@ G04 #@! TO.C,C49*
X103372000Y-91156000D03*
X101622000Y-91156000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,C50*
X179713000Y-88856000D03*
X179713000Y-87106000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,C51*
X180473000Y-103856000D03*
X178723000Y-103856000D03*
@ -562,7 +577,7 @@ G04 #@! TO.C,C53*
X132827200Y-86330000D03*
X134577200Y-86330000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,C54*
X169172000Y-95281000D03*
X169172000Y-97191000D03*
@ -571,13 +586,6 @@ G04 #@! TO.C,D11*
X179190000Y-80790000D03*
X179190000Y-78880000D03*
G04 #@! TD*
D38*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D39*
G04 #@! TO.C,D10*
X169050000Y-84280000D03*
@ -635,7 +643,7 @@ D40*
G04 #@! TO.C,AE1*
X181872000Y-111603000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R49*
X113277000Y-74360000D03*
X113277000Y-72610000D03*
@ -644,12 +652,12 @@ G04 #@! TO.C,R50*
X111372000Y-72610000D03*
X111372000Y-74360000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R51*
X155455000Y-72487000D03*
X157205000Y-72487000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R52*
X171331000Y-66278000D03*
X171331000Y-68028000D03*
@ -658,12 +666,12 @@ G04 #@! TO.C,R53*
X169919000Y-68028000D03*
X169919000Y-66278000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R54*
X174477000Y-65502000D03*
X172727000Y-65502000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R56*
X128390000Y-106321000D03*
X128390000Y-104571000D03*
@ -684,7 +692,7 @@ G04 #@! TO.C,R60*
X122294000Y-74233000D03*
X122294000Y-72483000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R61*
X145655000Y-69900000D03*
X143905000Y-69900000D03*
@ -702,22 +710,22 @@ D42*
X132596000Y-71725000D03*
X135136000Y-71725000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R40*
X166631000Y-65738000D03*
X168381000Y-65738000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R55*
X134740000Y-96740000D03*
X134740000Y-98490000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,C55*
X179078000Y-90963000D03*
X179078000Y-92873000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R65*
X177300000Y-92793000D03*
X177300000Y-91043000D03*
@ -735,12 +743,12 @@ G04 #@! TO.C,L3*
X155060000Y-74755000D03*
X157600000Y-74755000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R1*
X171175000Y-82375000D03*
X169425000Y-82375000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R2*
X172840000Y-78960000D03*
X172840000Y-80710000D03*
@ -749,12 +757,12 @@ G04 #@! TO.C,R3*
X162045000Y-71185000D03*
X162045000Y-69435000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R4*
X176890000Y-80470000D03*
X175140000Y-80470000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R5*
X174110000Y-75150000D03*
X174110000Y-76900000D03*
@ -775,12 +783,12 @@ G04 #@! TO.C,R9*
X128390000Y-110555000D03*
X128390000Y-108805000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R10*
X151264000Y-103076000D03*
X153014000Y-103076000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R11*
X119515000Y-80093000D03*
X119515000Y-78343000D03*
@ -789,7 +797,7 @@ G04 #@! TO.C,R12*
X114308000Y-89219000D03*
X114308000Y-87469000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R13*
X175140000Y-78565000D03*
X176890000Y-78565000D03*
@ -810,7 +818,7 @@ G04 #@! TO.C,R17*
X126471000Y-80470000D03*
X124721000Y-80470000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R18*
X130422000Y-73898000D03*
X130422000Y-75648000D03*
@ -839,7 +847,7 @@ G04 #@! TO.C,R24*
X150615000Y-67647000D03*
X150615000Y-65897000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R25*
X148300000Y-72487000D03*
X150050000Y-72487000D03*
@ -848,7 +856,7 @@ G04 #@! TO.C,R26*
X150362000Y-69693000D03*
X148612000Y-69693000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R27*
X129025000Y-95300000D03*
X129025000Y-97050000D03*
@ -865,12 +873,12 @@ G04 #@! TO.C,R30*
X115690000Y-78343000D03*
X115690000Y-80093000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R31*
X142755000Y-98250000D03*
X144505000Y-98250000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R32*
X132835000Y-98490000D03*
X132835000Y-96740000D03*
@ -879,7 +887,7 @@ G04 #@! TO.C,R33*
X130930000Y-96740000D03*
X130930000Y-98490000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R34*
X102115000Y-103600000D03*
X103865000Y-103600000D03*
@ -888,12 +896,12 @@ G04 #@! TO.C,R35*
X102115000Y-102060000D03*
X103865000Y-102060000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,R38*
X103576500Y-99905000D03*
X103576500Y-98155000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,R39*
X164190000Y-96345000D03*
X162440000Y-96345000D03*
@ -918,7 +926,7 @@ G04 #@! TO.C,RA3*
X151645000Y-81105000D03*
X153395000Y-81105000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,RB1*
X158235000Y-92775000D03*
X158235000Y-91025000D03*
@ -931,7 +939,7 @@ G04 #@! TO.C,RB3*
X155695000Y-79440000D03*
X155695000Y-77690000D03*
G04 #@! TD*
D12*
D13*
G04 #@! TO.C,D8*
X110149000Y-70074000D03*
X114149000Y-70074000D03*
@ -978,17 +986,9 @@ G04 #@! TO.C,C59*
X166251000Y-89162000D03*
X166251000Y-87562000D03*
G04 #@! TD*
D13*
D12*
G04 #@! TO.C,L4*
X169807000Y-87394500D03*
X169807000Y-89329500D03*
G04 #@! TD*
G04 #@! TO.C,RP1*
X152281000Y-98328500D03*
X152281000Y-96393500D03*
G04 #@! TD*
G04 #@! TO.C,RP3*
X149472000Y-77343500D03*
X149472000Y-79278500D03*
G04 #@! TD*
M02*

@ -1,60 +1,46 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.900000*%
%ADD11C,0.350000*%
%ADD12R,1.800000X2.500000*%
%ADD13R,2.500000X1.800000*%
%ADD14R,1.400000X1.295000*%
%ADD15R,3.700000X3.500000*%
%ADD16R,1.550000X0.600000*%
%ADD17O,1.550000X0.600000*%
%ADD18R,0.600000X2.100000*%
%ADD19O,0.600000X2.100000*%
%ADD20R,0.600000X1.550000*%
%ADD21O,0.600000X1.550000*%
%ADD22R,1.000000X0.400000*%
%ADD23R,0.700000X1.200000*%
%ADD24O,2.500000X0.900000*%
%ADD25O,0.900000X2.500000*%
%ADD26R,6.000000X6.000000*%
%ADD27R,1.800000X1.400000*%
%ADD28R,0.970000X1.500000*%
%ADD29R,0.670000X1.000000*%
%ADD30R,1.500000X0.970000*%
%ADD31R,1.000000X0.670000*%
%ADD32R,1.000000X1.000000*%
%ADD33R,1.500000X2.700000*%
%ADD34R,0.800000X0.900000*%
%ADD35R,0.900000X0.800000*%
%ADD36R,0.820000X1.000000*%
%ADD37R,1.000000X0.820000*%
%ADD38R,1.400000X1.120000*%
%ADD10C,0.350000*%
%ADD11C,2.000000*%
%ADD12R,3.700000X3.500000*%
%ADD13R,1.550000X0.600000*%
%ADD14O,1.550000X0.600000*%
%ADD15R,0.600000X2.100000*%
%ADD16O,0.600000X2.100000*%
%ADD17R,0.600000X1.550000*%
%ADD18O,0.600000X1.550000*%
%ADD19R,1.000000X0.400000*%
%ADD20R,0.700000X1.200000*%
%ADD21O,2.500000X0.900000*%
%ADD22O,0.900000X2.500000*%
%ADD23R,6.000000X6.000000*%
%ADD24R,1.800000X1.400000*%
%ADD25R,0.970000X1.500000*%
%ADD26R,0.670000X1.000000*%
%ADD27R,1.500000X0.970000*%
%ADD28R,1.000000X0.670000*%
%ADD29R,1.000000X1.000000*%
%ADD30R,1.500000X2.700000*%
%ADD31R,2.500000X1.800000*%
%ADD32R,0.800000X0.900000*%
%ADD33R,0.900000X0.800000*%
%ADD34R,0.820000X1.000000*%
%ADD35R,1.000000X0.820000*%
%ADD36R,1.400000X1.120000*%
%ADD37R,1.400000X1.295000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,RD52*
X160155000Y-64391000D02*
X160155000Y-68391000D01*
G04 #@! TO.C,RD51*
X155710000Y-68518000D02*
X155710000Y-64518000D01*
G04 #@! TO.C,RD9*
X166854000Y-73630000D02*
X162854000Y-73630000D01*
G04 #@! TO.C,RP2*
X109609000Y-88632000D02*
X109609000Y-90632000D01*
D11*
G04 #@! TO.C,GPDI1*
X150296000Y-71062000D02*
X150046000Y-70812000D01*
@ -62,42 +48,23 @@ X150046000Y-70812000D02*
X150296000Y-70562000D01*
X150296000Y-70562000D02*
X150296000Y-71062000D01*
D10*
G04 #@! TO.C,RP1*
X152281000Y-96361000D02*
X152281000Y-98361000D01*
G04 #@! TO.C,RP3*
X149472000Y-77311000D02*
X149472000Y-79311000D01*
G04 #@! TD*
D12*
G04 #@! TO.C,RD52*
X160155000Y-68391000D03*
X160155000Y-64391000D03*
G04 #@! TD*
G04 #@! TO.C,RD51*
X155710000Y-64518000D03*
X155710000Y-68518000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,RD9*
X162854000Y-73630000D03*
X166854000Y-73630000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,RP2*
X109609000Y-90599500D03*
X109609000Y-88664500D03*
D11*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D15*
D12*
G04 #@! TO.C,BAT1*
X172485000Y-105870000D03*
X156685000Y-105870000D03*
G04 #@! TD*
D16*
D13*
G04 #@! TO.C,U11*
X141980000Y-67706500D03*
D17*
D14*
X141980000Y-66436500D03*
X141980000Y-65166500D03*
X141980000Y-63896500D03*
@ -106,10 +73,10 @@ X147380000Y-65166500D03*
X147380000Y-66436500D03*
X147380000Y-67706500D03*
G04 #@! TD*
D18*
D15*
G04 #@! TO.C,U10*
X116340000Y-82520000D03*
D19*
D16*
X117610000Y-82520000D03*
X118880000Y-82520000D03*
X120150000Y-82520000D03*
@ -118,10 +85,10 @@ X118880000Y-89124000D03*
X117610000Y-89124000D03*
X116340000Y-89124000D03*
G04 #@! TD*
D20*
D17*
G04 #@! TO.C,U7*
X175395000Y-96015000D03*
D21*
D18*
X174125000Y-96015000D03*
X172855000Y-96015000D03*
X171585000Y-96015000D03*
@ -130,7 +97,7 @@ X172855000Y-90615000D03*
X174125000Y-90615000D03*
X175395000Y-90615000D03*
G04 #@! TD*
D22*
D19*
G04 #@! TO.C,U6*
X129935000Y-104215000D03*
X129935000Y-104865000D03*
@ -153,7 +120,7 @@ X135735000Y-105515000D03*
X135735000Y-104865000D03*
X135735000Y-104215000D03*
G04 #@! TD*
D23*
D20*
G04 #@! TO.C,U5*
X157285000Y-77392000D03*
X158235000Y-77392000D03*
@ -175,7 +142,7 @@ X102675000Y-86215000D03*
X102675000Y-83615000D03*
X104575000Y-83615000D03*
G04 #@! TD*
D24*
D21*
G04 #@! TO.C,U9*
X126230000Y-111000000D03*
X126230000Y-109730000D03*
@ -191,7 +158,7 @@ X126230000Y-98300000D03*
X126230000Y-97030000D03*
X126230000Y-95760000D03*
X126230000Y-94490000D03*
D25*
D22*
X122945000Y-93000000D03*
X121675000Y-93000000D03*
X120405000Y-93000000D03*
@ -202,7 +169,7 @@ X115325000Y-93000000D03*
X114055000Y-93000000D03*
X112785000Y-93000000D03*
X111515000Y-93000000D03*
D24*
D21*
X108230000Y-94490000D03*
X108230000Y-95760000D03*
X108230000Y-97030000D03*
@ -217,17 +184,17 @@ X108230000Y-107190000D03*
X108230000Y-108460000D03*
X108230000Y-109730000D03*
X108230000Y-111000000D03*
D26*
D23*
X116930000Y-103300000D03*
G04 #@! TD*
D27*
D24*
G04 #@! TO.C,Y2*
X178576000Y-98522000D03*
X172976000Y-98522000D03*
X172976000Y-101322000D03*
X178576000Y-101322000D03*
G04 #@! TD*
D28*
D25*
G04 #@! TO.C,C47*
X133546000Y-68550000D03*
X135456000Y-68550000D03*
@ -236,12 +203,12 @@ G04 #@! TO.C,C1*
X102748500Y-81885000D03*
X104658500Y-81885000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,C2*
X153985000Y-96910000D03*
X155735000Y-96910000D03*
G04 #@! TD*
D28*
D25*
G04 #@! TO.C,C3*
X156015000Y-90630000D03*
X154105000Y-90630000D03*
@ -250,17 +217,17 @@ G04 #@! TO.C,C4*
X154105000Y-92535000D03*
X156015000Y-92535000D03*
G04 #@! TD*
D30*
D27*
G04 #@! TO.C,C5*
X163315000Y-90945000D03*
X163315000Y-92855000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,C6*
X151645000Y-82375000D03*
X153395000Y-82375000D03*
G04 #@! TD*
D28*
D25*
G04 #@! TO.C,C7*
X153475000Y-79200000D03*
X151565000Y-79200000D03*
@ -269,17 +236,17 @@ G04 #@! TO.C,C8*
X153475000Y-77295000D03*
X151565000Y-77295000D03*
G04 #@! TD*
D30*
D27*
G04 #@! TO.C,C9*
X160775000Y-79520000D03*
X160775000Y-77610000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,C10*
X108465000Y-81105000D03*
X110215000Y-81105000D03*
G04 #@! TD*
D28*
D25*
G04 #@! TO.C,C11*
X108385000Y-84280000D03*
X110295000Y-84280000D03*
@ -288,37 +255,37 @@ G04 #@! TO.C,C12*
X110295000Y-86185000D03*
X108385000Y-86185000D03*
G04 #@! TD*
D30*
D27*
G04 #@! TO.C,C13*
X173221000Y-83833000D03*
X173221000Y-85743000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,C14*
X175380000Y-76900000D03*
X175380000Y-75150000D03*
G04 #@! TD*
D30*
D27*
G04 #@! TO.C,C15*
X105276000Y-99967000D03*
X105276000Y-98057000D03*
G04 #@! TD*
D28*
D25*
G04 #@! TO.C,C16*
X173424000Y-87473000D03*
X175334000Y-87473000D03*
G04 #@! TD*
D30*
D27*
G04 #@! TO.C,C17*
X138500000Y-90665000D03*
X138500000Y-92575000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,C18*
X150589600Y-64359000D03*
X150589600Y-62609000D03*
G04 #@! TD*
D30*
D27*
G04 #@! TO.C,C19*
X138500000Y-82375000D03*
X138500000Y-80465000D03*
@ -327,7 +294,7 @@ G04 #@! TO.C,C20*
X138500000Y-87575000D03*
X138500000Y-85665000D03*
G04 #@! TD*
D28*
D25*
G04 #@! TO.C,C21*
X103706000Y-93061000D03*
X101796000Y-93061000D03*
@ -344,7 +311,7 @@ G04 #@! TO.C,C24*
X151189000Y-74882000D03*
X149279000Y-74882000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,C25*
X140900000Y-87095000D03*
X140900000Y-85345000D03*
@ -369,7 +336,7 @@ G04 #@! TO.C,C30*
X134900000Y-90145000D03*
X134900000Y-91895000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,C31*
X135225000Y-88420000D03*
X136975000Y-88420000D03*
@ -386,17 +353,17 @@ G04 #@! TO.C,C34*
X158375000Y-82220000D03*
X156625000Y-82220000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,C35*
X177300000Y-94025000D03*
X177300000Y-95775000D03*
G04 #@! TD*
D28*
D25*
G04 #@! TO.C,C46*
X145342000Y-103584000D03*
X147252000Y-103584000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,C48*
X103995000Y-70963000D03*
X102245000Y-70963000D03*
@ -405,12 +372,12 @@ G04 #@! TO.C,C49*
X103372000Y-91156000D03*
X101622000Y-91156000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,C50*
X179713000Y-88856000D03*
X179713000Y-87106000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,C51*
X180473000Y-103856000D03*
X178723000Y-103856000D03*
@ -423,7 +390,7 @@ G04 #@! TO.C,C53*
X132827200Y-86330000D03*
X134577200Y-86330000D03*
G04 #@! TD*
D30*
D27*
G04 #@! TO.C,C54*
X169172000Y-95281000D03*
X169172000Y-97191000D03*
@ -432,7 +399,7 @@ G04 #@! TO.C,D11*
X179190000Y-80790000D03*
X179190000Y-78880000D03*
G04 #@! TD*
D32*
D29*
G04 #@! TO.C,D10*
X169050000Y-84280000D03*
X171550000Y-84280000D03*
@ -485,7 +452,7 @@ G04 #@! TO.C,D26*
X179444000Y-70094000D03*
X179444000Y-72594000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R49*
X113277000Y-74360000D03*
X113277000Y-72610000D03*
@ -494,12 +461,12 @@ G04 #@! TO.C,R50*
X111372000Y-72610000D03*
X111372000Y-74360000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R51*
X155455000Y-72487000D03*
X157205000Y-72487000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R52*
X171331000Y-66278000D03*
X171331000Y-68028000D03*
@ -508,12 +475,12 @@ G04 #@! TO.C,R53*
X169919000Y-68028000D03*
X169919000Y-66278000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R54*
X174477000Y-65502000D03*
X172727000Y-65502000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R56*
X128390000Y-106321000D03*
X128390000Y-104571000D03*
@ -534,7 +501,7 @@ G04 #@! TO.C,R60*
X122294000Y-74233000D03*
X122294000Y-72483000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R61*
X145655000Y-69900000D03*
X143905000Y-69900000D03*
@ -543,22 +510,22 @@ G04 #@! TO.C,R40*
X166631000Y-65738000D03*
X168381000Y-65738000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R55*
X134740000Y-96740000D03*
X134740000Y-98490000D03*
G04 #@! TD*
D30*
D27*
G04 #@! TO.C,C55*
X179078000Y-90963000D03*
X179078000Y-92873000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R65*
X177300000Y-92793000D03*
X177300000Y-91043000D03*
G04 #@! TD*
D33*
D30*
G04 #@! TO.C,L1*
X160140000Y-88090000D03*
X157600000Y-88090000D03*
@ -571,12 +538,12 @@ G04 #@! TO.C,L3*
X155060000Y-74755000D03*
X157600000Y-74755000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R1*
X171175000Y-82375000D03*
X169425000Y-82375000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R2*
X172840000Y-78960000D03*
X172840000Y-80710000D03*
@ -585,12 +552,12 @@ G04 #@! TO.C,R3*
X162045000Y-71185000D03*
X162045000Y-69435000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R4*
X176890000Y-80470000D03*
X175140000Y-80470000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R5*
X174110000Y-75150000D03*
X174110000Y-76900000D03*
@ -611,12 +578,12 @@ G04 #@! TO.C,R9*
X128390000Y-110555000D03*
X128390000Y-108805000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R10*
X151264000Y-103076000D03*
X153014000Y-103076000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R11*
X119515000Y-80093000D03*
X119515000Y-78343000D03*
@ -625,7 +592,7 @@ G04 #@! TO.C,R12*
X114308000Y-89219000D03*
X114308000Y-87469000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R13*
X175140000Y-78565000D03*
X176890000Y-78565000D03*
@ -646,7 +613,7 @@ G04 #@! TO.C,R17*
X126471000Y-80470000D03*
X124721000Y-80470000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R18*
X130422000Y-73898000D03*
X130422000Y-75648000D03*
@ -675,7 +642,7 @@ G04 #@! TO.C,R24*
X150615000Y-67647000D03*
X150615000Y-65897000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R25*
X148300000Y-72487000D03*
X150050000Y-72487000D03*
@ -684,7 +651,7 @@ G04 #@! TO.C,R26*
X150362000Y-69693000D03*
X148612000Y-69693000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R27*
X129025000Y-95300000D03*
X129025000Y-97050000D03*
@ -701,12 +668,12 @@ G04 #@! TO.C,R30*
X115690000Y-78343000D03*
X115690000Y-80093000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R31*
X142755000Y-98250000D03*
X144505000Y-98250000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R32*
X132835000Y-98490000D03*
X132835000Y-96740000D03*
@ -715,7 +682,7 @@ G04 #@! TO.C,R33*
X130930000Y-96740000D03*
X130930000Y-98490000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R34*
X102115000Y-103600000D03*
X103865000Y-103600000D03*
@ -724,12 +691,12 @@ G04 #@! TO.C,R35*
X102115000Y-102060000D03*
X103865000Y-102060000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,R38*
X103576500Y-99905000D03*
X103576500Y-98155000D03*
G04 #@! TD*
D29*
D26*
G04 #@! TO.C,R39*
X164190000Y-96345000D03*
X162440000Y-96345000D03*
@ -754,7 +721,7 @@ G04 #@! TO.C,RA3*
X151645000Y-81105000D03*
X153395000Y-81105000D03*
G04 #@! TD*
D31*
D28*
G04 #@! TO.C,RB1*
X158235000Y-92775000D03*
X158235000Y-91025000D03*
@ -767,64 +734,56 @@ G04 #@! TO.C,RB3*
X155695000Y-79440000D03*
X155695000Y-77690000D03*
G04 #@! TD*
D13*
D31*
G04 #@! TO.C,D8*
X110149000Y-70074000D03*
X114149000Y-70074000D03*
G04 #@! TD*
D34*
D32*
G04 #@! TO.C,Q1*
X176015000Y-83280000D03*
X175065000Y-85280000D03*
X176965000Y-85280000D03*
G04 #@! TD*
D35*
D33*
G04 #@! TO.C,Q2*
X171935000Y-75075000D03*
X171935000Y-76975000D03*
X169935000Y-76025000D03*
G04 #@! TD*
D32*
D29*
G04 #@! TO.C,D27*
X175502000Y-72106000D03*
X173002000Y-72106000D03*
G04 #@! TD*
D36*
D34*
G04 #@! TO.C,R66*
X173198000Y-70201000D03*
X174798000Y-70201000D03*
G04 #@! TD*
D37*
D35*
G04 #@! TO.C,C56*
X179078000Y-96274000D03*
X179078000Y-94674000D03*
G04 #@! TD*
D36*
D34*
G04 #@! TO.C,C57*
X177084000Y-103856000D03*
X175484000Y-103856000D03*
G04 #@! TD*
D38*
D36*
G04 #@! TO.C,C58*
X167902000Y-89242000D03*
X167902000Y-87482000D03*
G04 #@! TD*
D37*
D35*
G04 #@! TO.C,C59*
X166251000Y-89162000D03*
X166251000Y-87562000D03*
G04 #@! TD*
D14*
D37*
G04 #@! TO.C,L4*
X169807000Y-87394500D03*
X169807000Y-89329500D03*
G04 #@! TD*
G04 #@! TO.C,RP1*
X152281000Y-98328500D03*
X152281000Y-96393500D03*
G04 #@! TD*
G04 #@! TO.C,RP3*
X149472000Y-77343500D03*
X149472000Y-79278500D03*
G04 #@! TD*
M02*

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
@ -19,34 +19,34 @@ G04 APERTURE LIST*
%ADD15C,0.500000*%
%ADD16C,0.100000*%
%ADD17C,1.200000*%
%ADD18R,1.800000X2.500000*%
%ADD19R,2.500000X1.800000*%
%ADD20R,1.400000X1.295000*%
%ADD21R,3.700000X3.500000*%
%ADD22C,9.100000*%
%ADD23R,1.550000X0.600000*%
%ADD24O,1.650000X0.700000*%
%ADD25R,0.600000X2.100000*%
%ADD26O,0.700000X2.200000*%
%ADD27R,0.600000X1.550000*%
%ADD28O,0.700000X1.650000*%
%ADD29R,1.000000X0.400000*%
%ADD30R,0.700000X1.200000*%
%ADD31O,2.600000X1.000000*%
%ADD32O,1.000000X2.600000*%
%ADD33R,6.000000X6.000000*%
%ADD34O,1.827200X1.827200*%
%ADD35R,1.727200X1.727200*%
%ADD36C,5.600000*%
%ADD37R,1.727200X2.032000*%
%ADD38O,1.827200X2.132000*%
%ADD39C,1.800000*%
%ADD40R,1.800000X1.400000*%
%ADD41R,0.970000X1.500000*%
%ADD42R,0.670000X1.000000*%
%ADD43R,1.500000X0.970000*%
%ADD44R,1.000000X0.670000*%
%ADD45C,2.100000*%
%ADD18C,2.100000*%
%ADD19R,1.400000X1.295000*%
%ADD20R,2.500000X1.800000*%
%ADD21R,1.800000X2.500000*%
%ADD22R,3.700000X3.500000*%
%ADD23C,9.100000*%
%ADD24R,1.550000X0.600000*%
%ADD25O,1.650000X0.700000*%
%ADD26R,0.600000X2.100000*%
%ADD27O,0.700000X2.200000*%
%ADD28R,0.600000X1.550000*%
%ADD29O,0.700000X1.650000*%
%ADD30R,1.000000X0.400000*%
%ADD31R,0.700000X1.200000*%
%ADD32O,2.600000X1.000000*%
%ADD33O,1.000000X2.600000*%
%ADD34R,6.000000X6.000000*%
%ADD35O,1.827200X1.827200*%
%ADD36R,1.727200X1.727200*%
%ADD37C,5.600000*%
%ADD38R,1.727200X2.032000*%
%ADD39O,1.827200X2.132000*%
%ADD40C,1.800000*%
%ADD41R,1.800000X1.400000*%
%ADD42R,0.970000X1.500000*%
%ADD43R,0.670000X1.000000*%
%ADD44R,1.500000X0.970000*%
%ADD45R,1.000000X0.670000*%
%ADD46R,1.000000X1.000000*%
%ADD47C,0.400000*%
%ADD48R,1.700000X1.700000*%
@ -1896,6 +1896,13 @@ X168172000Y-92767380D01*
X168029142Y-92815000D01*
X167981523Y-92862619D01*
D13*
G04 #@! TO.C,RD9*
X168254000Y-71980000D02*
X162854000Y-71980000D01*
X168254000Y-75280000D02*
X162854000Y-75280000D01*
X168254000Y-71980000D02*
X168254000Y-75280000D01*
G04 #@! TO.C,RD52*
X158505000Y-62991000D02*
X158505000Y-68391000D01*
@ -1910,13 +1917,6 @@ X154060000Y-69918000D02*
X154060000Y-64518000D01*
X157360000Y-69918000D02*
X154060000Y-69918000D01*
G04 #@! TO.C,RD9*
X168254000Y-71980000D02*
X162854000Y-71980000D01*
X168254000Y-75280000D02*
X162854000Y-75280000D01*
X168254000Y-71980000D02*
X168254000Y-75280000D01*
G04 #@! TO.C,BAT1*
X160091264Y-111074552D02*
G75*
@ -3079,8 +3079,164 @@ X176152000Y-72956000D02*
X173002000Y-72956000D01*
X176152000Y-71256000D02*
X173002000Y-71256000D01*
G04 #@! TO.C,RD52*
G04 #@! TO.C,RP3*
D14*
X146510380Y-77644333D02*
X146034190Y-77311000D01*
X146510380Y-77072904D02*
X145510380Y-77072904D01*
X145510380Y-77453857D01*
X145558000Y-77549095D01*
X145605619Y-77596714D01*
X145700857Y-77644333D01*
X145843714Y-77644333D01*
X145938952Y-77596714D01*
X145986571Y-77549095D01*
X146034190Y-77453857D01*
X146034190Y-77072904D01*
X146510380Y-78072904D02*
X145510380Y-78072904D01*
X145510380Y-78453857D01*
X145558000Y-78549095D01*
X145605619Y-78596714D01*
X145700857Y-78644333D01*
X145843714Y-78644333D01*
X145938952Y-78596714D01*
X145986571Y-78549095D01*
X146034190Y-78453857D01*
X146034190Y-78072904D01*
X145510380Y-78977666D02*
X145510380Y-79596714D01*
X145891333Y-79263380D01*
X145891333Y-79406238D01*
X145938952Y-79501476D01*
X145986571Y-79549095D01*
X146081809Y-79596714D01*
X146319904Y-79596714D01*
X146415142Y-79549095D01*
X146462761Y-79501476D01*
X146510380Y-79406238D01*
X146510380Y-79120523D01*
X146462761Y-79025285D01*
X146415142Y-78977666D01*
G04 #@! TO.C,RP2*
X108410380Y-88330333D02*
X107934190Y-87997000D01*
X108410380Y-87758904D02*
X107410380Y-87758904D01*
X107410380Y-88139857D01*
X107458000Y-88235095D01*
X107505619Y-88282714D01*
X107600857Y-88330333D01*
X107743714Y-88330333D01*
X107838952Y-88282714D01*
X107886571Y-88235095D01*
X107934190Y-88139857D01*
X107934190Y-87758904D01*
X108410380Y-88758904D02*
X107410380Y-88758904D01*
X107410380Y-89139857D01*
X107458000Y-89235095D01*
X107505619Y-89282714D01*
X107600857Y-89330333D01*
X107743714Y-89330333D01*
X107838952Y-89282714D01*
X107886571Y-89235095D01*
X107934190Y-89139857D01*
X107934190Y-88758904D01*
X107505619Y-89711285D02*
X107458000Y-89758904D01*
X107410380Y-89854142D01*
X107410380Y-90092238D01*
X107458000Y-90187476D01*
X107505619Y-90235095D01*
X107600857Y-90282714D01*
X107696095Y-90282714D01*
X107838952Y-90235095D01*
X108410380Y-89663666D01*
X108410380Y-90282714D01*
G04 #@! TO.C,RP1*
X151083380Y-96694333D02*
X150607190Y-96361000D01*
X151083380Y-96122904D02*
X150083380Y-96122904D01*
X150083380Y-96503857D01*
X150131000Y-96599095D01*
X150178619Y-96646714D01*
X150273857Y-96694333D01*
X150416714Y-96694333D01*
X150511952Y-96646714D01*
X150559571Y-96599095D01*
X150607190Y-96503857D01*
X150607190Y-96122904D01*
X151083380Y-97122904D02*
X150083380Y-97122904D01*
X150083380Y-97503857D01*
X150131000Y-97599095D01*
X150178619Y-97646714D01*
X150273857Y-97694333D01*
X150416714Y-97694333D01*
X150511952Y-97646714D01*
X150559571Y-97599095D01*
X150607190Y-97503857D01*
X150607190Y-97122904D01*
X151083380Y-98646714D02*
X151083380Y-98075285D01*
X151083380Y-98361000D02*
X150083380Y-98361000D01*
X150226238Y-98265761D01*
X150321476Y-98170523D01*
X150369095Y-98075285D01*
G04 #@! TO.C,RD9*
X164631666Y-76622380D02*
X164965000Y-76146190D01*
X165203095Y-76622380D02*
X165203095Y-75622380D01*
X164822142Y-75622380D01*
X164726904Y-75670000D01*
X164679285Y-75717619D01*
X164631666Y-75812857D01*
X164631666Y-75955714D01*
X164679285Y-76050952D01*
X164726904Y-76098571D01*
X164822142Y-76146190D01*
X165203095Y-76146190D01*
X164203095Y-76622380D02*
X164203095Y-75622380D01*
X163965000Y-75622380D01*
X163822142Y-75670000D01*
X163726904Y-75765238D01*
X163679285Y-75860476D01*
X163631666Y-76050952D01*
X163631666Y-76193809D01*
X163679285Y-76384285D01*
X163726904Y-76479523D01*
X163822142Y-76574761D01*
X163965000Y-76622380D01*
X164203095Y-76622380D01*
X163155476Y-76622380D02*
X162965000Y-76622380D01*
X162869761Y-76574761D01*
X162822142Y-76527142D01*
X162726904Y-76384285D01*
X162679285Y-76193809D01*
X162679285Y-75812857D01*
X162726904Y-75717619D01*
X162774523Y-75670000D01*
X162869761Y-75622380D01*
X163060238Y-75622380D01*
X163155476Y-75670000D01*
X163203095Y-75717619D01*
X163250714Y-75812857D01*
X163250714Y-76050952D01*
X163203095Y-76146190D01*
X163155476Y-76193809D01*
X163060238Y-76241428D01*
X162869761Y-76241428D01*
X162774523Y-76193809D01*
X162726904Y-76146190D01*
X162679285Y-76050952D01*
G04 #@! TO.C,RD52*
X161170857Y-62779380D02*
X161504190Y-62303190D01*
X161742285Y-62779380D02*
@ -3184,91 +3340,6 @@ X154360809Y-61779380D01*
X154456047Y-61922238D01*
X154551285Y-62017476D01*
X154646523Y-62065095D01*
G04 #@! TO.C,RD9*
X164631666Y-76622380D02*
X164965000Y-76146190D01*
X165203095Y-76622380D02*
X165203095Y-75622380D01*
X164822142Y-75622380D01*
X164726904Y-75670000D01*
X164679285Y-75717619D01*
X164631666Y-75812857D01*
X164631666Y-75955714D01*
X164679285Y-76050952D01*
X164726904Y-76098571D01*
X164822142Y-76146190D01*
X165203095Y-76146190D01*
X164203095Y-76622380D02*
X164203095Y-75622380D01*
X163965000Y-75622380D01*
X163822142Y-75670000D01*
X163726904Y-75765238D01*
X163679285Y-75860476D01*
X163631666Y-76050952D01*
X163631666Y-76193809D01*
X163679285Y-76384285D01*
X163726904Y-76479523D01*
X163822142Y-76574761D01*
X163965000Y-76622380D01*
X164203095Y-76622380D01*
X163155476Y-76622380D02*
X162965000Y-76622380D01*
X162869761Y-76574761D01*
X162822142Y-76527142D01*
X162726904Y-76384285D01*
X162679285Y-76193809D01*
X162679285Y-75812857D01*
X162726904Y-75717619D01*
X162774523Y-75670000D01*
X162869761Y-75622380D01*
X163060238Y-75622380D01*
X163155476Y-75670000D01*
X163203095Y-75717619D01*
X163250714Y-75812857D01*
X163250714Y-76050952D01*
X163203095Y-76146190D01*
X163155476Y-76193809D01*
X163060238Y-76241428D01*
X162869761Y-76241428D01*
X162774523Y-76193809D01*
X162726904Y-76146190D01*
X162679285Y-76050952D01*
G04 #@! TO.C,RP2*
X108410380Y-88330333D02*
X107934190Y-87997000D01*
X108410380Y-87758904D02*
X107410380Y-87758904D01*
X107410380Y-88139857D01*
X107458000Y-88235095D01*
X107505619Y-88282714D01*
X107600857Y-88330333D01*
X107743714Y-88330333D01*
X107838952Y-88282714D01*
X107886571Y-88235095D01*
X107934190Y-88139857D01*
X107934190Y-87758904D01*
X108410380Y-88758904D02*
X107410380Y-88758904D01*
X107410380Y-89139857D01*
X107458000Y-89235095D01*
X107505619Y-89282714D01*
X107600857Y-89330333D01*
X107743714Y-89330333D01*
X107838952Y-89282714D01*
X107886571Y-89235095D01*
X107934190Y-89139857D01*
X107934190Y-88758904D01*
X107505619Y-89711285D02*
X107458000Y-89758904D01*
X107410380Y-89854142D01*
X107410380Y-90092238D01*
X107458000Y-90187476D01*
X107505619Y-90235095D01*
X107600857Y-90282714D01*
X107696095Y-90282714D01*
X107838952Y-90235095D01*
X108410380Y-89663666D01*
X108410380Y-90282714D01*
G04 #@! TO.C,BAT1*
X153114285Y-106705571D02*
X152971428Y-106753190D01*
@ -9051,100 +9122,54 @@ X171910380Y-89084238D01*
X170862761Y-88846142D02*
X171577047Y-88608047D01*
X171577047Y-89227095D01*
G04 #@! TO.C,RP1*
X151083380Y-96694333D02*
X150607190Y-96361000D01*
X151083380Y-96122904D02*
X150083380Y-96122904D01*
X150083380Y-96503857D01*
X150131000Y-96599095D01*
X150178619Y-96646714D01*
X150273857Y-96694333D01*
X150416714Y-96694333D01*
X150511952Y-96646714D01*
X150559571Y-96599095D01*
X150607190Y-96503857D01*
X150607190Y-96122904D01*
X151083380Y-97122904D02*
X150083380Y-97122904D01*
X150083380Y-97503857D01*
X150131000Y-97599095D01*
X150178619Y-97646714D01*
X150273857Y-97694333D01*
X150416714Y-97694333D01*
X150511952Y-97646714D01*
X150559571Y-97599095D01*
X150607190Y-97503857D01*
X150607190Y-97122904D01*
X151083380Y-98646714D02*
X151083380Y-98075285D01*
X151083380Y-98361000D02*
X150083380Y-98361000D01*
X150226238Y-98265761D01*
X150321476Y-98170523D01*
X150369095Y-98075285D01*
G04 #@! TO.C,RP3*
X146510380Y-77644333D02*
X146034190Y-77311000D01*
X146510380Y-77072904D02*
X145510380Y-77072904D01*
X145510380Y-77453857D01*
X145558000Y-77549095D01*
X145605619Y-77596714D01*
X145700857Y-77644333D01*
X145843714Y-77644333D01*
X145938952Y-77596714D01*
X145986571Y-77549095D01*
X146034190Y-77453857D01*
X146034190Y-77072904D01*
X146510380Y-78072904D02*
X145510380Y-78072904D01*
X145510380Y-78453857D01*
X145558000Y-78549095D01*
X145605619Y-78596714D01*
X145700857Y-78644333D01*
X145843714Y-78644333D01*
X145938952Y-78596714D01*
X145986571Y-78549095D01*
X146034190Y-78453857D01*
X146034190Y-78072904D01*
X145510380Y-78977666D02*
X145510380Y-79596714D01*
X145891333Y-79263380D01*
X145891333Y-79406238D01*
X145938952Y-79501476D01*
X145986571Y-79549095D01*
X146081809Y-79596714D01*
X146319904Y-79596714D01*
X146415142Y-79549095D01*
X146462761Y-79501476D01*
X146510380Y-79406238D01*
X146510380Y-79120523D01*
X146462761Y-79025285D01*
X146415142Y-78977666D01*
G04 #@! TD*
%LPC*%
D17*
G04 #@! TO.C,RP3*
X149472000Y-77311000D02*
X149472000Y-79311000D01*
G04 #@! TO.C,RP2*
X109609000Y-88632000D02*
X109609000Y-90632000D01*
G04 #@! TO.C,RP1*
X152281000Y-96361000D02*
X152281000Y-98361000D01*
G04 #@! TO.C,RD9*
X166854000Y-73630000D02*
X162854000Y-73630000D01*
G04 #@! TO.C,RD52*
X160155000Y-64391000D02*
X160155000Y-68391000D01*
G04 #@! TO.C,RD51*
X155710000Y-68518000D02*
X155710000Y-64518000D01*
G04 #@! TO.C,RD9*
X166854000Y-73630000D02*
X162854000Y-73630000D01*
G04 #@! TD*
D18*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,RP3*
X149472000Y-79278500D03*
X149472000Y-77343500D03*
G04 #@! TD*
G04 #@! TO.C,RP2*
X109609000Y-88632000D02*
X109609000Y-90632000D01*
X109609000Y-90599500D03*
X109609000Y-88664500D03*
G04 #@! TD*
G04 #@! TO.C,RP1*
X152281000Y-96361000D02*
X152281000Y-98361000D01*
G04 #@! TO.C,RP3*
X149472000Y-77311000D02*
X149472000Y-79311000D01*
X152281000Y-98328500D03*
X152281000Y-96393500D03*
G04 #@! TD*
D18*
D20*
G04 #@! TO.C,RD9*
X162854000Y-73630000D03*
X166854000Y-73630000D03*
G04 #@! TD*
D21*
G04 #@! TO.C,RD52*
X160155000Y-68391000D03*
X160155000Y-64391000D03*
@ -9153,27 +9178,17 @@ G04 #@! TO.C,RD51*
X155710000Y-64518000D03*
X155710000Y-68518000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,RD9*
X162854000Y-73630000D03*
X166854000Y-73630000D03*
G04 #@! TD*
D20*
G04 #@! TO.C,RP2*
X109609000Y-90599500D03*
X109609000Y-88664500D03*
G04 #@! TD*
D21*
D22*
G04 #@! TO.C,BAT1*
X172485000Y-105870000D03*
X156685000Y-105870000D03*
D22*
D23*
X164585000Y-105870000D03*
G04 #@! TD*
D23*
D24*
G04 #@! TO.C,U11*
X141980000Y-67706500D03*
D24*
D25*
X141980000Y-66436500D03*
X141980000Y-65166500D03*
X141980000Y-63896500D03*
@ -9182,10 +9197,10 @@ X147380000Y-65166500D03*
X147380000Y-66436500D03*
X147380000Y-67706500D03*
G04 #@! TD*
D25*
D26*
G04 #@! TO.C,U10*
X116340000Y-82520000D03*
D26*
D27*
X117610000Y-82520000D03*
X118880000Y-82520000D03*
X120150000Y-82520000D03*
@ -9194,10 +9209,10 @@ X118880000Y-89124000D03*
X117610000Y-89124000D03*
X116340000Y-89124000D03*
G04 #@! TD*
D27*
D28*
G04 #@! TO.C,U7*
X175395000Y-96015000D03*
D28*
D29*
X174125000Y-96015000D03*
X172855000Y-96015000D03*
X171585000Y-96015000D03*
@ -9206,7 +9221,7 @@ X172855000Y-90615000D03*
X174125000Y-90615000D03*
X175395000Y-90615000D03*
G04 #@! TD*
D29*
D30*
G04 #@! TO.C,U6*
X129935000Y-104215000D03*
X129935000Y-104865000D03*
@ -9229,7 +9244,7 @@ X135735000Y-105515000D03*
X135735000Y-104865000D03*
X135735000Y-104215000D03*
G04 #@! TD*
D30*
D31*
G04 #@! TO.C,U5*
X157285000Y-77392000D03*
X158235000Y-77392000D03*
@ -9251,7 +9266,7 @@ X102675000Y-86215000D03*
X102675000Y-83615000D03*
X104575000Y-83615000D03*
G04 #@! TD*
D31*
D32*
G04 #@! TO.C,U9*
X126230000Y-111000000D03*
X126230000Y-109730000D03*
@ -9267,7 +9282,7 @@ X126230000Y-98300000D03*
X126230000Y-97030000D03*
X126230000Y-95760000D03*
X126230000Y-94490000D03*
D32*
D33*
X122945000Y-93000000D03*
X121675000Y-93000000D03*
X120405000Y-93000000D03*
@ -9278,7 +9293,7 @@ X115325000Y-93000000D03*
X114055000Y-93000000D03*
X112785000Y-93000000D03*
X111515000Y-93000000D03*
D31*
D32*
X108230000Y-94490000D03*
X108230000Y-95760000D03*
X108230000Y-97030000D03*
@ -9293,17 +9308,17 @@ X108230000Y-107190000D03*
X108230000Y-108460000D03*
X108230000Y-109730000D03*
X108230000Y-111000000D03*
D33*
D34*
X116930000Y-103300000D03*
G04 #@! TD*
D34*
D35*
G04 #@! TO.C,J1*
X97910000Y-62690000D03*
X95370000Y-62690000D03*
D35*
D36*
X97910000Y-65230000D03*
X95370000Y-65230000D03*
D34*
D35*
X97910000Y-67770000D03*
X95370000Y-67770000D03*
X97910000Y-70310000D03*
@ -9320,10 +9335,10 @@ X97910000Y-83010000D03*
X95370000Y-83010000D03*
X97910000Y-85550000D03*
X95370000Y-85550000D03*
D35*
D36*
X97910000Y-88090000D03*
X95370000Y-88090000D03*
D34*
D35*
X97910000Y-90630000D03*
X95370000Y-90630000D03*
X97910000Y-93170000D03*
@ -9338,20 +9353,20 @@ X97910000Y-103330000D03*
X95370000Y-103330000D03*
X97910000Y-105870000D03*
X95370000Y-105870000D03*
D35*
D36*
X97910000Y-108410000D03*
X95370000Y-108410000D03*
D34*
D35*
X97910000Y-110950000D03*
X95370000Y-110950000D03*
G04 #@! TD*
G04 #@! TO.C,J2*
X184270000Y-110950000D03*
X186810000Y-110950000D03*
D35*
D36*
X184270000Y-108410000D03*
X186810000Y-108410000D03*
D34*
D35*
X184270000Y-105870000D03*
X186810000Y-105870000D03*
X184270000Y-103330000D03*
@ -9368,10 +9383,10 @@ X184270000Y-90630000D03*
X186810000Y-90630000D03*
X184270000Y-88090000D03*
X186810000Y-88090000D03*
D35*
D36*
X184270000Y-85550000D03*
X186810000Y-85550000D03*
D34*
D35*
X184270000Y-83010000D03*
X186810000Y-83010000D03*
X184270000Y-80470000D03*
@ -9386,14 +9401,14 @@ X184270000Y-70310000D03*
X186810000Y-70310000D03*
X184270000Y-67770000D03*
X186810000Y-67770000D03*
D35*
D36*
X184270000Y-65230000D03*
X186810000Y-65230000D03*
D34*
D35*
X184270000Y-62690000D03*
X186810000Y-62690000D03*
G04 #@! TD*
D36*
D37*
G04 #@! TO.C,H1*
X102990000Y-108410000D03*
G04 #@! TD*
@ -9406,20 +9421,20 @@ G04 #@! TD*
G04 #@! TO.C,H4*
X102990000Y-65230000D03*
G04 #@! TD*
D35*
D36*
G04 #@! TO.C,J4*
X140455000Y-104600000D03*
D34*
D35*
X137915000Y-104600000D03*
X140455000Y-107140000D03*
X137915000Y-107140000D03*
X140455000Y-109680000D03*
X137915000Y-109680000D03*
G04 #@! TD*
D37*
D38*
G04 #@! TO.C,OLED1*
X147440000Y-100790000D03*
D38*
D39*
X144900000Y-100790000D03*
X142360000Y-100790000D03*
X139820000Y-100790000D03*
@ -9427,19 +9442,19 @@ X137280000Y-100790000D03*
X134740000Y-100790000D03*
X132200000Y-100790000D03*
G04 #@! TD*
D39*
D40*
G04 #@! TO.C,AUDIO1*
X124468000Y-66518000D03*
X124468000Y-73518000D03*
G04 #@! TD*
D40*
D41*
G04 #@! TO.C,Y2*
X178576000Y-98522000D03*
X172976000Y-98522000D03*
X172976000Y-101322000D03*
X178576000Y-101322000D03*
G04 #@! TD*
D41*
D42*
G04 #@! TO.C,C47*
X133546000Y-68550000D03*
X135456000Y-68550000D03*
@ -9448,12 +9463,12 @@ G04 #@! TO.C,C1*
X102748500Y-81885000D03*
X104658500Y-81885000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,C2*
X153985000Y-96910000D03*
X155735000Y-96910000D03*
G04 #@! TD*
D41*
D42*
G04 #@! TO.C,C3*
X156015000Y-90630000D03*
X154105000Y-90630000D03*
@ -9462,17 +9477,17 @@ G04 #@! TO.C,C4*
X154105000Y-92535000D03*
X156015000Y-92535000D03*
G04 #@! TD*
D43*
D44*
G04 #@! TO.C,C5*
X163315000Y-90945000D03*
X163315000Y-92855000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,C6*
X151645000Y-82375000D03*
X153395000Y-82375000D03*
G04 #@! TD*
D41*
D42*
G04 #@! TO.C,C7*
X153475000Y-79200000D03*
X151565000Y-79200000D03*
@ -9481,17 +9496,17 @@ G04 #@! TO.C,C8*
X153475000Y-77295000D03*
X151565000Y-77295000D03*
G04 #@! TD*
D43*
D44*
G04 #@! TO.C,C9*
X160775000Y-79520000D03*
X160775000Y-77610000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,C10*
X108465000Y-81105000D03*
X110215000Y-81105000D03*
G04 #@! TD*
D41*
D42*
G04 #@! TO.C,C11*
X108385000Y-84280000D03*
X110295000Y-84280000D03*
@ -9500,37 +9515,37 @@ G04 #@! TO.C,C12*
X110295000Y-86185000D03*
X108385000Y-86185000D03*
G04 #@! TD*
D43*
D44*
G04 #@! TO.C,C13*
X173221000Y-83833000D03*
X173221000Y-85743000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,C14*
X175380000Y-76900000D03*
X175380000Y-75150000D03*
G04 #@! TD*
D43*
D44*
G04 #@! TO.C,C15*
X105276000Y-99967000D03*
X105276000Y-98057000D03*
G04 #@! TD*
D41*
D42*
G04 #@! TO.C,C16*
X173424000Y-87473000D03*
X175334000Y-87473000D03*
G04 #@! TD*
D43*
D44*
G04 #@! TO.C,C17*
X138500000Y-90665000D03*
X138500000Y-92575000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,C18*
X150589600Y-64359000D03*
X150589600Y-62609000D03*
G04 #@! TD*
D43*
D44*
G04 #@! TO.C,C19*
X138500000Y-82375000D03*
X138500000Y-80465000D03*
@ -9539,7 +9554,7 @@ G04 #@! TO.C,C20*
X138500000Y-87575000D03*
X138500000Y-85665000D03*
G04 #@! TD*
D41*
D42*
G04 #@! TO.C,C21*
X103706000Y-93061000D03*
X101796000Y-93061000D03*
@ -9556,7 +9571,7 @@ G04 #@! TO.C,C24*
X151189000Y-74882000D03*
X149279000Y-74882000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,C25*
X140900000Y-87095000D03*
X140900000Y-85345000D03*
@ -9581,7 +9596,7 @@ G04 #@! TO.C,C30*
X134900000Y-90145000D03*
X134900000Y-91895000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,C31*
X135225000Y-88420000D03*
X136975000Y-88420000D03*
@ -9598,17 +9613,17 @@ G04 #@! TO.C,C34*
X158375000Y-82220000D03*
X156625000Y-82220000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,C35*
X177300000Y-94025000D03*
X177300000Y-95775000D03*
G04 #@! TD*
D41*
D42*
G04 #@! TO.C,C46*
X145342000Y-103584000D03*
X147252000Y-103584000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,C48*
X103995000Y-70963000D03*
X102245000Y-70963000D03*
@ -9617,12 +9632,12 @@ G04 #@! TO.C,C49*
X103372000Y-91156000D03*
X101622000Y-91156000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,C50*
X179713000Y-88856000D03*
X179713000Y-87106000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,C51*
X180473000Y-103856000D03*
X178723000Y-103856000D03*
@ -9635,7 +9650,7 @@ G04 #@! TO.C,C53*
X132827200Y-86330000D03*
X134577200Y-86330000D03*
G04 #@! TD*
D43*
D44*
G04 #@! TO.C,C54*
X169172000Y-95281000D03*
X169172000Y-97191000D03*
@ -9644,13 +9659,6 @@ G04 #@! TO.C,D11*
X179190000Y-80790000D03*
X179190000Y-78880000D03*
G04 #@! TD*
D45*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D46*
G04 #@! TO.C,D10*
X169050000Y-84280000D03*
@ -9708,7 +9716,7 @@ D47*
G04 #@! TO.C,AE1*
X181872000Y-111603000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R49*
X113277000Y-74360000D03*
X113277000Y-72610000D03*
@ -9717,12 +9725,12 @@ G04 #@! TO.C,R50*
X111372000Y-72610000D03*
X111372000Y-74360000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R51*
X155455000Y-72487000D03*
X157205000Y-72487000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R52*
X171331000Y-66278000D03*
X171331000Y-68028000D03*
@ -9731,12 +9739,12 @@ G04 #@! TO.C,R53*
X169919000Y-68028000D03*
X169919000Y-66278000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R54*
X174477000Y-65502000D03*
X172727000Y-65502000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R56*
X128390000Y-106321000D03*
X128390000Y-104571000D03*
@ -9757,7 +9765,7 @@ G04 #@! TO.C,R60*
X122294000Y-74233000D03*
X122294000Y-72483000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R61*
X145655000Y-69900000D03*
X143905000Y-69900000D03*
@ -9775,22 +9783,22 @@ D49*
X132596000Y-71725000D03*
X135136000Y-71725000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R40*
X166631000Y-65738000D03*
X168381000Y-65738000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R55*
X134740000Y-96740000D03*
X134740000Y-98490000D03*
G04 #@! TD*
D43*
D44*
G04 #@! TO.C,C55*
X179078000Y-90963000D03*
X179078000Y-92873000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R65*
X177300000Y-92793000D03*
X177300000Y-91043000D03*
@ -9808,12 +9816,12 @@ G04 #@! TO.C,L3*
X155060000Y-74755000D03*
X157600000Y-74755000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R1*
X171175000Y-82375000D03*
X169425000Y-82375000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R2*
X172840000Y-78960000D03*
X172840000Y-80710000D03*
@ -9822,12 +9830,12 @@ G04 #@! TO.C,R3*
X162045000Y-71185000D03*
X162045000Y-69435000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R4*
X176890000Y-80470000D03*
X175140000Y-80470000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R5*
X174110000Y-75150000D03*
X174110000Y-76900000D03*
@ -9848,12 +9856,12 @@ G04 #@! TO.C,R9*
X128390000Y-110555000D03*
X128390000Y-108805000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R10*
X151264000Y-103076000D03*
X153014000Y-103076000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R11*
X119515000Y-80093000D03*
X119515000Y-78343000D03*
@ -9862,7 +9870,7 @@ G04 #@! TO.C,R12*
X114308000Y-89219000D03*
X114308000Y-87469000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R13*
X175140000Y-78565000D03*
X176890000Y-78565000D03*
@ -9883,7 +9891,7 @@ G04 #@! TO.C,R17*
X126471000Y-80470000D03*
X124721000Y-80470000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R18*
X130422000Y-73898000D03*
X130422000Y-75648000D03*
@ -9912,7 +9920,7 @@ G04 #@! TO.C,R24*
X150615000Y-67647000D03*
X150615000Y-65897000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R25*
X148300000Y-72487000D03*
X150050000Y-72487000D03*
@ -9921,7 +9929,7 @@ G04 #@! TO.C,R26*
X150362000Y-69693000D03*
X148612000Y-69693000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R27*
X129025000Y-95300000D03*
X129025000Y-97050000D03*
@ -9938,12 +9946,12 @@ G04 #@! TO.C,R30*
X115690000Y-78343000D03*
X115690000Y-80093000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R31*
X142755000Y-98250000D03*
X144505000Y-98250000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R32*
X132835000Y-98490000D03*
X132835000Y-96740000D03*
@ -9952,7 +9960,7 @@ G04 #@! TO.C,R33*
X130930000Y-96740000D03*
X130930000Y-98490000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R34*
X102115000Y-103600000D03*
X103865000Y-103600000D03*
@ -9961,12 +9969,12 @@ G04 #@! TO.C,R35*
X102115000Y-102060000D03*
X103865000Y-102060000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,R38*
X103576500Y-99905000D03*
X103576500Y-98155000D03*
G04 #@! TD*
D42*
D43*
G04 #@! TO.C,R39*
X164190000Y-96345000D03*
X162440000Y-96345000D03*
@ -9991,7 +9999,7 @@ G04 #@! TO.C,RA3*
X151645000Y-81105000D03*
X153395000Y-81105000D03*
G04 #@! TD*
D44*
D45*
G04 #@! TO.C,RB1*
X158235000Y-92775000D03*
X158235000Y-91025000D03*
@ -10004,7 +10012,7 @@ G04 #@! TO.C,RB3*
X155695000Y-79440000D03*
X155695000Y-77690000D03*
G04 #@! TD*
D19*
D20*
G04 #@! TO.C,D8*
X110149000Y-70074000D03*
X114149000Y-70074000D03*
@ -10051,17 +10059,9 @@ G04 #@! TO.C,C59*
X166251000Y-89162000D03*
X166251000Y-87562000D03*
G04 #@! TD*
D20*
D19*
G04 #@! TO.C,L4*
X169807000Y-87394500D03*
X169807000Y-89329500D03*
G04 #@! TD*
G04 #@! TO.C,RP1*
X152281000Y-98328500D03*
X152281000Y-96393500D03*
G04 #@! TD*
G04 #@! TO.C,RP3*
X149472000Y-77343500D03*
X149472000Y-79278500D03*
G04 #@! TD*
M02*

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*

@ -1,81 +1,81 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L1,Top,Signal*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD10R,1.120000X2.440000*%
%ADD10R,0.300000X1.900000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD11C,2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD11R,0.560000X0.900000*%
%ADD12R,1.120000X2.440000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD13R,0.560000X0.900000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD12O,1.727200X1.727200*%
%ADD14O,1.727200X1.727200*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD13R,1.727200X1.727200*%
%ADD15R,1.727200X1.727200*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD14C,5.500000*%
%ADD16C,5.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD15O,0.850000X0.300000*%
%ADD17O,0.850000X0.300000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD16O,0.300000X0.850000*%
%ADD18O,0.300000X0.850000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD17R,1.675000X1.675000*%
%ADD19R,1.675000X1.675000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD18R,1.727200X2.032000*%
%ADD20R,1.727200X2.032000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD19O,1.727200X2.032000*%
%ADD21O,1.727200X2.032000*%
G04 #@! TD*
G04 #@! TA.AperFunction,BGAPad,CuDef*
%ADD20C,0.350000*%
%ADD22C,0.350000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD21R,2.800000X2.000000*%
%ADD23R,2.800000X2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD22R,2.800000X2.200000*%
%ADD24R,2.800000X2.200000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD23R,2.800000X2.800000*%
%ADD25R,2.800000X2.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD24R,0.700000X1.500000*%
%ADD26R,0.700000X1.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD25R,1.450000X0.900000*%
%ADD27R,1.450000X0.900000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD26R,1.450000X2.000000*%
%ADD28R,1.450000X2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD27R,2.200000X1.800000*%
%ADD29R,2.200000X1.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD28R,1.000000X0.670000*%
%ADD30R,1.000000X0.670000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD29R,1.500000X0.970000*%
G04 #@! TD*
G04 #@! TA.AperFunction,SMDPad,CuDef*
%ADD30R,0.300000X1.900000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD31C,2.000000*%
%ADD31R,1.500000X0.970000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ComponentPad*
%ADD32R,1.700000X1.700000*%
@ -154,6 +154,72 @@ G04 #@! TA.AperFunction,Conductor*
G04 #@! TD*
G04 APERTURE END LIST*
D10*
G04 #@! TO.P,GPDI1,19*
G04 #@! TO.N,/gpdi/GPDI_ETH-*
X149546000Y-70312000D03*
G04 #@! TO.P,GPDI1,18*
G04 #@! TO.N,+5V*
X149046000Y-70312000D03*
G04 #@! TO.P,GPDI1,17*
G04 #@! TO.N,GND*
X148546000Y-70312000D03*
G04 #@! TO.P,GPDI1,16*
G04 #@! TO.N,GPDI_SDA*
X148046000Y-70312000D03*
G04 #@! TO.P,GPDI1,15*
G04 #@! TO.N,GPDI_SCL*
X147546000Y-70312000D03*
G04 #@! TO.P,GPDI1,14*
G04 #@! TO.N,/gpdi/GPDI_ETH+*
X147046000Y-70312000D03*
G04 #@! TO.P,GPDI1,13*
G04 #@! TO.N,GPDI_CEC*
X146546000Y-70312000D03*
G04 #@! TO.P,GPDI1,12*
G04 #@! TO.N,/gpdi/GPDI_CLK-*
X146046000Y-70312000D03*
G04 #@! TO.P,GPDI1,11*
G04 #@! TO.N,GND*
X145546000Y-70312000D03*
G04 #@! TO.P,GPDI1,10*
G04 #@! TO.N,/gpdi/GPDI_CLK+*
X145046000Y-70312000D03*
G04 #@! TO.P,GPDI1,9*
G04 #@! TO.N,/gpdi/GPDI_D0-*
X144546000Y-70312000D03*
G04 #@! TO.P,GPDI1,8*
G04 #@! TO.N,GND*
X144046000Y-70312000D03*
G04 #@! TO.P,GPDI1,7*
G04 #@! TO.N,/gpdi/GPDI_D0+*
X143546000Y-70312000D03*
G04 #@! TO.P,GPDI1,6*
G04 #@! TO.N,/gpdi/GPDI_D1-*
X143046000Y-70312000D03*
G04 #@! TO.P,GPDI1,5*
G04 #@! TO.N,GND*
X142546000Y-70312000D03*
G04 #@! TO.P,GPDI1,4*
G04 #@! TO.N,/gpdi/GPDI_D1+*
X142046000Y-70312000D03*
G04 #@! TO.P,GPDI1,3*
G04 #@! TO.N,/gpdi/GPDI_D2-*
X141546000Y-70312000D03*
G04 #@! TO.P,GPDI1,2*
G04 #@! TO.N,GND*
X141046000Y-70312000D03*
G04 #@! TO.P,GPDI1,1*
G04 #@! TO.N,/gpdi/GPDI_D2+*
X140546000Y-70312000D03*
D11*
G04 #@! TO.P,GPDI1,0*
G04 #@! TO.N,GND*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D12*
G04 #@! TO.P,SW1,8*
G04 #@! TO.N,SW1*
X156330000Y-69815000D03*
@ -179,7 +245,7 @@ G04 #@! TO.P,SW1,1*
G04 #@! TO.N,/blinkey/SWPU*
X156330000Y-78425000D03*
G04 #@! TD*
D11*
D13*
G04 #@! TO.P,U2,28*
G04 #@! TO.N,GND*
X175493000Y-82270000D03*
@ -343,19 +409,19 @@ G04 #@! TO.P,U2,54*
G04 #@! TO.N,GND*
X154693000Y-82270000D03*
G04 #@! TD*
D12*
D14*
G04 #@! TO.P,J1,1*
G04 #@! TO.N,2V5_3V3*
X97910000Y-62690000D03*
G04 #@! TO.P,J1,2*
X95370000Y-62690000D03*
D13*
D15*
G04 #@! TO.P,J1,3*
G04 #@! TO.N,GND*
X97910000Y-65230000D03*
G04 #@! TO.P,J1,4*
X95370000Y-65230000D03*
D12*
D14*
G04 #@! TO.P,J1,5*
G04 #@! TO.N,GN0*
X97910000Y-67770000D03*
@ -403,13 +469,13 @@ G04 #@! TO.N,2V5_3V3*
X97910000Y-85550000D03*
G04 #@! TO.P,J1,20*
X95370000Y-85550000D03*
D13*
D15*
G04 #@! TO.P,J1,21*
G04 #@! TO.N,GND*
X97910000Y-88090000D03*
G04 #@! TO.P,J1,22*
X95370000Y-88090000D03*
D12*
D14*
G04 #@! TO.P,J1,23*
G04 #@! TO.N,GN7*
X97910000Y-90630000D03*
@ -452,13 +518,13 @@ X97910000Y-105870000D03*
G04 #@! TO.P,J1,36*
G04 #@! TO.N,GP13*
X95370000Y-105870000D03*
D13*
D15*
G04 #@! TO.P,J1,37*
G04 #@! TO.N,GND*
X97910000Y-108410000D03*
G04 #@! TO.P,J1,38*
X95370000Y-108410000D03*
D12*
D14*
G04 #@! TO.P,J1,39*
G04 #@! TO.N,2V5_3V3*
X97910000Y-110950000D03*
@ -470,13 +536,13 @@ G04 #@! TO.N,+3V3*
X184270000Y-110950000D03*
G04 #@! TO.P,J2,2*
X186810000Y-110950000D03*
D13*
D15*
G04 #@! TO.P,J2,3*
G04 #@! TO.N,GND*
X184270000Y-108410000D03*
G04 #@! TO.P,J2,4*
X186810000Y-108410000D03*
D12*
D14*
G04 #@! TO.P,J2,5*
G04 #@! TO.N,GN14*
X184270000Y-105870000D03*
@ -524,13 +590,13 @@ G04 #@! TO.N,+3V3*
X184270000Y-88090000D03*
G04 #@! TO.P,J2,20*
X186810000Y-88090000D03*
D13*
D15*
G04 #@! TO.P,J2,21*
G04 #@! TO.N,GND*
X184270000Y-85550000D03*
G04 #@! TO.P,J2,22*
X186810000Y-85550000D03*
D12*
D14*
G04 #@! TO.P,J2,23*
G04 #@! TO.N,GN21*
X184270000Y-83010000D03*
@ -573,13 +639,13 @@ X184270000Y-67770000D03*
G04 #@! TO.P,J2,36*
G04 #@! TO.N,GP27*
X186810000Y-67770000D03*
D13*
D15*
G04 #@! TO.P,J2,37*
G04 #@! TO.N,GND*
X184270000Y-65230000D03*
G04 #@! TO.P,J2,38*
X186810000Y-65230000D03*
D12*
D14*
G04 #@! TO.P,J2,39*
G04 #@! TO.N,/gpio/IN5V*
X184270000Y-62690000D03*
@ -587,7 +653,7 @@ G04 #@! TO.P,J2,40*
G04 #@! TO.N,/gpio/OUT5V*
X186810000Y-62690000D03*
G04 #@! TD*
D14*
D16*
G04 #@! TO.P,H1,1*
G04 #@! TO.N,GND*
X102990000Y-108410000D03*
@ -604,11 +670,11 @@ G04 #@! TO.P,H4,1*
G04 #@! TO.N,GND*
X102990000Y-65230000D03*
G04 #@! TD*
D13*
D15*
G04 #@! TO.P,J4,1*
G04 #@! TO.N,GND*
X140455000Y-104600000D03*
D12*
D14*
G04 #@! TO.P,J4,2*
G04 #@! TO.N,+3V3*
X137915000Y-104600000D03*
@ -625,7 +691,7 @@ G04 #@! TO.P,J4,6*
G04 #@! TO.N,JTAG_TDO*
X137915000Y-109680000D03*
G04 #@! TD*
D15*
D17*
G04 #@! TO.P,U8,1*
G04 #@! TO.N,GP15*
X179735000Y-101655000D03*
@ -646,7 +712,7 @@ G04 #@! TO.N,GND*
X179735000Y-99155000D03*
G04 #@! TO.P,U8,7*
X179735000Y-98655000D03*
D16*
D18*
G04 #@! TO.P,U8,8*
X178785000Y-97705000D03*
G04 #@! TO.P,U8,9*
@ -663,7 +729,7 @@ G04 #@! TO.N,GND*
X176285000Y-97705000D03*
G04 #@! TO.P,U8,14*
X175785000Y-97705000D03*
D15*
D17*
G04 #@! TO.P,U8,15*
G04 #@! TO.N,/analog/ADC3V3*
X174835000Y-98655000D03*
@ -684,7 +750,7 @@ X174835000Y-101155000D03*
G04 #@! TO.P,U8,21*
G04 #@! TO.N,ADC_MOSI*
X174835000Y-101655000D03*
D16*
D18*
G04 #@! TO.P,U8,22*
G04 #@! TO.N,GND*
X175785000Y-102605000D03*
@ -706,7 +772,7 @@ X178285000Y-102605000D03*
G04 #@! TO.P,U8,28*
G04 #@! TO.N,GN15*
X178785000Y-102605000D03*
D17*
D19*
G04 #@! TO.P,U8,29*
G04 #@! TO.N,GND*
X176447500Y-99317500D03*
@ -714,11 +780,11 @@ X176447500Y-100992500D03*
X178122500Y-99317500D03*
X178122500Y-100992500D03*
G04 #@! TD*
D18*
D20*
G04 #@! TO.P,OLED1,1*
G04 #@! TO.N,GND*
X147440000Y-100790000D03*
D19*
D21*
G04 #@! TO.P,OLED1,2*
G04 #@! TO.N,+3V3*
X144900000Y-100790000D03*
@ -738,7 +804,7 @@ G04 #@! TO.P,OLED1,7*
G04 #@! TO.N,OLED_CS*
X132200000Y-100790000D03*
G04 #@! TD*
D20*
D22*
G04 #@! TO.P,U1,A2*
G04 #@! TO.N,GP9*
X131680000Y-80200000D03*
@ -1786,31 +1852,31 @@ X143680000Y-95400000D03*
G04 #@! TO.P,U1,Y19*
X145280000Y-95400000D03*
G04 #@! TD*
D21*
D23*
G04 #@! TO.P,AUDIO1,1*
G04 #@! TO.N,GND*
X120668000Y-62618000D03*
D22*
D24*
G04 #@! TO.P,AUDIO1,4*
G04 #@! TO.N,/analog/AUDIO_V*
X120668000Y-65418000D03*
D23*
D25*
G04 #@! TO.P,AUDIO1,2*
G04 #@! TO.N,/analog/AUDIO_L*
X120668000Y-74818000D03*
G04 #@! TO.P,AUDIO1,5*
G04 #@! TO.N,Net-(AUDIO1-Pad5)*
X125218000Y-78918000D03*
D22*
D24*
G04 #@! TO.P,AUDIO1,3*
G04 #@! TO.N,/analog/AUDIO_R*
X128268000Y-67318000D03*
D21*
D23*
G04 #@! TO.P,AUDIO1,6*
G04 #@! TO.N,Net-(AUDIO1-Pad6)*
X128268000Y-64518000D03*
G04 #@! TD*
D24*
D26*
G04 #@! TO.P,SD1,1*
G04 #@! TO.N,SD_D2*
X118250000Y-105250000D03*
@ -1835,20 +1901,20 @@ X111650000Y-105250000D03*
G04 #@! TO.P,SD1,8*
G04 #@! TO.N,SD_D1*
X110550000Y-105250000D03*
D25*
D27*
G04 #@! TO.P,SD1,10*
G04 #@! TO.N,GND*
X121925000Y-109550000D03*
G04 #@! TO.P,SD1,11*
X108175000Y-97850000D03*
D26*
D28*
G04 #@! TO.P,SD1,9*
X108175000Y-107850000D03*
X121925000Y-107850000D03*
X121925000Y-99550000D03*
X108175000Y-99550000D03*
G04 #@! TD*
D27*
D29*
G04 #@! TO.P,Y1,1*
G04 #@! TO.N,+3V3*
X108212000Y-70160000D03*
@ -1862,7 +1928,7 @@ G04 #@! TO.P,Y1,4*
G04 #@! TO.N,+3V3*
X108212000Y-74560000D03*
G04 #@! TD*
D28*
D30*
G04 #@! TO.P,C36,1*
G04 #@! TO.N,FPDI_ETH+*
X150361000Y-76646000D03*
@ -1933,7 +1999,7 @@ G04 #@! TO.P,C45,2*
G04 #@! TO.N,/gpdi/GPDI_CLK+*
X147567000Y-74884000D03*
G04 #@! TD*
D29*
D31*
G04 #@! TO.P,D19,1*
G04 #@! TO.N,/blinkey/LED_TXLED*
X130930000Y-106825000D03*
@ -2012,72 +2078,6 @@ G04 #@! TO.N,/blinkey/LED_WIFI*
X125850000Y-106825000D03*
G04 #@! TD*
D30*
G04 #@! TO.P,GPDI1,19*
G04 #@! TO.N,/gpdi/GPDI_ETH-*
X149546000Y-70312000D03*
G04 #@! TO.P,GPDI1,18*
G04 #@! TO.N,+5V*
X149046000Y-70312000D03*
G04 #@! TO.P,GPDI1,17*
G04 #@! TO.N,GND*
X148546000Y-70312000D03*
G04 #@! TO.P,GPDI1,16*
G04 #@! TO.N,GPDI_SDA*
X148046000Y-70312000D03*
G04 #@! TO.P,GPDI1,15*
G04 #@! TO.N,GPDI_SCL*
X147546000Y-70312000D03*
G04 #@! TO.P,GPDI1,14*
G04 #@! TO.N,/gpdi/GPDI_ETH+*
X147046000Y-70312000D03*
G04 #@! TO.P,GPDI1,13*
G04 #@! TO.N,GPDI_CEC*
X146546000Y-70312000D03*
G04 #@! TO.P,GPDI1,12*
G04 #@! TO.N,/gpdi/GPDI_CLK-*
X146046000Y-70312000D03*
G04 #@! TO.P,GPDI1,11*
G04 #@! TO.N,GND*
X145546000Y-70312000D03*
G04 #@! TO.P,GPDI1,10*
G04 #@! TO.N,/gpdi/GPDI_CLK+*
X145046000Y-70312000D03*
G04 #@! TO.P,GPDI1,9*
G04 #@! TO.N,/gpdi/GPDI_D0-*
X144546000Y-70312000D03*
G04 #@! TO.P,GPDI1,8*
G04 #@! TO.N,GND*
X144046000Y-70312000D03*
G04 #@! TO.P,GPDI1,7*
G04 #@! TO.N,/gpdi/GPDI_D0+*
X143546000Y-70312000D03*
G04 #@! TO.P,GPDI1,6*
G04 #@! TO.N,/gpdi/GPDI_D1-*
X143046000Y-70312000D03*
G04 #@! TO.P,GPDI1,5*
G04 #@! TO.N,GND*
X142546000Y-70312000D03*
G04 #@! TO.P,GPDI1,4*
G04 #@! TO.N,/gpdi/GPDI_D1+*
X142046000Y-70312000D03*
G04 #@! TO.P,GPDI1,3*
G04 #@! TO.N,/gpdi/GPDI_D2-*
X141546000Y-70312000D03*
G04 #@! TO.P,GPDI1,2*
G04 #@! TO.N,GND*
X141046000Y-70312000D03*
G04 #@! TO.P,GPDI1,1*
G04 #@! TO.N,/gpdi/GPDI_D2+*
X140546000Y-70312000D03*
D31*
G04 #@! TO.P,GPDI1,0*
G04 #@! TO.N,GND*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D28*
G04 #@! TO.P,R41,1*
G04 #@! TO.N,LED0*
X120770000Y-83377000D03*
@ -16172,6 +16172,31 @@ X98913083Y-61951000D01*
X102063317Y-61951000D01*
X101079629Y-62355620D01*
G36*
X148395034Y-108484726D02*
X148395037Y-108484726D01*
X148447327Y-108495127D01*
X148499617Y-108484726D01*
X152159001Y-108484726D01*
X152159001Y-109045000D01*
X145261000Y-109045000D01*
X145261000Y-108669947D01*
X145449948Y-108481000D01*
X148376302Y-108481000D01*
X148395034Y-108484726D01*
X148395034Y-108484726D01*
G37*
X148395034Y-108484726D02*
X148395037Y-108484726D01*
X148447327Y-108495127D01*
X148499617Y-108484726D01*
X152159001Y-108484726D01*
X152159001Y-109045000D01*
X145261000Y-109045000D01*
X145261000Y-108669947D01*
X145449948Y-108481000D01*
X148376302Y-108481000D01*
X148395034Y-108484726D01*
G36*
X140699657Y-97757578D02*
X140788109Y-97971120D01*
X140951547Y-98134558D01*
@ -17131,31 +17156,6 @@ X136570932Y-97652985D01*
X140699657Y-97652985D01*
X140699657Y-97757578D01*
G36*
X148395034Y-108484726D02*
X148395037Y-108484726D01*
X148447327Y-108495127D01*
X148499617Y-108484726D01*
X152159001Y-108484726D01*
X152159001Y-109045000D01*
X145261000Y-109045000D01*
X145261000Y-108669947D01*
X145449948Y-108481000D01*
X148376302Y-108481000D01*
X148395034Y-108484726D01*
X148395034Y-108484726D01*
G37*
X148395034Y-108484726D02*
X148395037Y-108484726D01*
X148447327Y-108495127D01*
X148499617Y-108484726D01*
X152159001Y-108484726D01*
X152159001Y-109045000D01*
X145261000Y-109045000D01*
X145261000Y-108669947D01*
X145449948Y-108481000D01*
X148376302Y-108481000D01*
X148395034Y-108484726D01*
G36*
X113374001Y-102038386D02*
X113322979Y-102072478D01*
X113161414Y-102314278D01*

@ -1,39 +1,39 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.120000X2.440000*%
%ADD11R,0.560000X0.900000*%
%ADD12O,1.827200X1.827200*%
%ADD13R,1.727200X1.727200*%
%ADD14C,5.600000*%
%ADD15O,0.950000X0.400000*%
%ADD16O,0.400000X0.950000*%
%ADD17R,1.675000X1.675000*%
%ADD18R,1.727200X2.032000*%
%ADD19O,1.827200X2.132000*%
%ADD20C,0.430000*%
%ADD21R,2.800000X2.000000*%
%ADD22R,2.800000X2.200000*%
%ADD23R,2.800000X2.800000*%
%ADD24C,1.800000*%
%ADD25R,0.700000X1.500000*%
%ADD26R,1.450000X0.900000*%
%ADD27R,1.450000X2.000000*%
%ADD28R,2.200000X1.800000*%
%ADD29R,1.000000X0.670000*%
%ADD30R,1.500000X0.970000*%
%ADD31R,0.300000X1.900000*%
%ADD32C,2.100000*%
%ADD10R,0.300000X1.900000*%
%ADD11C,2.100000*%
%ADD12R,1.120000X2.440000*%
%ADD13R,0.560000X0.900000*%
%ADD14O,1.827200X1.827200*%
%ADD15R,1.727200X1.727200*%
%ADD16C,5.600000*%
%ADD17O,0.950000X0.400000*%
%ADD18O,0.400000X0.950000*%
%ADD19R,1.675000X1.675000*%
%ADD20R,1.727200X2.032000*%
%ADD21O,1.827200X2.132000*%
%ADD22C,0.430000*%
%ADD23R,2.800000X2.000000*%
%ADD24R,2.800000X2.200000*%
%ADD25R,2.800000X2.800000*%
%ADD26C,1.800000*%
%ADD27R,0.700000X1.500000*%
%ADD28R,1.450000X0.900000*%
%ADD29R,1.450000X2.000000*%
%ADD30R,2.200000X1.800000*%
%ADD31R,1.000000X0.670000*%
%ADD32R,1.500000X0.970000*%
%ADD33R,1.700000X1.700000*%
%ADD34O,1.800000X1.800000*%
%ADD35R,1.295000X1.400000*%
@ -44,6 +44,33 @@ G04 APERTURE LIST*
%ADD40R,1.550000X1.300000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,GPDI1*
X149546000Y-70312000D03*
X149046000Y-70312000D03*
X148546000Y-70312000D03*
X148046000Y-70312000D03*
X147546000Y-70312000D03*
X147046000Y-70312000D03*
X146546000Y-70312000D03*
X146046000Y-70312000D03*
X145546000Y-70312000D03*
X145046000Y-70312000D03*
X144546000Y-70312000D03*
X144046000Y-70312000D03*
X143546000Y-70312000D03*
X143046000Y-70312000D03*
X142546000Y-70312000D03*
X142046000Y-70312000D03*
X141546000Y-70312000D03*
X141046000Y-70312000D03*
X140546000Y-70312000D03*
D11*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D12*
G04 #@! TO.C,SW1*
X156330000Y-69815000D03*
X163950000Y-78425000D03*
@ -54,7 +81,7 @@ X158870000Y-78425000D03*
X163950000Y-69815000D03*
X156330000Y-78425000D03*
G04 #@! TD*
D11*
D13*
G04 #@! TO.C,U2*
X175493000Y-82270000D03*
X154693000Y-93330000D03*
@ -111,14 +138,14 @@ X156293000Y-82270000D03*
X155493000Y-82270000D03*
X154693000Y-82270000D03*
G04 #@! TD*
D12*
D14*
G04 #@! TO.C,J1*
X97910000Y-62690000D03*
X95370000Y-62690000D03*
D13*
D15*
X97910000Y-65230000D03*
X95370000Y-65230000D03*
D12*
D14*
X97910000Y-67770000D03*
X95370000Y-67770000D03*
X97910000Y-70310000D03*
@ -135,10 +162,10 @@ X97910000Y-83010000D03*
X95370000Y-83010000D03*
X97910000Y-85550000D03*
X95370000Y-85550000D03*
D13*
D15*
X97910000Y-88090000D03*
X95370000Y-88090000D03*
D12*
D14*
X97910000Y-90630000D03*
X95370000Y-90630000D03*
X97910000Y-93170000D03*
@ -153,20 +180,20 @@ X97910000Y-103330000D03*
X95370000Y-103330000D03*
X97910000Y-105870000D03*
X95370000Y-105870000D03*
D13*
D15*
X97910000Y-108410000D03*
X95370000Y-108410000D03*
D12*
D14*
X97910000Y-110950000D03*
X95370000Y-110950000D03*
G04 #@! TD*
G04 #@! TO.C,J2*
X184270000Y-110950000D03*
X186810000Y-110950000D03*
D13*
D15*
X184270000Y-108410000D03*
X186810000Y-108410000D03*
D12*
D14*
X184270000Y-105870000D03*
X186810000Y-105870000D03*
X184270000Y-103330000D03*
@ -183,10 +210,10 @@ X184270000Y-90630000D03*
X186810000Y-90630000D03*
X184270000Y-88090000D03*
X186810000Y-88090000D03*
D13*
D15*
X184270000Y-85550000D03*
X186810000Y-85550000D03*
D12*
D14*
X184270000Y-83010000D03*
X186810000Y-83010000D03*
X184270000Y-80470000D03*
@ -201,14 +228,14 @@ X184270000Y-70310000D03*
X186810000Y-70310000D03*
X184270000Y-67770000D03*
X186810000Y-67770000D03*
D13*
D15*
X184270000Y-65230000D03*
X186810000Y-65230000D03*
D12*
D14*
X184270000Y-62690000D03*
X186810000Y-62690000D03*
G04 #@! TD*
D14*
D16*
G04 #@! TO.C,H1*
X102990000Y-108410000D03*
G04 #@! TD*
@ -221,17 +248,17 @@ G04 #@! TD*
G04 #@! TO.C,H4*
X102990000Y-65230000D03*
G04 #@! TD*
D13*
D15*
G04 #@! TO.C,J4*
X140455000Y-104600000D03*
D12*
D14*
X137915000Y-104600000D03*
X140455000Y-107140000D03*
X137915000Y-107140000D03*
X140455000Y-109680000D03*
X137915000Y-109680000D03*
G04 #@! TD*
D15*
D17*
G04 #@! TO.C,U8*
X179735000Y-101655000D03*
X179735000Y-101155000D03*
@ -240,7 +267,7 @@ X179735000Y-100155000D03*
X179735000Y-99655000D03*
X179735000Y-99155000D03*
X179735000Y-98655000D03*
D16*
D18*
X178785000Y-97705000D03*
X178285000Y-97705000D03*
X177785000Y-97705000D03*
@ -248,7 +275,7 @@ X177285000Y-97705000D03*
X176785000Y-97705000D03*
X176285000Y-97705000D03*
X175785000Y-97705000D03*
D15*
D17*
X174835000Y-98655000D03*
X174835000Y-99155000D03*
X174835000Y-99655000D03*
@ -256,7 +283,7 @@ X174835000Y-100155000D03*
X174835000Y-100655000D03*
X174835000Y-101155000D03*
X174835000Y-101655000D03*
D16*
D18*
X175785000Y-102605000D03*
X176285000Y-102605000D03*
X176785000Y-102605000D03*
@ -264,16 +291,16 @@ X177285000Y-102605000D03*
X177785000Y-102605000D03*
X178285000Y-102605000D03*
X178785000Y-102605000D03*
D17*
D19*
X176447500Y-99317500D03*
X176447500Y-100992500D03*
X178122500Y-99317500D03*
X178122500Y-100992500D03*
G04 #@! TD*
D18*
D20*
G04 #@! TO.C,OLED1*
X147440000Y-100790000D03*
D19*
D21*
X144900000Y-100790000D03*
X142360000Y-100790000D03*
X139820000Y-100790000D03*
@ -281,7 +308,7 @@ X137280000Y-100790000D03*
X134740000Y-100790000D03*
X132200000Y-100790000D03*
G04 #@! TD*
D20*
D22*
G04 #@! TO.C,U1*
X131680000Y-80200000D03*
X132480000Y-80200000D03*
@ -665,23 +692,23 @@ X142880000Y-95400000D03*
X143680000Y-95400000D03*
X145280000Y-95400000D03*
G04 #@! TD*
D21*
D23*
G04 #@! TO.C,AUDIO1*
X120668000Y-62618000D03*
D22*
D24*
X120668000Y-65418000D03*
D23*
D25*
X120668000Y-74818000D03*
X125218000Y-78918000D03*
D22*
D24*
X128268000Y-67318000D03*
D21*
D23*
X128268000Y-64518000D03*
D24*
D26*
X124468000Y-66518000D03*
X124468000Y-73518000D03*
G04 #@! TD*
D25*
D27*
G04 #@! TO.C,SD1*
X118250000Y-105250000D03*
X117150000Y-105250000D03*
@ -691,23 +718,23 @@ X113850000Y-105250000D03*
X112750000Y-105250000D03*
X111650000Y-105250000D03*
X110550000Y-105250000D03*
D26*
D28*
X121925000Y-109550000D03*
X108175000Y-97850000D03*
D27*
D29*
X108175000Y-107850000D03*
X121925000Y-107850000D03*
X121925000Y-99550000D03*
X108175000Y-99550000D03*
G04 #@! TD*
D28*
D30*
G04 #@! TO.C,Y1*
X108212000Y-70160000D03*
X103132000Y-70160000D03*
X103132000Y-74560000D03*
X108212000Y-74560000D03*
G04 #@! TD*
D29*
D31*
G04 #@! TO.C,C36*
X150361000Y-76646000D03*
X150361000Y-74896000D03*
@ -748,7 +775,7 @@ G04 #@! TO.C,C45*
X147567000Y-76634000D03*
X147567000Y-74884000D03*
G04 #@! TD*
D30*
D32*
G04 #@! TO.C,D19*
X130930000Y-106825000D03*
X130930000Y-104915000D03*
@ -794,33 +821,6 @@ X125850000Y-104915000D03*
X125850000Y-106825000D03*
G04 #@! TD*
D31*
G04 #@! TO.C,GPDI1*
X149546000Y-70312000D03*
X149046000Y-70312000D03*
X148546000Y-70312000D03*
X148046000Y-70312000D03*
X147546000Y-70312000D03*
X147046000Y-70312000D03*
X146546000Y-70312000D03*
X146046000Y-70312000D03*
X145546000Y-70312000D03*
X145046000Y-70312000D03*
X144546000Y-70312000D03*
X144046000Y-70312000D03*
X143546000Y-70312000D03*
X143046000Y-70312000D03*
X142546000Y-70312000D03*
X142046000Y-70312000D03*
X141546000Y-70312000D03*
X141046000Y-70312000D03*
X140546000Y-70312000D03*
D32*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D29*
G04 #@! TO.C,R41*
X120770000Y-83377000D03*
X120770000Y-81627000D03*

@ -1,40 +1,68 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.120000X2.440000*%
%ADD11R,0.560000X0.900000*%
%ADD12O,0.850000X0.300000*%
%ADD13O,0.300000X0.850000*%
%ADD14R,1.675000X1.675000*%
%ADD15C,0.350000*%
%ADD16R,2.800000X2.000000*%
%ADD17R,2.800000X2.200000*%
%ADD18R,2.800000X2.800000*%
%ADD19R,0.700000X1.500000*%
%ADD20R,1.450000X0.900000*%
%ADD21R,1.450000X2.000000*%
%ADD22R,2.200000X1.800000*%
%ADD23R,1.000000X0.670000*%
%ADD24R,1.500000X0.970000*%
%ADD25R,0.300000X1.900000*%
%ADD26R,1.295000X1.400000*%
%ADD27R,1.800000X1.900000*%
%ADD28R,0.400000X1.350000*%
%ADD29R,1.900000X1.900000*%
%ADD30R,2.100000X1.600000*%
%ADD31R,1.550000X1.300000*%
%ADD10R,0.300000X1.900000*%
%ADD11C,2.000000*%
%ADD12R,1.120000X2.440000*%
%ADD13R,0.560000X0.900000*%
%ADD14O,0.850000X0.300000*%
%ADD15O,0.300000X0.850000*%
%ADD16R,1.675000X1.675000*%
%ADD17C,0.350000*%
%ADD18R,2.800000X2.000000*%
%ADD19R,2.800000X2.200000*%
%ADD20R,2.800000X2.800000*%
%ADD21R,0.700000X1.500000*%
%ADD22R,1.450000X0.900000*%
%ADD23R,1.450000X2.000000*%
%ADD24R,2.200000X1.800000*%
%ADD25R,1.000000X0.670000*%
%ADD26R,1.500000X0.970000*%
%ADD27R,1.295000X1.400000*%
%ADD28R,1.800000X1.900000*%
%ADD29R,0.400000X1.350000*%
%ADD30R,1.900000X1.900000*%
%ADD31R,2.100000X1.600000*%
%ADD32R,1.550000X1.300000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,GPDI1*
X149546000Y-70312000D03*
X149046000Y-70312000D03*
X148546000Y-70312000D03*
X148046000Y-70312000D03*
X147546000Y-70312000D03*
X147046000Y-70312000D03*
X146546000Y-70312000D03*
X146046000Y-70312000D03*
X145546000Y-70312000D03*
X145046000Y-70312000D03*
X144546000Y-70312000D03*
X144046000Y-70312000D03*
X143546000Y-70312000D03*
X143046000Y-70312000D03*
X142546000Y-70312000D03*
X142046000Y-70312000D03*
X141546000Y-70312000D03*
X141046000Y-70312000D03*
X140546000Y-70312000D03*
D11*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D12*
G04 #@! TO.C,SW1*
X156330000Y-69815000D03*
X163950000Y-78425000D03*
@ -45,7 +73,7 @@ X158870000Y-78425000D03*
X163950000Y-69815000D03*
X156330000Y-78425000D03*
G04 #@! TD*
D11*
D13*
G04 #@! TO.C,U2*
X175493000Y-82270000D03*
X154693000Y-93330000D03*
@ -102,7 +130,7 @@ X156293000Y-82270000D03*
X155493000Y-82270000D03*
X154693000Y-82270000D03*
G04 #@! TD*
D12*
D14*
G04 #@! TO.C,U8*
X179735000Y-101655000D03*
X179735000Y-101155000D03*
@ -111,7 +139,7 @@ X179735000Y-100155000D03*
X179735000Y-99655000D03*
X179735000Y-99155000D03*
X179735000Y-98655000D03*
D13*
D15*
X178785000Y-97705000D03*
X178285000Y-97705000D03*
X177785000Y-97705000D03*
@ -119,7 +147,7 @@ X177285000Y-97705000D03*
X176785000Y-97705000D03*
X176285000Y-97705000D03*
X175785000Y-97705000D03*
D12*
D14*
X174835000Y-98655000D03*
X174835000Y-99155000D03*
X174835000Y-99655000D03*
@ -127,7 +155,7 @@ X174835000Y-100155000D03*
X174835000Y-100655000D03*
X174835000Y-101155000D03*
X174835000Y-101655000D03*
D13*
D15*
X175785000Y-102605000D03*
X176285000Y-102605000D03*
X176785000Y-102605000D03*
@ -135,13 +163,13 @@ X177285000Y-102605000D03*
X177785000Y-102605000D03*
X178285000Y-102605000D03*
X178785000Y-102605000D03*
D14*
D16*
X176447500Y-99317500D03*
X176447500Y-100992500D03*
X178122500Y-99317500D03*
X178122500Y-100992500D03*
G04 #@! TD*
D15*
D17*
G04 #@! TO.C,U1*
X131680000Y-80200000D03*
X132480000Y-80200000D03*
@ -525,20 +553,20 @@ X142880000Y-95400000D03*
X143680000Y-95400000D03*
X145280000Y-95400000D03*
G04 #@! TD*
D16*
D18*
G04 #@! TO.C,AUDIO1*
X120668000Y-62618000D03*
D17*
D19*
X120668000Y-65418000D03*
D18*
D20*
X120668000Y-74818000D03*
X125218000Y-78918000D03*
D17*
D19*
X128268000Y-67318000D03*
D16*
D18*
X128268000Y-64518000D03*
G04 #@! TD*
D19*
D21*
G04 #@! TO.C,SD1*
X118250000Y-105250000D03*
X117150000Y-105250000D03*
@ -548,23 +576,23 @@ X113850000Y-105250000D03*
X112750000Y-105250000D03*
X111650000Y-105250000D03*
X110550000Y-105250000D03*
D20*
D22*
X121925000Y-109550000D03*
X108175000Y-97850000D03*
D21*
D23*
X108175000Y-107850000D03*
X121925000Y-107850000D03*
X121925000Y-99550000D03*
X108175000Y-99550000D03*
G04 #@! TD*
D22*
D24*
G04 #@! TO.C,Y1*
X108212000Y-70160000D03*
X103132000Y-70160000D03*
X103132000Y-74560000D03*
X108212000Y-74560000D03*
G04 #@! TD*
D23*
D25*
G04 #@! TO.C,C36*
X150361000Y-76646000D03*
X150361000Y-74896000D03*
@ -605,7 +633,7 @@ G04 #@! TO.C,C45*
X147567000Y-76634000D03*
X147567000Y-74884000D03*
G04 #@! TD*
D24*
D26*
G04 #@! TO.C,D19*
X130930000Y-106825000D03*
X130930000Y-104915000D03*
@ -651,28 +679,6 @@ X125850000Y-104915000D03*
X125850000Y-106825000D03*
G04 #@! TD*
D25*
G04 #@! TO.C,GPDI1*
X149546000Y-70312000D03*
X149046000Y-70312000D03*
X148546000Y-70312000D03*
X148046000Y-70312000D03*
X147546000Y-70312000D03*
X147046000Y-70312000D03*
X146546000Y-70312000D03*
X146046000Y-70312000D03*
X145546000Y-70312000D03*
X145046000Y-70312000D03*
X144546000Y-70312000D03*
X144046000Y-70312000D03*
X143546000Y-70312000D03*
X143046000Y-70312000D03*
X142546000Y-70312000D03*
X142046000Y-70312000D03*
X141546000Y-70312000D03*
X141046000Y-70312000D03*
X140546000Y-70312000D03*
G04 #@! TD*
D23*
G04 #@! TO.C,R41*
X120770000Y-83377000D03*
X120770000Y-81627000D03*
@ -717,7 +723,7 @@ G04 #@! TO.C,R62*
X125850000Y-108805000D03*
X125850000Y-110555000D03*
G04 #@! TD*
D26*
D27*
G04 #@! TO.C,RV2*
X131531500Y-69566000D03*
X129596500Y-69566000D03*
@ -726,40 +732,40 @@ G04 #@! TO.C,RV3*
X133279500Y-69566000D03*
X135214500Y-69566000D03*
G04 #@! TD*
D27*
D28*
G04 #@! TO.C,US1*
X108080000Y-63325000D03*
X115680000Y-63325000D03*
D28*
D29*
X110580000Y-66000000D03*
X111230000Y-66000000D03*
X111880000Y-66000000D03*
X112530000Y-66000000D03*
X113180000Y-66000000D03*
D29*
D30*
X110680000Y-63325000D03*
X113080000Y-63325000D03*
D30*
D31*
X108780000Y-65875000D03*
X114980000Y-65875000D03*
G04 #@! TD*
G04 #@! TO.C,US2*
X173400000Y-65875000D03*
X167200000Y-65875000D03*
D29*
D30*
X171500000Y-63325000D03*
X169100000Y-63325000D03*
D28*
D29*
X171600000Y-66000000D03*
X170950000Y-66000000D03*
X170300000Y-66000000D03*
X169650000Y-66000000D03*
X169000000Y-66000000D03*
D27*
D28*
X174100000Y-63325000D03*
X166500000Y-63325000D03*
G04 #@! TD*
D31*
D32*
G04 #@! TO.C,B0*
X175550000Y-71870000D03*
X175550000Y-76370000D03*

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
@ -20,29 +20,29 @@ G04 APERTURE LIST*
%ADD16C,0.152400*%
%ADD17C,0.124460*%
%ADD18C,0.075000*%
%ADD19R,1.120000X2.440000*%
%ADD20R,0.560000X0.900000*%
%ADD21O,1.827200X1.827200*%
%ADD22R,1.727200X1.727200*%
%ADD23C,5.600000*%
%ADD24O,0.950000X0.400000*%
%ADD25O,0.400000X0.950000*%
%ADD26R,1.675000X1.675000*%
%ADD27R,1.727200X2.032000*%
%ADD28O,1.827200X2.132000*%
%ADD29C,0.430000*%
%ADD30R,2.800000X2.000000*%
%ADD31R,2.800000X2.200000*%
%ADD32R,2.800000X2.800000*%
%ADD33C,1.800000*%
%ADD34R,0.700000X1.500000*%
%ADD35R,1.450000X0.900000*%
%ADD36R,1.450000X2.000000*%
%ADD37R,2.200000X1.800000*%
%ADD38R,1.000000X0.670000*%
%ADD39R,1.500000X0.970000*%
%ADD40R,0.300000X1.900000*%
%ADD41C,2.100000*%
%ADD19R,0.300000X1.900000*%
%ADD20C,2.100000*%
%ADD21R,1.120000X2.440000*%
%ADD22R,0.560000X0.900000*%
%ADD23O,1.827200X1.827200*%
%ADD24R,1.727200X1.727200*%
%ADD25C,5.600000*%
%ADD26O,0.950000X0.400000*%
%ADD27O,0.400000X0.950000*%
%ADD28R,1.675000X1.675000*%
%ADD29R,1.727200X2.032000*%
%ADD30O,1.827200X2.132000*%
%ADD31C,0.430000*%
%ADD32R,2.800000X2.000000*%
%ADD33R,2.800000X2.200000*%
%ADD34R,2.800000X2.800000*%
%ADD35C,1.800000*%
%ADD36R,0.700000X1.500000*%
%ADD37R,1.450000X0.900000*%
%ADD38R,1.450000X2.000000*%
%ADD39R,2.200000X1.800000*%
%ADD40R,1.000000X0.670000*%
%ADD41R,1.500000X0.970000*%
%ADD42R,1.700000X1.700000*%
%ADD43O,1.800000X1.800000*%
%ADD44R,1.295000X1.400000*%
@ -3338,8 +3338,59 @@ X174800000Y-109710000D02*
X174800000Y-107110000D01*
X174800000Y-105180000D02*
X168340000Y-105180000D01*
G04 #@! TO.C,SW1*
G04 #@! TO.C,GPDI1*
D14*
X143843619Y-71923500D02*
X143748380Y-71875880D01*
X143605523Y-71875880D01*
X143462666Y-71923500D01*
X143367428Y-72018738D01*
X143319809Y-72113976D01*
X143272190Y-72304452D01*
X143272190Y-72447309D01*
X143319809Y-72637785D01*
X143367428Y-72733023D01*
X143462666Y-72828261D01*
X143605523Y-72875880D01*
X143700761Y-72875880D01*
X143843619Y-72828261D01*
X143891238Y-72780642D01*
X143891238Y-72447309D01*
X143700761Y-72447309D01*
X144319809Y-72875880D02*
X144319809Y-71875880D01*
X144700761Y-71875880D01*
X144796000Y-71923500D01*
X144843619Y-71971119D01*
X144891238Y-72066357D01*
X144891238Y-72209214D01*
X144843619Y-72304452D01*
X144796000Y-72352071D01*
X144700761Y-72399690D01*
X144319809Y-72399690D01*
X145319809Y-72875880D02*
X145319809Y-71875880D01*
X145557904Y-71875880D01*
X145700761Y-71923500D01*
X145796000Y-72018738D01*
X145843619Y-72113976D01*
X145891238Y-72304452D01*
X145891238Y-72447309D01*
X145843619Y-72637785D01*
X145796000Y-72733023D01*
X145700761Y-72828261D01*
X145557904Y-72875880D01*
X145319809Y-72875880D01*
X146319809Y-72875880D02*
X146319809Y-71875880D01*
X147319809Y-72875880D02*
X146748380Y-72875880D01*
X147034095Y-72875880D02*
X147034095Y-71875880D01*
X146938857Y-72018738D01*
X146843619Y-72113976D01*
X146748380Y-72161595D01*
G04 #@! TO.C,SW1*
X153574761Y-74074333D02*
X153622380Y-73931476D01*
X153622380Y-73693380D01*
@ -4861,57 +4912,6 @@ X123825095Y-104626095D01*
X123967952Y-104673714D01*
X124539380Y-105245142D01*
X124539380Y-104626095D01*
G04 #@! TO.C,GPDI1*
X143843619Y-71923500D02*
X143748380Y-71875880D01*
X143605523Y-71875880D01*
X143462666Y-71923500D01*
X143367428Y-72018738D01*
X143319809Y-72113976D01*
X143272190Y-72304452D01*
X143272190Y-72447309D01*
X143319809Y-72637785D01*
X143367428Y-72733023D01*
X143462666Y-72828261D01*
X143605523Y-72875880D01*
X143700761Y-72875880D01*
X143843619Y-72828261D01*
X143891238Y-72780642D01*
X143891238Y-72447309D01*
X143700761Y-72447309D01*
X144319809Y-72875880D02*
X144319809Y-71875880D01*
X144700761Y-71875880D01*
X144796000Y-71923500D01*
X144843619Y-71971119D01*
X144891238Y-72066357D01*
X144891238Y-72209214D01*
X144843619Y-72304452D01*
X144796000Y-72352071D01*
X144700761Y-72399690D01*
X144319809Y-72399690D01*
X145319809Y-72875880D02*
X145319809Y-71875880D01*
X145557904Y-71875880D01*
X145700761Y-71923500D01*
X145796000Y-72018738D01*
X145843619Y-72113976D01*
X145891238Y-72304452D01*
X145891238Y-72447309D01*
X145843619Y-72637785D01*
X145796000Y-72733023D01*
X145700761Y-72828261D01*
X145557904Y-72875880D01*
X145319809Y-72875880D01*
X146319809Y-72875880D02*
X146319809Y-71875880D01*
X147319809Y-72875880D02*
X146748380Y-72875880D01*
X147034095Y-72875880D02*
X147034095Y-71875880D01*
X146938857Y-72018738D01*
X146843619Y-72113976D01*
X146748380Y-72161595D01*
G04 #@! TO.C,R41*
X122761380Y-83144857D02*
X122285190Y-83478190D01*
@ -5539,6 +5539,33 @@ X167354285Y-108433809D01*
G04 #@! TD*
%LPC*%
D19*
G04 #@! TO.C,GPDI1*
X149546000Y-70312000D03*
X149046000Y-70312000D03*
X148546000Y-70312000D03*
X148046000Y-70312000D03*
X147546000Y-70312000D03*
X147046000Y-70312000D03*
X146546000Y-70312000D03*
X146046000Y-70312000D03*
X145546000Y-70312000D03*
X145046000Y-70312000D03*
X144546000Y-70312000D03*
X144046000Y-70312000D03*
X143546000Y-70312000D03*
X143046000Y-70312000D03*
X142546000Y-70312000D03*
X142046000Y-70312000D03*
X141546000Y-70312000D03*
X141046000Y-70312000D03*
X140546000Y-70312000D03*
D20*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D21*
G04 #@! TO.C,SW1*
X156330000Y-69815000D03*
X163950000Y-78425000D03*
@ -5549,7 +5576,7 @@ X158870000Y-78425000D03*
X163950000Y-69815000D03*
X156330000Y-78425000D03*
G04 #@! TD*
D20*
D22*
G04 #@! TO.C,U2*
X175493000Y-82270000D03*
X154693000Y-93330000D03*
@ -5606,14 +5633,14 @@ X156293000Y-82270000D03*
X155493000Y-82270000D03*
X154693000Y-82270000D03*
G04 #@! TD*
D21*
D23*
G04 #@! TO.C,J1*
X97910000Y-62690000D03*
X95370000Y-62690000D03*
D22*
D24*
X97910000Y-65230000D03*
X95370000Y-65230000D03*
D21*
D23*
X97910000Y-67770000D03*
X95370000Y-67770000D03*
X97910000Y-70310000D03*
@ -5630,10 +5657,10 @@ X97910000Y-83010000D03*
X95370000Y-83010000D03*
X97910000Y-85550000D03*
X95370000Y-85550000D03*
D22*
D24*
X97910000Y-88090000D03*
X95370000Y-88090000D03*
D21*
D23*
X97910000Y-90630000D03*
X95370000Y-90630000D03*
X97910000Y-93170000D03*
@ -5648,20 +5675,20 @@ X97910000Y-103330000D03*
X95370000Y-103330000D03*
X97910000Y-105870000D03*
X95370000Y-105870000D03*
D22*
D24*
X97910000Y-108410000D03*
X95370000Y-108410000D03*
D21*
D23*
X97910000Y-110950000D03*
X95370000Y-110950000D03*
G04 #@! TD*
G04 #@! TO.C,J2*
X184270000Y-110950000D03*
X186810000Y-110950000D03*
D22*
D24*
X184270000Y-108410000D03*
X186810000Y-108410000D03*
D21*
D23*
X184270000Y-105870000D03*
X186810000Y-105870000D03*
X184270000Y-103330000D03*
@ -5678,10 +5705,10 @@ X184270000Y-90630000D03*
X186810000Y-90630000D03*
X184270000Y-88090000D03*
X186810000Y-88090000D03*
D22*
D24*
X184270000Y-85550000D03*
X186810000Y-85550000D03*
D21*
D23*
X184270000Y-83010000D03*
X186810000Y-83010000D03*
X184270000Y-80470000D03*
@ -5696,14 +5723,14 @@ X184270000Y-70310000D03*
X186810000Y-70310000D03*
X184270000Y-67770000D03*
X186810000Y-67770000D03*
D22*
D24*
X184270000Y-65230000D03*
X186810000Y-65230000D03*
D21*
D23*
X184270000Y-62690000D03*
X186810000Y-62690000D03*
G04 #@! TD*
D23*
D25*
G04 #@! TO.C,H1*
X102990000Y-108410000D03*
G04 #@! TD*
@ -5716,17 +5743,17 @@ G04 #@! TD*
G04 #@! TO.C,H4*
X102990000Y-65230000D03*
G04 #@! TD*
D22*
D24*
G04 #@! TO.C,J4*
X140455000Y-104600000D03*
D21*
D23*
X137915000Y-104600000D03*
X140455000Y-107140000D03*
X137915000Y-107140000D03*
X140455000Y-109680000D03*
X137915000Y-109680000D03*
G04 #@! TD*
D24*
D26*
G04 #@! TO.C,U8*
X179735000Y-101655000D03*
X179735000Y-101155000D03*
@ -5735,7 +5762,7 @@ X179735000Y-100155000D03*
X179735000Y-99655000D03*
X179735000Y-99155000D03*
X179735000Y-98655000D03*
D25*
D27*
X178785000Y-97705000D03*
X178285000Y-97705000D03*
X177785000Y-97705000D03*
@ -5743,7 +5770,7 @@ X177285000Y-97705000D03*
X176785000Y-97705000D03*
X176285000Y-97705000D03*
X175785000Y-97705000D03*
D24*
D26*
X174835000Y-98655000D03*
X174835000Y-99155000D03*
X174835000Y-99655000D03*
@ -5751,7 +5778,7 @@ X174835000Y-100155000D03*
X174835000Y-100655000D03*
X174835000Y-101155000D03*
X174835000Y-101655000D03*
D25*
D27*
X175785000Y-102605000D03*
X176285000Y-102605000D03*
X176785000Y-102605000D03*
@ -5759,16 +5786,16 @@ X177285000Y-102605000D03*
X177785000Y-102605000D03*
X178285000Y-102605000D03*
X178785000Y-102605000D03*
D26*
D28*
X176447500Y-99317500D03*
X176447500Y-100992500D03*
X178122500Y-99317500D03*
X178122500Y-100992500D03*
G04 #@! TD*
D27*
D29*
G04 #@! TO.C,OLED1*
X147440000Y-100790000D03*
D28*
D30*
X144900000Y-100790000D03*
X142360000Y-100790000D03*
X139820000Y-100790000D03*
@ -5776,7 +5803,7 @@ X137280000Y-100790000D03*
X134740000Y-100790000D03*
X132200000Y-100790000D03*
G04 #@! TD*
D29*
D31*
G04 #@! TO.C,U1*
X131680000Y-80200000D03*
X132480000Y-80200000D03*
@ -6160,23 +6187,23 @@ X142880000Y-95400000D03*
X143680000Y-95400000D03*
X145280000Y-95400000D03*
G04 #@! TD*
D30*
D32*
G04 #@! TO.C,AUDIO1*
X120668000Y-62618000D03*
D31*
D33*
X120668000Y-65418000D03*
D32*
D34*
X120668000Y-74818000D03*
X125218000Y-78918000D03*
D31*
D33*
X128268000Y-67318000D03*
D30*
D32*
X128268000Y-64518000D03*
D33*
D35*
X124468000Y-66518000D03*
X124468000Y-73518000D03*
G04 #@! TD*
D34*
D36*
G04 #@! TO.C,SD1*
X118250000Y-105250000D03*
X117150000Y-105250000D03*
@ -6186,23 +6213,23 @@ X113850000Y-105250000D03*
X112750000Y-105250000D03*
X111650000Y-105250000D03*
X110550000Y-105250000D03*
D35*
D37*
X121925000Y-109550000D03*
X108175000Y-97850000D03*
D36*
D38*
X108175000Y-107850000D03*
X121925000Y-107850000D03*
X121925000Y-99550000D03*
X108175000Y-99550000D03*
G04 #@! TD*
D37*
D39*
G04 #@! TO.C,Y1*
X108212000Y-70160000D03*
X103132000Y-70160000D03*
X103132000Y-74560000D03*
X108212000Y-74560000D03*
G04 #@! TD*
D38*
D40*
G04 #@! TO.C,C36*
X150361000Y-76646000D03*
X150361000Y-74896000D03*
@ -6243,7 +6270,7 @@ G04 #@! TO.C,C45*
X147567000Y-76634000D03*
X147567000Y-74884000D03*
G04 #@! TD*
D39*
D41*
G04 #@! TO.C,D19*
X130930000Y-106825000D03*
X130930000Y-104915000D03*
@ -6289,33 +6316,6 @@ X125850000Y-104915000D03*
X125850000Y-106825000D03*
G04 #@! TD*
D40*
G04 #@! TO.C,GPDI1*
X149546000Y-70312000D03*
X149046000Y-70312000D03*
X148546000Y-70312000D03*
X148046000Y-70312000D03*
X147546000Y-70312000D03*
X147046000Y-70312000D03*
X146546000Y-70312000D03*
X146046000Y-70312000D03*
X145546000Y-70312000D03*
X145046000Y-70312000D03*
X144546000Y-70312000D03*
X144046000Y-70312000D03*
X143546000Y-70312000D03*
X143046000Y-70312000D03*
X142546000Y-70312000D03*
X142046000Y-70312000D03*
X141546000Y-70312000D03*
X141046000Y-70312000D03*
X140546000Y-70312000D03*
D41*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D38*
G04 #@! TO.C,R41*
X120770000Y-83377000D03*
X120770000Y-81627000D03*

@ -1,33 +1,33 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L2,Inr,Signal*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,ViaPad*
%ADD10O,1.727200X1.727200*%
%ADD10C,2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD11R,1.727200X1.727200*%
%ADD11O,1.727200X1.727200*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD12C,5.500000*%
%ADD12R,1.727200X1.727200*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD13R,1.727200X2.032000*%
%ADD13C,5.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD14O,1.727200X2.032000*%
%ADD14R,1.727200X2.032000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD15C,2.000000*%
%ADD15O,1.727200X2.032000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD16R,1.700000X1.700000*%
@ -94,15 +94,23 @@ G04 #@! TA.AperFunction,Conductor*
G04 #@! TD*
G04 APERTURE END LIST*
D10*
G04 #@! TO.N,GND*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D11*
G04 #@! TO.N,2V5_3V3*
G04 #@! TO.C,J1*
X97910000Y-62690000D03*
X95370000Y-62690000D03*
D11*
D12*
G04 #@! TO.N,GND*
X97910000Y-65230000D03*
X95370000Y-65230000D03*
D10*
D11*
G04 #@! TO.N,GN0*
X97910000Y-67770000D03*
G04 #@! TO.N,GP0*
@ -134,11 +142,11 @@ X95370000Y-83010000D03*
G04 #@! TO.N,2V5_3V3*
X97910000Y-85550000D03*
X95370000Y-85550000D03*
D11*
D12*
G04 #@! TO.N,GND*
X97910000Y-88090000D03*
X95370000Y-88090000D03*
D10*
D11*
G04 #@! TO.N,GN7*
X97910000Y-90630000D03*
G04 #@! TO.N,GP7*
@ -167,11 +175,11 @@ G04 #@! TO.N,GN13*
X97910000Y-105870000D03*
G04 #@! TO.N,GP13*
X95370000Y-105870000D03*
D11*
D12*
G04 #@! TO.N,GND*
X97910000Y-108410000D03*
X95370000Y-108410000D03*
D10*
D11*
G04 #@! TO.N,2V5_3V3*
X97910000Y-110950000D03*
X95370000Y-110950000D03*
@ -180,11 +188,11 @@ G04 #@! TO.N,+3V3*
G04 #@! TO.C,J2*
X184270000Y-110950000D03*
X186810000Y-110950000D03*
D11*
D12*
G04 #@! TO.N,GND*
X184270000Y-108410000D03*
X186810000Y-108410000D03*
D10*
D11*
G04 #@! TO.N,GN14*
X184270000Y-105870000D03*
G04 #@! TO.N,GP14*
@ -216,11 +224,11 @@ X186810000Y-90630000D03*
G04 #@! TO.N,+3V3*
X184270000Y-88090000D03*
X186810000Y-88090000D03*
D11*
D12*
G04 #@! TO.N,GND*
X184270000Y-85550000D03*
X186810000Y-85550000D03*
D10*
D11*
G04 #@! TO.N,GN21*
X184270000Y-83010000D03*
G04 #@! TO.N,GP21*
@ -249,17 +257,17 @@ G04 #@! TO.N,GN27*
X184270000Y-67770000D03*
G04 #@! TO.N,GP27*
X186810000Y-67770000D03*
D11*
D12*
G04 #@! TO.N,GND*
X184270000Y-65230000D03*
X186810000Y-65230000D03*
D10*
D11*
G04 #@! TO.N,/gpio/IN5V*
X184270000Y-62690000D03*
G04 #@! TO.N,/gpio/OUT5V*
X186810000Y-62690000D03*
G04 #@! TD*
D12*
D13*
G04 #@! TO.N,GND*
G04 #@! TO.C,H1*
X102990000Y-108410000D03*
@ -276,11 +284,11 @@ G04 #@! TO.N,GND*
G04 #@! TO.C,H4*
X102990000Y-65230000D03*
G04 #@! TD*
D11*
D12*
G04 #@! TO.N,GND*
G04 #@! TO.C,J4*
X140455000Y-104600000D03*
D10*
D11*
G04 #@! TO.N,+3V3*
X137915000Y-104600000D03*
G04 #@! TO.N,JTAG_TDI*
@ -292,11 +300,11 @@ X140455000Y-109680000D03*
G04 #@! TO.N,JTAG_TDO*
X137915000Y-109680000D03*
G04 #@! TD*
D13*
D14*
G04 #@! TO.N,GND*
G04 #@! TO.C,OLED1*
X147440000Y-100790000D03*
D14*
D15*
G04 #@! TO.N,+3V3*
X144900000Y-100790000D03*
G04 #@! TO.N,OLED_CLK*
@ -310,14 +318,6 @@ X134740000Y-100790000D03*
G04 #@! TO.N,OLED_CS*
X132200000Y-100790000D03*
G04 #@! TD*
D15*
G04 #@! TO.N,GND*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D16*
G04 #@! TO.N,GND*
G04 #@! TO.C,J3*
@ -339,7 +339,7 @@ G04 #@! TD*
D18*
G04 #@! TO.N,*
X124632693Y-93120351D03*
D15*
D10*
G04 #@! TO.N,GND*
X118689500Y-101316000D03*
D18*
@ -468,7 +468,7 @@ X173934500Y-68550000D03*
X180221000Y-94712000D03*
X181618000Y-92045000D03*
X161171000Y-81123000D03*
D15*
D10*
X115197000Y-101316000D03*
D22*
X112784000Y-101633500D03*

@ -1,33 +1,33 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,5.0.0-rc2+dfsg1-3*
G04 #@! TF.CreationDate,2018-07-06T12:09:25+02:00*
G04 #@! TF.CreationDate,2018-07-06T12:35:48+02:00*
G04 #@! TF.ProjectId,ulx3s,756C7833732E6B696361645F70636200,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L3,Inr,Signal*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:25 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:48 2018*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,ViaPad*
%ADD10O,1.727200X1.727200*%
%ADD10C,2.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD11R,1.727200X1.727200*%
%ADD11O,1.727200X1.727200*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD12C,5.500000*%
%ADD12R,1.727200X1.727200*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD13R,1.727200X2.032000*%
%ADD13C,5.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD14O,1.727200X2.032000*%
%ADD14R,1.727200X2.032000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD15C,2.000000*%
%ADD15O,1.727200X2.032000*%
G04 #@! TD*
G04 #@! TA.AperFunction,ViaPad*
%ADD16R,1.700000X1.700000*%
@ -76,15 +76,23 @@ G04 #@! TA.AperFunction,Conductor*
G04 #@! TD*
G04 APERTURE END LIST*
D10*
G04 #@! TO.N,GND*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D11*
G04 #@! TO.N,2V5_3V3*
G04 #@! TO.C,J1*
X97910000Y-62690000D03*
X95370000Y-62690000D03*
D11*
D12*
G04 #@! TO.N,GND*
X97910000Y-65230000D03*
X95370000Y-65230000D03*
D10*
D11*
G04 #@! TO.N,GN0*
X97910000Y-67770000D03*
G04 #@! TO.N,GP0*
@ -116,11 +124,11 @@ X95370000Y-83010000D03*
G04 #@! TO.N,2V5_3V3*
X97910000Y-85550000D03*
X95370000Y-85550000D03*
D11*
D12*
G04 #@! TO.N,GND*
X97910000Y-88090000D03*
X95370000Y-88090000D03*
D10*
D11*
G04 #@! TO.N,GN7*
X97910000Y-90630000D03*
G04 #@! TO.N,GP7*
@ -149,11 +157,11 @@ G04 #@! TO.N,GN13*
X97910000Y-105870000D03*
G04 #@! TO.N,GP13*
X95370000Y-105870000D03*
D11*
D12*
G04 #@! TO.N,GND*
X97910000Y-108410000D03*
X95370000Y-108410000D03*
D10*
D11*
G04 #@! TO.N,2V5_3V3*
X97910000Y-110950000D03*
X95370000Y-110950000D03*
@ -162,11 +170,11 @@ G04 #@! TO.N,+3V3*
G04 #@! TO.C,J2*
X184270000Y-110950000D03*
X186810000Y-110950000D03*
D11*
D12*
G04 #@! TO.N,GND*
X184270000Y-108410000D03*
X186810000Y-108410000D03*
D10*
D11*
G04 #@! TO.N,GN14*
X184270000Y-105870000D03*
G04 #@! TO.N,GP14*
@ -198,11 +206,11 @@ X186810000Y-90630000D03*
G04 #@! TO.N,+3V3*
X184270000Y-88090000D03*
X186810000Y-88090000D03*
D11*
D12*
G04 #@! TO.N,GND*
X184270000Y-85550000D03*
X186810000Y-85550000D03*
D10*
D11*
G04 #@! TO.N,GN21*
X184270000Y-83010000D03*
G04 #@! TO.N,GP21*
@ -231,17 +239,17 @@ G04 #@! TO.N,GN27*
X184270000Y-67770000D03*
G04 #@! TO.N,GP27*
X186810000Y-67770000D03*
D11*
D12*
G04 #@! TO.N,GND*
X184270000Y-65230000D03*
X186810000Y-65230000D03*
D10*
D11*
G04 #@! TO.N,/gpio/IN5V*
X184270000Y-62690000D03*
G04 #@! TO.N,/gpio/OUT5V*
X186810000Y-62690000D03*
G04 #@! TD*
D12*
D13*
G04 #@! TO.N,GND*
G04 #@! TO.C,H1*
X102990000Y-108410000D03*
@ -258,11 +266,11 @@ G04 #@! TO.N,GND*
G04 #@! TO.C,H4*
X102990000Y-65230000D03*
G04 #@! TD*
D11*
D12*
G04 #@! TO.N,GND*
G04 #@! TO.C,J4*
X140455000Y-104600000D03*
D10*
D11*
G04 #@! TO.N,+3V3*
X137915000Y-104600000D03*
G04 #@! TO.N,JTAG_TDI*
@ -274,11 +282,11 @@ X140455000Y-109680000D03*
G04 #@! TO.N,JTAG_TDO*
X137915000Y-109680000D03*
G04 #@! TD*
D13*
D14*
G04 #@! TO.N,GND*
G04 #@! TO.C,OLED1*
X147440000Y-100790000D03*
D14*
D15*
G04 #@! TO.N,+3V3*
X144900000Y-100790000D03*
G04 #@! TO.N,OLED_CLK*
@ -292,14 +300,6 @@ X134740000Y-100790000D03*
G04 #@! TO.N,OLED_CS*
X132200000Y-100790000D03*
G04 #@! TD*
D15*
G04 #@! TO.N,GND*
G04 #@! TO.C,GPDI1*
X152546000Y-69312000D03*
X138046000Y-69312000D03*
X153146000Y-64412000D03*
X137446000Y-64412000D03*
G04 #@! TD*
D16*
G04 #@! TO.N,GND*
G04 #@! TO.C,J3*
@ -321,7 +321,7 @@ G04 #@! TD*
D18*
G04 #@! TO.N,*
X124632693Y-93120351D03*
D15*
D10*
G04 #@! TO.N,GND*
X118689500Y-101316000D03*
D18*
@ -450,7 +450,7 @@ X173934500Y-68550000D03*
X180221000Y-94712000D03*
X181618000Y-92045000D03*
X161171000Y-81123000D03*
D15*
D10*
X115197000Y-101316000D03*
D22*
X112784000Y-101633500D03*

@ -1,4 +1,4 @@
### Module positions - created on Fri Jul 6 12:09:15 2018 ###
### Module positions - created on Fri Jul 6 12:35:55 2018 ###
### Printed by Pcbnew version kicad 5.0.0-rc2+dfsg1-3
## Unit = mm, Angle = deg.
## Side : bottom

@ -1,6 +1,6 @@
%FSLAX45Y45*%
G04 Gerber Fmt 4.5, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:09:23 2018*
G04 Created by KiCad (PCBNEW 5.0.0-rc2+dfsg1-3) date Fri Jul 6 12:35:45 2018*
%MOMM*%
%LPD*%
G01*
@ -2051,6 +2051,24 @@ X17349000Y-9428500D02*
X17349000Y-9488500D01*
X17319000Y-9458500D02*
X17379000Y-9458500D01*
X10249000Y-9521000D02*
X10349000Y-9621000D01*
X10349000Y-9521000D02*
X10249000Y-9621000D01*
X10334356Y-9606356D02*
X10334356Y-9535644D01*
X10263644Y-9535644D01*
X10263644Y-9606356D01*
X10334356Y-9606356D01*
X10503000Y-9521000D02*
X10603000Y-9621000D01*
X10603000Y-9521000D02*
X10503000Y-9621000D01*
X10588356Y-9606356D02*
X10588356Y-9535644D01*
X10517644Y-9535644D01*
X10517644Y-9606356D01*
X10588356Y-9606356D01*
X12955600Y-7122500D02*
X13055600Y-7222500D01*
X13055600Y-7122500D02*
@ -2078,24 +2096,6 @@ X13548956Y-7137144D01*
X13478244Y-7137144D01*
X13478244Y-7207856D01*
X13548956Y-7207856D01*
X10249000Y-9521000D02*
X10349000Y-9621000D01*
X10349000Y-9521000D02*
X10249000Y-9621000D01*
X10334356Y-9606356D02*
X10334356Y-9535644D01*
X10263644Y-9535644D01*
X10263644Y-9606356D01*
X10334356Y-9606356D01*
X10503000Y-9521000D02*
X10603000Y-9621000D01*
X10603000Y-9521000D02*
X10503000Y-9621000D01*
X10588356Y-9606356D02*
X10588356Y-9535644D01*
X10517644Y-9535644D01*
X10517644Y-9606356D01*
X10588356Y-9606356D01*
X9486200Y-6218200D02*
X9587800Y-6319800D01*
X9587800Y-6218200D02*
@ -2816,60 +2816,6 @@ X18731800Y-11095000D01*
X18681000Y-11044200D01*
X18630200Y-11095000D01*
X18681000Y-11145800D01*
X13740700Y-10409200D02*
X13842300Y-10510800D01*
X13842300Y-10409200D02*
X13740700Y-10510800D01*
X13791500Y-10510800D02*
X13842300Y-10460000D01*
X13791500Y-10409200D01*
X13740700Y-10460000D01*
X13791500Y-10510800D01*
X13740700Y-10663200D02*
X13842300Y-10764800D01*
X13842300Y-10663200D02*
X13740700Y-10764800D01*
X13791500Y-10764800D02*
X13842300Y-10714000D01*
X13791500Y-10663200D01*
X13740700Y-10714000D01*
X13791500Y-10764800D01*
X13740700Y-10917200D02*
X13842300Y-11018800D01*
X13842300Y-10917200D02*
X13740700Y-11018800D01*
X13791500Y-11018800D02*
X13842300Y-10968000D01*
X13791500Y-10917200D01*
X13740700Y-10968000D01*
X13791500Y-11018800D01*
X13994700Y-10409200D02*
X14096300Y-10510800D01*
X14096300Y-10409200D02*
X13994700Y-10510800D01*
X14045500Y-10510800D02*
X14096300Y-10460000D01*
X14045500Y-10409200D01*
X13994700Y-10460000D01*
X14045500Y-10510800D01*
X13994700Y-10663200D02*
X14096300Y-10764800D01*
X14096300Y-10663200D02*
X13994700Y-10764800D01*
X14045500Y-10764800D02*
X14096300Y-10714000D01*
X14045500Y-10663200D01*
X13994700Y-10714000D01*
X14045500Y-10764800D01*
X13994700Y-10917200D02*
X14096300Y-11018800D01*
X14096300Y-10917200D02*
X13994700Y-11018800D01*
X14045500Y-11018800D02*
X14096300Y-10968000D01*
X14045500Y-10917200D01*
X13994700Y-10968000D01*
X14045500Y-11018800D01*
X13169200Y-10028200D02*
X13270800Y-10129800D01*
X13270800Y-10028200D02*
@ -2933,6 +2879,60 @@ X14794800Y-10079000D01*
X14744000Y-10028200D01*
X14693200Y-10079000D01*
X14744000Y-10129800D01*
X13740700Y-10409200D02*
X13842300Y-10510800D01*
X13842300Y-10409200D02*
X13740700Y-10510800D01*
X13791500Y-10510800D02*
X13842300Y-10460000D01*
X13791500Y-10409200D01*
X13740700Y-10460000D01*
X13791500Y-10510800D01*
X13740700Y-10663200D02*
X13842300Y-10764800D01*
X13842300Y-10663200D02*
X13740700Y-10764800D01*
X13791500Y-10764800D02*
X13842300Y-10714000D01*
X13791500Y-10663200D01*
X13740700Y-10714000D01*
X13791500Y-10764800D01*
X13740700Y-10917200D02*
X13842300Y-11018800D01*
X13842300Y-10917200D02*
X13740700Y-11018800D01*
X13791500Y-11018800D02*
X13842300Y-10968000D01*
X13791500Y-10917200D01*
X13740700Y-10968000D01*
X13791500Y-11018800D01*
X13994700Y-10409200D02*
X14096300Y-10510800D01*
X14096300Y-10409200D02*
X13994700Y-10510800D01*
X14045500Y-10510800D02*
X14096300Y-10460000D01*
X14045500Y-10409200D01*
X13994700Y-10460000D01*
X14045500Y-10510800D01*
X13994700Y-10663200D02*
X14096300Y-10764800D01*
X14096300Y-10663200D02*
X13994700Y-10764800D01*
X14045500Y-10764800D02*
X14096300Y-10714000D01*
X14045500Y-10663200D01*
X13994700Y-10714000D01*
X14045500Y-10764800D01*
X13994700Y-10917200D02*
X14096300Y-11018800D01*
X14096300Y-10917200D02*
X13994700Y-11018800D01*
X14045500Y-11018800D02*
X14096300Y-10968000D01*
X14045500Y-10917200D01*
X13994700Y-10968000D01*
X14045500Y-11018800D01*
X13744600Y-6376200D02*
X13744600Y-6506200D01*
X13679600Y-6441200D02*
@ -2983,15 +2983,6 @@ X11948950Y-10131600D02*
G75*
G03X11948950Y-10131600I-80000J0D01*
G01*
X17919000Y-11001000D02*
X18079000Y-10841000D01*
X17919000Y-10681000D01*
X17759000Y-10841000D01*
X17919000Y-11001000D01*
X18079000Y-10841000D02*
G75*
G03X18079000Y-10841000I-160000J0D01*
G01*
X10299000Y-11001000D02*
X10459000Y-10841000D01*
X10299000Y-10681000D01*
@ -3010,6 +3001,15 @@ X10459000Y-6523000D02*
G75*
G03X10459000Y-6523000I-160000J0D01*
G01*
X17919000Y-11001000D02*
X18079000Y-10841000D01*
X17919000Y-10681000D01*
X17759000Y-10841000D01*
X17919000Y-11001000D01*
X18079000Y-10841000D02*
G75*
G03X18079000Y-10841000I-160000J0D01*
G01*
X17919000Y-6683000D02*
X18079000Y-6523000D01*
X17919000Y-6363000D01*

@ -1,4 +1,4 @@
### Module positions - created on Fri Jul 6 12:09:15 2018 ###
### Module positions - created on Fri Jul 6 12:35:55 2018 ###
### Printed by Pcbnew version kicad 5.0.0-rc2+dfsg1-3
## Unit = mm, Angle = deg.
## Side : top

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 5.0.0-rc2+dfsg1-3} date Fri Jul 6 12:09:22 2018
;DRILL file {KiCad 5.0.0-rc2+dfsg1-3} date Fri Jul 6 12:35:45 2018
;FORMAT={2:4/ absolute / inch / suppress trailing zeros}
FMAT,2
INCH,LZ
@ -489,11 +489,11 @@ X071653Y-027088
T7
X068303Y-037238
T8
X040547Y-037681
X041547Y-037681
X051203Y-028238
X052203Y-028238
X053203Y-028238
X040547Y-037681
X041547Y-037681
T9
X037547Y-024681
X037547Y-025681
@ -575,12 +575,6 @@ X073547Y-040681
X073547Y-041681
X073547Y-042681
X073547Y-043681
X054297Y-041181
X054297Y-042181
X054297Y-043181
X055297Y-041181
X055297Y-042181
X055297Y-043181
X052047Y-039681
X053047Y-039681
X054047Y-039681
@ -588,6 +582,12 @@ X055047Y-039681
X056047Y-039681
X057047Y-039681
X058047Y-039681
X054297Y-041181
X054297Y-042181
X054297Y-043181
X055297Y-041181
X055297Y-042181
X055297Y-043181
T10
X054113Y-025359
X054349Y-027288
@ -597,9 +597,9 @@ T11
X045353Y-039888
X046728Y-039888
T12
X070547Y-042681
X040547Y-042681
X040547Y-025681
X070547Y-042681
X070547Y-025681
T13
X049003Y-026188

Loading…
Cancel
Save