allow FTDI to force power up of the board

pull/3/head
Davor 7 years ago
parent 8ed3d57c66
commit 4a87d52a9d

@ -75,10 +75,10 @@ Wire Wire Line
Wire Wire Line
4750 1850 4850 1850
$Comp
L GND #PWR050
L GND #PWR52
U 1 1 58D66047
P 4850 1250
F 0 "#PWR050" H 4850 1000 50 0001 C CNN
F 0 "#PWR52" H 4850 1000 50 0001 C CNN
F 1 "GND" H 4850 1100 50 0000 C CNN
F 2 "" H 4850 1250 60 0000 C CNN
F 3 "" H 4850 1250 60 0000 C CNN
@ -86,10 +86,10 @@ F 3 "" H 4850 1250 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR051
L +3V3 #PWR53
U 1 1 58D66055
P 5350 1350
F 0 "#PWR051" H 5350 1200 50 0001 C CNN
F 0 "#PWR53" H 5350 1200 50 0001 C CNN
F 1 "+3V3" H 5350 1490 50 0000 C CNN
F 2 "" H 5350 1350 50 0000 C CNN
F 3 "" H 5350 1350 50 0000 C CNN
@ -189,10 +189,10 @@ Wire Wire Line
2850 3150 2750 3150
Connection ~ 2750 3150
$Comp
L +3V3 #PWR052
L +3V3 #PWR50
U 1 1 58D6605C
P 2750 2500
F 0 "#PWR052" H 2750 2350 50 0001 C CNN
F 0 "#PWR50" H 2750 2350 50 0001 C CNN
F 1 "+3V3" H 2750 2640 50 0000 C CNN
F 2 "" H 2750 2500 50 0000 C CNN
F 3 "" H 2750 2500 50 0000 C CNN
@ -354,10 +354,10 @@ Wire Wire Line
4400 2750 4250 2750
Connection ~ 4250 2750
$Comp
L GND #PWR053
L GND #PWR51
U 1 1 58D681C3
P 4250 4100
F 0 "#PWR053" H 4250 3850 50 0001 C CNN
F 0 "#PWR51" H 4250 3850 50 0001 C CNN
F 1 "GND" H 4250 3950 50 0000 C CNN
F 2 "" H 4250 4100 50 0000 C CNN
F 3 "" H 4250 4100 50 0000 C CNN

@ -101,10 +101,10 @@ Wire Wire Line
Wire Wire Line
5400 5550 5450 5550
$Comp
L GND #PWR054
L GND #PWR59
U 1 1 58D69073
P 5450 5550
F 0 "#PWR054" H 5450 5300 50 0001 C CNN
F 0 "#PWR59" H 5450 5300 50 0001 C CNN
F 1 "GND" H 5450 5400 50 0000 C CNN
F 2 "" H 5450 5550 60 0000 C CNN
F 3 "" H 5450 5550 60 0000 C CNN
@ -112,10 +112,10 @@ F 3 "" H 5450 5550 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR055
L GND #PWR58
U 1 1 58D69074
P 5450 5150
F 0 "#PWR055" H 5450 4900 50 0001 C CNN
F 0 "#PWR58" H 5450 4900 50 0001 C CNN
F 1 "GND" H 5450 5000 50 0000 C CNN
F 2 "" H 5450 5150 60 0000 C CNN
F 3 "" H 5450 5150 60 0000 C CNN
@ -123,10 +123,10 @@ F 3 "" H 5450 5150 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR056
L GND #PWR57
U 1 1 58D69075
P 5450 4850
F 0 "#PWR056" H 5450 4600 50 0001 C CNN
F 0 "#PWR57" H 5450 4600 50 0001 C CNN
F 1 "GND" H 5450 4700 50 0000 C CNN
F 2 "" H 5450 4850 60 0000 C CNN
F 3 "" H 5450 4850 60 0000 C CNN
@ -134,10 +134,10 @@ F 3 "" H 5450 4850 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR057
L GND #PWR56
U 1 1 58D69076
P 5450 4550
F 0 "#PWR057" H 5450 4300 50 0001 C CNN
F 0 "#PWR56" H 5450 4300 50 0001 C CNN
F 1 "GND" H 5450 4400 50 0000 C CNN
F 2 "" H 5450 4550 60 0000 C CNN
F 3 "" H 5450 4550 60 0000 C CNN
@ -145,10 +145,10 @@ F 3 "" H 5450 4550 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR058
L GND #PWR55
U 1 1 58D69077
P 5450 4250
F 0 "#PWR058" H 5450 4000 50 0001 C CNN
F 0 "#PWR55" H 5450 4000 50 0001 C CNN
F 1 "GND" H 5450 4100 50 0000 C CNN
F 2 "" H 5450 4250 60 0000 C CNN
F 3 "" H 5450 4250 60 0000 C CNN
@ -156,10 +156,10 @@ F 3 "" H 5450 4250 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR059
L GND #PWR54
U 1 1 58D69078
P 5450 3950
F 0 "#PWR059" H 5450 3700 50 0001 C CNN
F 0 "#PWR54" H 5450 3700 50 0001 C CNN
F 1 "GND" H 5450 3800 50 0000 C CNN
F 2 "" H 5450 3950 60 0000 C CNN
F 3 "" H 5450 3950 60 0000 C CNN
@ -167,10 +167,10 @@ F 3 "" H 5450 3950 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +5V #PWR060
L +5V #PWR60
U 1 1 58D6907F
P 6200 5450
F 0 "#PWR060" H 6200 5300 50 0001 C CNN
F 0 "#PWR60" H 6200 5300 50 0001 C CNN
F 1 "+5V" H 6200 5590 50 0000 C CNN
F 2 "" H 6200 5450 50 0000 C CNN
F 3 "" H 6200 5450 50 0000 C CNN

@ -111,10 +111,10 @@ P27
Text Label 5200 1950 0 60 ~ 0
P28
$Comp
L GND #PWR05
L GND #PWR13
U 1 1 56AC4825
P 1450 950
F 0 "#PWR05" H 1450 700 50 0001 C CNN
F 0 "#PWR13" H 1450 700 50 0001 C CNN
F 1 "GND" H 1450 800 50 0000 C CNN
F 2 "" H 1450 950 60 0000 C CNN
F 3 "" H 1450 950 60 0000 C CNN
@ -122,10 +122,10 @@ F 3 "" H 1450 950 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR06
L GND #PWR16
U 1 1 56AC482D
P 2250 950
F 0 "#PWR06" H 2250 700 50 0001 C CNN
F 0 "#PWR16" H 2250 700 50 0001 C CNN
F 1 "GND" H 2250 800 50 0000 C CNN
F 2 "" H 2250 950 60 0000 C CNN
F 3 "" H 2250 950 60 0000 C CNN
@ -161,10 +161,10 @@ OUT5V
Text Label 850 850 0 60 ~ 0
+5V
$Comp
L +5V #PWR07
L +5V #PWR5
U 1 1 56AC484F
P 850 850
F 0 "#PWR07" H 850 700 50 0001 C CNN
F 0 "#PWR5" H 850 700 50 0001 C CNN
F 1 "+5V" H 850 990 50 0000 C CNN
F 2 "" H 850 850 60 0000 C CNN
F 3 "" H 850 850 60 0000 C CNN
@ -513,10 +513,10 @@ MP3
Text Label 1400 6200 0 60 ~ 0
MP5
$Comp
L GND #PWR08
L GND #PWR8
U 1 1 58D3C3A8
P 1150 6400
F 0 "#PWR08" H 1150 6150 50 0001 C CNN
F 0 "#PWR8" H 1150 6150 50 0001 C CNN
F 1 "GND" H 1150 6250 50 0000 C CNN
F 2 "" H 1150 6400 50 0000 C CNN
F 3 "" H 1150 6400 50 0000 C CNN
@ -524,10 +524,10 @@ F 3 "" H 1150 6400 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR09
L GND #PWR23
U 1 1 58D3C3C8
P 2550 6400
F 0 "#PWR09" H 2550 6150 50 0001 C CNN
F 0 "#PWR23" H 2550 6150 50 0001 C CNN
F 1 "GND" H 2550 6250 50 0000 C CNN
F 2 "" H 2550 6400 50 0000 C CNN
F 3 "" H 2550 6400 50 0000 C CNN
@ -535,10 +535,10 @@ F 3 "" H 2550 6400 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR010
L GND #PWR22
U 1 1 58D3C400
P 2550 5500
F 0 "#PWR010" H 2550 5250 50 0001 C CNN
F 0 "#PWR22" H 2550 5250 50 0001 C CNN
F 1 "GND" H 2550 5350 50 0000 C CNN
F 2 "" H 2550 5500 50 0000 C CNN
F 3 "" H 2550 5500 50 0000 C CNN
@ -546,10 +546,10 @@ F 3 "" H 2550 5500 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR011
L GND #PWR7
U 1 1 58D3C426
P 1150 5500
F 0 "#PWR011" H 1150 5250 50 0001 C CNN
F 0 "#PWR7" H 1150 5250 50 0001 C CNN
F 1 "GND" H 1150 5350 50 0000 C CNN
F 2 "" H 1150 5500 50 0000 C CNN
F 3 "" H 1150 5500 50 0000 C CNN
@ -557,10 +557,10 @@ F 3 "" H 1150 5500 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR012
L GND #PWR12
U 1 1 58D565FC
P 1400 3850
F 0 "#PWR012" H 1400 3600 50 0001 C CNN
F 0 "#PWR12" H 1400 3600 50 0001 C CNN
F 1 "GND" H 1400 3700 50 0000 C CNN
F 2 "" H 1400 3850 60 0000 C CNN
F 3 "" H 1400 3850 60 0000 C CNN
@ -570,10 +570,10 @@ $EndComp
Wire Wire Line
1400 3850 1600 3850
$Comp
L GND #PWR013
L GND #PWR17
U 1 1 58D56721
P 2300 3850
F 0 "#PWR013" H 2300 3600 50 0001 C CNN
F 0 "#PWR17" H 2300 3600 50 0001 C CNN
F 1 "GND" H 2300 3700 50 0000 C CNN
F 2 "" H 2300 3850 60 0000 C CNN
F 3 "" H 2300 3850 60 0000 C CNN
@ -830,10 +830,10 @@ PMODA5
Text Label 1200 4950 0 60 ~ 0
PMODA7
$Comp
L GND #PWR014
L GND #PWR6
U 1 1 58D51066
P 1150 4550
F 0 "#PWR014" H 1150 4300 50 0001 C CNN
F 0 "#PWR6" H 1150 4300 50 0001 C CNN
F 1 "GND" H 1150 4400 50 0000 C CNN
F 2 "" H 1150 4550 50 0000 C CNN
F 3 "" H 1150 4550 50 0000 C CNN
@ -861,10 +861,10 @@ PMODA6
Text Label 2150 4950 0 60 ~ 0
PMODA8
$Comp
L GND #PWR015
L GND #PWR21
U 1 1 58D510F5
P 2550 4550
F 0 "#PWR015" H 2550 4300 50 0001 C CNN
F 0 "#PWR21" H 2550 4300 50 0001 C CNN
F 1 "GND" H 2550 4400 50 0000 C CNN
F 2 "" H 2550 4550 50 0000 C CNN
F 3 "" H 2550 4550 50 0000 C CNN
@ -872,10 +872,10 @@ F 3 "" H 2550 4550 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR016
L +3V3 #PWR15
U 1 1 58D5FBBC
P 2150 3950
F 0 "#PWR016" H 2150 3800 50 0001 C CNN
F 0 "#PWR15" H 2150 3800 50 0001 C CNN
F 1 "+3V3" H 2150 4090 50 0000 C CNN
F 2 "" H 2150 3950 50 0000 C CNN
F 3 "" H 2150 3950 50 0000 C CNN
@ -883,10 +883,10 @@ F 3 "" H 2150 3950 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR017
L +3V3 #PWR14
U 1 1 58D5FBF3
P 1550 3950
F 0 "#PWR017" H 1550 3800 50 0001 C CNN
F 0 "#PWR14" H 1550 3800 50 0001 C CNN
F 1 "+3V3" H 1550 4090 50 0000 C CNN
F 2 "" H 1550 3950 50 0000 C CNN
F 3 "" H 1550 3950 50 0000 C CNN
@ -894,10 +894,10 @@ F 3 "" H 1550 3950 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR018
L +3V3 #PWR18
U 1 1 58D5FC6D
P 2400 4450
F 0 "#PWR018" H 2400 4300 50 0001 C CNN
F 0 "#PWR18" H 2400 4300 50 0001 C CNN
F 1 "+3V3" H 2400 4590 50 0000 C CNN
F 2 "" H 2400 4450 50 0000 C CNN
F 3 "" H 2400 4450 50 0000 C CNN
@ -905,10 +905,10 @@ F 3 "" H 2400 4450 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR019
L +3V3 #PWR9
U 1 1 58D5FC94
P 1300 4450
F 0 "#PWR019" H 1300 4300 50 0001 C CNN
F 0 "#PWR9" H 1300 4300 50 0001 C CNN
F 1 "+3V3" H 1300 4590 50 0000 C CNN
F 2 "" H 1300 4450 50 0000 C CNN
F 3 "" H 1300 4450 50 0000 C CNN
@ -916,10 +916,10 @@ F 3 "" H 1300 4450 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR020
L +3V3 #PWR19
U 1 1 58D60015
P 2400 5400
F 0 "#PWR020" H 2400 5250 50 0001 C CNN
F 0 "#PWR19" H 2400 5250 50 0001 C CNN
F 1 "+3V3" H 2400 5540 50 0000 C CNN
F 2 "" H 2400 5400 50 0000 C CNN
F 3 "" H 2400 5400 50 0000 C CNN
@ -927,10 +927,10 @@ F 3 "" H 2400 5400 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR021
L +3V3 #PWR20
U 1 1 58D60037
P 2400 6300
F 0 "#PWR021" H 2400 6150 50 0001 C CNN
F 0 "#PWR20" H 2400 6150 50 0001 C CNN
F 1 "+3V3" H 2400 6440 50 0000 C CNN
F 2 "" H 2400 6300 50 0000 C CNN
F 3 "" H 2400 6300 50 0000 C CNN
@ -938,10 +938,10 @@ F 3 "" H 2400 6300 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR022
L +3V3 #PWR10
U 1 1 58D60059
P 1300 5400
F 0 "#PWR022" H 1300 5250 50 0001 C CNN
F 0 "#PWR10" H 1300 5250 50 0001 C CNN
F 1 "+3V3" H 1300 5540 50 0000 C CNN
F 2 "" H 1300 5400 50 0000 C CNN
F 3 "" H 1300 5400 50 0000 C CNN
@ -949,10 +949,10 @@ F 3 "" H 1300 5400 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR023
L +3V3 #PWR11
U 1 1 58D60089
P 1300 6300
F 0 "#PWR023" H 1300 6150 50 0001 C CNN
F 0 "#PWR11" H 1300 6150 50 0001 C CNN
F 1 "+3V3" H 1300 6440 50 0000 C CNN
F 2 "" H 1300 6300 50 0000 C CNN
F 3 "" H 1300 6300 50 0000 C CNN

@ -1417,6 +1417,8 @@ Wire Wire Line
3950 4850 4150 4850
Wire Wire Line
4150 4850 4700 4850
Wire Wire Line
4700 4850 5100 4850
Text Label 4300 4850 0 60 ~ 0
PWREN
Text Label 9250 5850 0 60 ~ 0
@ -1488,96 +1490,96 @@ $EndComp
$Comp
L PCF8563 U7
U 1 1 58D70684
P 2450 3550
F 0 "U7" H 2150 3900 50 0000 L CNN
F 1 "PCF8523" H 2550 3900 50 0000 L CNN
F 2 "Power_Integrations:SO-8" H 2450 3550 50 0001 C CNN
F 3 "" H 2450 3550 50 0000 C CNN
1 2450 3550
P 2100 3550
F 0 "U7" H 1800 3900 50 0000 L CNN
F 1 "PCF8523" H 2200 3900 50 0000 L CNN
F 2 "Power_Integrations:SO-8" H 2100 3550 50 0001 C CNN
F 3 "" H 2100 3550 50 0000 C CNN
1 2100 3550
1 0 0 -1
$EndComp
$Comp
L GND #PWR045
U 1 1 58D7085C
P 2450 4050
F 0 "#PWR045" H 2450 3800 50 0001 C CNN
F 1 "GND" H 2450 3900 50 0000 C CNN
F 2 "" H 2450 4050 50 0000 C CNN
F 3 "" H 2450 4050 50 0000 C CNN
1 2450 4050
P 2100 4050
F 0 "#PWR045" H 2100 3800 50 0001 C CNN
F 1 "GND" H 2100 3900 50 0000 C CNN
F 2 "" H 2100 4050 50 0000 C CNN
F 3 "" H 2100 4050 50 0000 C CNN
1 2100 4050
1 0 0 -1
$EndComp
Wire Wire Line
2450 3950 2450 4050
2100 3950 2100 4050
Wire Wire Line
2850 3350 2950 3350
2500 3350 2600 3350
Wire Wire Line
2850 3450 2950 3450
Text GLabel 2950 3350 2 60 Input ~ 0
2500 3450 2600 3450
Text GLabel 2600 3350 2 60 Input ~ 0
BOARD_SCL
Text GLabel 2950 3450 2 60 Input ~ 0
Text GLabel 2600 3450 2 60 Input ~ 0
BOARD_SDA
$Comp
L Crystal Y1
U 1 1 58D70E83
P 1950 3550
F 0 "Y1" H 1950 3700 50 0000 C CNN
F 1 "32768Hz" H 1950 3400 50 0000 C CNN
F 2 "Crystals:Crystal_SMD_0603_2Pads_obsolete" H 1950 3550 50 0001 C CNN
F 3 "" H 1950 3550 50 0000 C CNN
1 1950 3550
P 1600 3550
F 0 "Y1" H 1600 3700 50 0000 C CNN
F 1 "32768Hz" H 1600 3400 50 0000 C CNN
F 2 "Crystals:Crystal_SMD_0603_2Pads_obsolete" H 1600 3550 50 0001 C CNN
F 3 "" H 1600 3550 50 0000 C CNN
1 1600 3550
0 1 1 0
$EndComp
Wire Wire Line
1950 3700 1950 3750
1600 3700 1600 3750
Wire Wire Line
1950 3400 1950 3350
1600 3400 1600 3350
$Comp
L Battery_Cell BT1
U 1 1 58D72202
P 3150 4000
F 0 "BT1" H 3250 4100 50 0000 L CNN
F 1 "3V" H 3250 4000 50 0000 L CNN
F 2 "Buzzers_Beepers:Buzzer_12x9.5RM7.6" V 3150 4060 50 0001 C CNN
F 3 "" V 3150 4060 50 0000 C CNN
1 3150 4000
P 2800 4000
F 0 "BT1" H 2900 4100 50 0000 L CNN
F 1 "3V" H 2900 4000 50 0000 L CNN
F 2 "Buzzers_Beepers:Buzzer_12x9.5RM7.6" V 2800 4060 50 0001 C CNN
F 3 "" V 2800 4060 50 0000 C CNN
1 2800 4000
1 0 0 -1
$EndComp
Wire Wire Line
2850 3750 3150 3750
2500 3750 2800 3750
Wire Wire Line
3150 3750 3150 3800
2800 3750 2800 3800
$Comp
L GND #PWR046
U 1 1 58D72406
P 3150 4150
F 0 "#PWR046" H 3150 3900 50 0001 C CNN
F 1 "GND" H 3150 4000 50 0000 C CNN
F 2 "" H 3150 4150 50 0000 C CNN
F 3 "" H 3150 4150 50 0000 C CNN
1 3150 4150
P 2800 4150
F 0 "#PWR046" H 2800 3900 50 0001 C CNN
F 1 "GND" H 2800 4000 50 0000 C CNN
F 2 "" H 2800 4150 50 0000 C CNN
F 3 "" H 2800 4150 50 0000 C CNN
1 2800 4150
1 0 0 -1
$EndComp
Wire Wire Line
3150 4100 3150 4150
2800 4100 2800 4150
Wire Wire Line
1950 3350 2050 3350
1600 3350 1700 3350
Wire Wire Line
1950 3750 2050 3750
1600 3750 1700 3750
Wire Wire Line
2450 3150 2450 3050
2100 3150 2100 3050
$Comp
L +2V5 #PWR047
U 1 1 58D73C82
P 2450 3050
F 0 "#PWR047" H 2450 2900 50 0001 C CNN
F 1 "+2V5" H 2450 3190 50 0000 C CNN
F 2 "" H 2450 3050 50 0000 C CNN
F 3 "" H 2450 3050 50 0000 C CNN
1 2450 3050
P 2100 3050
F 0 "#PWR047" H 2100 2900 50 0001 C CNN
F 1 "+2V5" H 2100 3190 50 0000 C CNN
F 2 "" H 2100 3050 50 0000 C CNN
F 3 "" H 2100 3050 50 0000 C CNN
1 2100 3050
1 0 0 -1
$EndComp
Text Label 2900 3750 0 60 ~ 0
Text Label 2550 3750 0 60 ~ 0
VBAT
Text Notes 6700 3300 0 60 ~ 0
PWREN\nabove +1.5V turns ON\nbelow +0.4V turns OFF
@ -1700,8 +1702,8 @@ F 3 "" H 4700 3800 50 0000 C CNN
$EndComp
Wire Wire Line
4700 3800 4700 4000
Text Notes 1850 4650 0 60 ~ 0
WAKEUPn open drain active low
Text Notes 3100 4200 0 60 ~ 0
WAKEUPn\nopen drain \nactive low
$Comp
L Q_PNP_BCE Q1
U 1 1 58D7E2B1
@ -1714,10 +1716,10 @@ F 3 "" H 3800 3650 50 0000 C CNN
1 0 0 1
$EndComp
Wire Wire Line
2850 3650 3600 3650
Text Label 2900 3650 0 60 ~ 0
2500 3650 3050 3650
Text Label 2550 3650 0 60 ~ 0
WAKEUPn
Text GLabel 2750 5100 0 60 Input ~ 0
Text GLabel 2200 5100 0 60 Input ~ 0
SHUTDOWN
Wire Wire Line
3550 5500 3550 5600
@ -1737,7 +1739,7 @@ $EndComp
Wire Wire Line
3950 4900 3950 4850
Wire Wire Line
3950 5300 3950 5600
3950 5600 3950 5300
$Comp
L C C14
U 1 1 58D84952
@ -1752,7 +1754,7 @@ $EndComp
Wire Wire Line
3550 5100 3550 5200
Wire Wire Line
3150 5100 3250 5100
3050 5100 3250 5100
Wire Wire Line
3250 5100 3550 5100
Wire Wire Line
@ -1760,16 +1762,16 @@ Wire Wire Line
$Comp
L D_Schottky D12
U 1 1 58D84D8A
P 3000 5100
F 0 "D12" H 3000 5200 50 0000 C CNN
F 1 "BAT42" H 3000 5000 50 0000 C CNN
F 2 "Diodes_SMD:D_0805" H 3000 5100 50 0001 C CNN
F 3 "" H 3000 5100 50 0000 C CNN
1 3000 5100
P 2500 5100
F 0 "D12" H 2500 5200 50 0000 C CNN
F 1 "BAT42" H 2500 5000 50 0000 C CNN
F 2 "Diodes_SMD:D_0805" H 2500 5100 50 0001 C CNN
F 3 "" H 2500 5100 50 0000 C CNN
1 2500 5100
-1 0 0 1
$EndComp
Wire Wire Line
2750 5100 2850 5100
2200 5100 2350 5100
Connection ~ 3550 5100
$Comp
L R R5
@ -1783,7 +1785,9 @@ F 3 "" H 3250 5350 50 0000 C CNN
1 0 0 -1
$EndComp
Wire Wire Line
3250 5200 3250 5100
3250 4850 3250 5100
Wire Wire Line
3250 5100 3250 5200
Connection ~ 3250 5100
Wire Wire Line
3250 5500 3250 5600
@ -1806,4 +1810,69 @@ Text Label 4700 4400 0 60 ~ 0
HOLD
Text Label 3300 5100 0 60 ~ 0
SHUT
$Comp
L R R?
U 1 1 58D7B291
P 3200 3650
F 0 "R?" V 3280 3650 50 0000 C CNN
F 1 "1k" V 3200 3650 50 0000 C CNN
F 2 "" V 3130 3650 50 0000 C CNN
F 3 "" H 3200 3650 50 0000 C CNN
1 3200 3650
0 1 1 0
$EndComp
Wire Wire Line
3350 3650 3600 3650
Text Label 3400 3650 0 60 ~ 0
WKn
$Comp
L R R?
U 1 1 58D7BC43
P 5100 4600
F 0 "R?" V 5180 4600 50 0000 C CNN
F 1 "15k" V 5100 4600 50 0000 C CNN
F 2 "Resistors_SMD:R_0805_HandSoldering" V 5030 4600 50 0001 C CNN
F 3 "" H 5100 4600 50 0000 C CNN
1 5100 4600
1 0 0 -1
$EndComp
Wire Wire Line
5100 4450 5100 4300
$Comp
L D D?
U 1 1 58D7BC4A
P 5100 4150
F 0 "D?" H 5100 4250 50 0000 C CNN
F 1 "1N4148" H 5100 4050 50 0000 C CNN
F 2 "Diodes_SMD:D_0805" H 5100 4150 50 0001 C CNN
F 3 "" H 5100 4150 50 0000 C CNN
1 5100 4150
0 -1 -1 0
$EndComp
Wire Wire Line
5100 3800 5100 4000
Wire Wire Line
5100 4850 5100 4750
Text Label 5100 4400 0 60 ~ 0
FTDIPUP
Connection ~ 4700 4850
Text GLabel 5100 3800 1 60 Input ~ 0
FTDI_PWRUP
$Comp
L R R?
U 1 1 58D7C68F
P 2900 5100
F 0 "R?" V 2980 5100 50 0000 C CNN
F 1 "220" V 2900 5100 50 0000 C CNN
F 2 "" V 2830 5100 50 0000 C CNN
F 3 "" H 2900 5100 50 0000 C CNN
1 2900 5100
0 1 1 0
$EndComp
Wire Wire Line
2650 5100 2750 5100
Text GLabel 3050 4850 0 60 Input ~ 0
FTDI_SHUT
Wire Wire Line
3050 4850 3250 4850
$EndSCHEMATC

@ -50,10 +50,10 @@ Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR024
L GND #PWR24
U 1 1 58D54769
P 1100 2650
F 0 "#PWR024" H 1100 2400 50 0001 C CNN
F 0 "#PWR24" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
@ -83,10 +83,10 @@ F 3 "" H 8500 3350 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR025
L GND #PWR41
U 1 1 58D58A0B
P 8500 3850
F 0 "#PWR025" H 8500 3600 50 0001 C CNN
F 0 "#PWR41" H 8500 3600 50 0001 C CNN
F 1 "GND" H 8500 3700 50 0000 C CNN
F 2 "" H 8500 3850 50 0000 C CNN
F 3 "" H 8500 3850 50 0000 C CNN
@ -94,10 +94,10 @@ F 3 "" H 8500 3850 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR026
L +5V #PWR35
U 1 1 58D58F93
P 7850 3200
F 0 "#PWR026" H 7850 3050 50 0001 C CNN
F 0 "#PWR35" H 7850 3050 50 0001 C CNN
F 1 "+5V" H 7850 3340 50 0000 C CNN
F 2 "" H 7850 3200 50 0000 C CNN
F 3 "" H 7850 3200 50 0000 C CNN
@ -105,10 +105,10 @@ F 3 "" H 7850 3200 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR027
L GND #PWR36
U 1 1 58D5989A
P 7850 3850
F 0 "#PWR027" H 7850 3600 50 0001 C CNN
F 0 "#PWR36" H 7850 3600 50 0001 C CNN
F 1 "GND" H 7850 3700 50 0000 C CNN
F 2 "" H 7850 3850 50 0000 C CNN
F 3 "" H 7850 3850 50 0000 C CNN
@ -171,10 +171,10 @@ F 3 "" H 10050 3450 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR028
L GND #PWR44
U 1 1 58D5AE5E
P 10250 3550
F 0 "#PWR028" H 10250 3300 50 0001 C CNN
F 0 "#PWR44" H 10250 3300 50 0001 C CNN
F 1 "GND" H 10250 3400 50 0000 C CNN
F 2 "" H 10250 3550 50 0000 C CNN
F 3 "" H 10250 3550 50 0000 C CNN
@ -204,10 +204,10 @@ F 3 "" H 10600 3250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR029
L +3V3 #PWR33
U 1 1 58D5CFDD
P 4750 900
F 0 "#PWR029" H 4750 750 50 0001 C CNN
F 0 "#PWR33" H 4750 750 50 0001 C CNN
F 1 "+3V3" H 4750 1040 50 0000 C CNN
F 2 "" H 4750 900 50 0000 C CNN
F 3 "" H 4750 900 50 0000 C CNN
@ -685,10 +685,10 @@ F 3 "" H 8500 5750 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR030
L GND #PWR43
U 1 1 58D6294C
P 8500 6250
F 0 "#PWR030" H 8500 6000 50 0001 C CNN
F 0 "#PWR43" H 8500 6000 50 0001 C CNN
F 1 "GND" H 8500 6100 50 0000 C CNN
F 2 "" H 8500 6250 50 0000 C CNN
F 3 "" H 8500 6250 50 0000 C CNN
@ -696,10 +696,10 @@ F 3 "" H 8500 6250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR031
L +5V #PWR39
U 1 1 58D62952
P 7850 5600
F 0 "#PWR031" H 7850 5450 50 0001 C CNN
F 0 "#PWR39" H 7850 5450 50 0001 C CNN
F 1 "+5V" H 7850 5740 50 0000 C CNN
F 2 "" H 7850 5600 50 0000 C CNN
F 3 "" H 7850 5600 50 0000 C CNN
@ -707,10 +707,10 @@ F 3 "" H 7850 5600 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR032
L GND #PWR40
U 1 1 58D62958
P 7850 6250
F 0 "#PWR032" H 7850 6000 50 0001 C CNN
F 0 "#PWR40" H 7850 6000 50 0001 C CNN
F 1 "GND" H 7850 6100 50 0000 C CNN
F 2 "" H 7850 6250 50 0000 C CNN
F 3 "" H 7850 6250 50 0000 C CNN
@ -773,10 +773,10 @@ F 3 "" H 10050 5850 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR033
L GND #PWR46
U 1 1 58D62982
P 10250 5950
F 0 "#PWR033" H 10250 5700 50 0001 C CNN
F 0 "#PWR46" H 10250 5700 50 0001 C CNN
F 1 "GND" H 10250 5800 50 0000 C CNN
F 2 "" H 10250 5950 50 0000 C CNN
F 3 "" H 10250 5950 50 0000 C CNN
@ -852,10 +852,10 @@ Wire Wire Line
Wire Wire Line
7900 5850 7400 5850
$Comp
L +3V3 #PWR034
L +3V3 #PWR49
U 1 1 58D62CE2
P 10600 5400
F 0 "#PWR034" H 10600 5250 50 0001 C CNN
F 0 "#PWR49" H 10600 5250 50 0001 C CNN
F 1 "+3V3" H 10600 5540 50 0000 C CNN
F 2 "" H 10600 5400 50 0000 C CNN
F 3 "" H 10600 5400 50 0000 C CNN
@ -863,10 +863,10 @@ F 3 "" H 10600 5400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR035
L +1V2 #PWR47
U 1 1 58D63C77
P 10600 3000
F 0 "#PWR035" H 10600 2850 50 0001 C CNN
F 0 "#PWR47" H 10600 2850 50 0001 C CNN
F 1 "+1V2" H 10600 3140 50 0000 C CNN
F 2 "" H 10600 3000 50 0000 C CNN
F 3 "" H 10600 3000 50 0000 C CNN
@ -874,10 +874,10 @@ F 3 "" H 10600 3000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR036
L +1V2 #PWR27
U 1 1 58D63D96
P 2150 900
F 0 "#PWR036" H 2150 750 50 0001 C CNN
F 0 "#PWR27" H 2150 750 50 0001 C CNN
F 1 "+1V2" H 2150 1040 50 0000 C CNN
F 2 "" H 2150 900 50 0000 C CNN
F 3 "" H 2150 900 50 0000 C CNN
@ -900,10 +900,10 @@ F 3 "" H 8500 4550 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR037
L GND #PWR42
U 1 1 58D67BC0
P 8500 5050
F 0 "#PWR037" H 8500 4800 50 0001 C CNN
F 0 "#PWR42" H 8500 4800 50 0001 C CNN
F 1 "GND" H 8500 4900 50 0000 C CNN
F 2 "" H 8500 5050 50 0000 C CNN
F 3 "" H 8500 5050 50 0000 C CNN
@ -911,10 +911,10 @@ F 3 "" H 8500 5050 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR038
L +5V #PWR37
U 1 1 58D67BC6
P 7850 4400
F 0 "#PWR038" H 7850 4250 50 0001 C CNN
F 0 "#PWR37" H 7850 4250 50 0001 C CNN
F 1 "+5V" H 7850 4540 50 0000 C CNN
F 2 "" H 7850 4400 50 0000 C CNN
F 3 "" H 7850 4400 50 0000 C CNN
@ -922,10 +922,10 @@ F 3 "" H 7850 4400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR039
L GND #PWR38
U 1 1 58D67BCC
P 7850 5050
F 0 "#PWR039" H 7850 4800 50 0001 C CNN
F 0 "#PWR38" H 7850 4800 50 0001 C CNN
F 1 "GND" H 7850 4900 50 0000 C CNN
F 2 "" H 7850 5050 50 0000 C CNN
F 3 "" H 7850 5050 50 0000 C CNN
@ -988,10 +988,10 @@ F 3 "" H 10050 4650 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR040
L GND #PWR45
U 1 1 58D67BF0
P 10250 4750
F 0 "#PWR040" H 10250 4500 50 0001 C CNN
F 0 "#PWR45" H 10250 4500 50 0001 C CNN
F 1 "GND" H 10250 4600 50 0000 C CNN
F 2 "" H 10250 4750 50 0000 C CNN
F 3 "" H 10250 4750 50 0000 C CNN
@ -1080,10 +1080,10 @@ $EndComp
Wire Wire Line
4150 4450 4150 4300
$Comp
L +5V #PWR041
L +5V #PWR29
U 1 1 58D67C25
P 4150 3350
F 0 "#PWR041" H 4150 3200 50 0001 C CNN
F 0 "#PWR29" H 4150 3200 50 0001 C CNN
F 1 "+5V" H 4150 3490 50 0000 C CNN
F 2 "" H 4150 3350 50 0000 C CNN
F 3 "" H 4150 3350 50 0000 C CNN
@ -1091,10 +1091,10 @@ F 3 "" H 4150 3350 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +2V5 #PWR042
L +2V5 #PWR48
U 1 1 58D681D4
P 10600 4200
F 0 "#PWR042" H 10600 4050 50 0001 C CNN
F 0 "#PWR48" H 10600 4050 50 0001 C CNN
F 1 "+2V5" H 10600 4340 50 0000 C CNN
F 2 "" H 10600 4200 50 0000 C CNN
F 3 "" H 10600 4200 50 0000 C CNN
@ -1116,9 +1116,9 @@ PWREN
Text Label 7450 5850 0 60 ~ 0
PWREN
Wire Wire Line
4150 4750 4150 4950
4150 4750 4150 5350
Wire Wire Line
3950 4850 4700 4850
5100 4850 3950 4850
Text Label 4300 4850 0 60 ~ 0
PWREN
Text Label 9250 5850 0 60 ~ 0
@ -1132,10 +1132,10 @@ Wire Wire Line
Wire Wire Line
4650 1000 4650 1100
$Comp
L +2V5 #PWR043
L +2V5 #PWR31
U 1 1 58D68750
P 4250 900
F 0 "#PWR043" H 4250 750 50 0001 C CNN
F 0 "#PWR31" H 4250 750 50 0001 C CNN
F 1 "+2V5" H 4250 1040 50 0000 C CNN
F 2 "" H 4250 900 50 0000 C CNN
F 3 "" H 4250 900 50 0000 C CNN
@ -1145,10 +1145,10 @@ $EndComp
Wire Wire Line
6250 1000 7150 1000
$Comp
L +3V3 #PWR044
L +3V3 #PWR34
U 1 1 58D69259
P 6350 900
F 0 "#PWR044" H 6350 750 50 0001 C CNN
F 0 "#PWR34" H 6350 750 50 0001 C CNN
F 1 "+3V3" H 6350 1040 50 0000 C CNN
F 2 "" H 6350 900 50 0000 C CNN
F 3 "" H 6350 900 50 0000 C CNN
@ -1158,96 +1158,96 @@ $EndComp
$Comp
L PCF8563 U7
U 1 1 58D70684
P 2450 3550
F 0 "U7" H 2150 3900 50 0000 L CNN
F 1 "PCF8523" H 2550 3900 50 0000 L CNN
F 2 "Power_Integrations:SO-8" H 2450 3550 50 0001 C CNN
F 3 "" H 2450 3550 50 0000 C CNN
1 2450 3550
P 2100 3550
F 0 "U7" H 1800 3900 50 0000 L CNN
F 1 "PCF8523" H 2200 3900 50 0000 L CNN
F 2 "Power_Integrations:SO-8" H 2100 3550 50 0001 C CNN
F 3 "" H 2100 3550 50 0000 C CNN
1 2100 3550
1 0 0 -1
$EndComp
$Comp
L GND #PWR045
L GND #PWR26
U 1 1 58D7085C
P 2450 4050
F 0 "#PWR045" H 2450 3800 50 0001 C CNN
F 1 "GND" H 2450 3900 50 0000 C CNN
F 2 "" H 2450 4050 50 0000 C CNN
F 3 "" H 2450 4050 50 0000 C CNN
1 2450 4050
P 2100 4050
F 0 "#PWR26" H 2100 3800 50 0001 C CNN
F 1 "GND" H 2100 3900 50 0000 C CNN
F 2 "" H 2100 4050 50 0000 C CNN
F 3 "" H 2100 4050 50 0000 C CNN
1 2100 4050
1 0 0 -1
$EndComp
Wire Wire Line
2450 3950 2450 4050
2100 3950 2100 4050
Wire Wire Line
2850 3350 2950 3350
2500 3350 2600 3350
Wire Wire Line
2850 3450 2950 3450
Text GLabel 2950 3350 2 60 Input ~ 0
2500 3450 2600 3450
Text GLabel 2600 3350 2 60 Input ~ 0
BOARD_SCL
Text GLabel 2950 3450 2 60 Input ~ 0
Text GLabel 2600 3450 2 60 Input ~ 0
BOARD_SDA
$Comp
L Crystal Y1
U 1 1 58D70E83
P 1950 3550
F 0 "Y1" H 1950 3700 50 0000 C CNN
F 1 "32768Hz" H 1950 3400 50 0000 C CNN
F 2 "Crystals:Crystal_SMD_0603_2Pads_obsolete" H 1950 3550 50 0001 C CNN
F 3 "" H 1950 3550 50 0000 C CNN
1 1950 3550
P 1600 3550
F 0 "Y1" H 1600 3700 50 0000 C CNN
F 1 "32768Hz" H 1600 3400 50 0000 C CNN
F 2 "Crystals:Crystal_SMD_0603_2Pads_obsolete" H 1600 3550 50 0001 C CNN
F 3 "" H 1600 3550 50 0000 C CNN
1 1600 3550
0 1 1 0
$EndComp
Wire Wire Line
1950 3700 1950 3750
1600 3700 1600 3750
Wire Wire Line
1950 3400 1950 3350
1600 3400 1600 3350
$Comp
L Battery_Cell BT1
U 1 1 58D72202
P 3150 4000
F 0 "BT1" H 3250 4100 50 0000 L CNN
F 1 "3V" H 3250 4000 50 0000 L CNN
F 2 "Buzzers_Beepers:Buzzer_12x9.5RM7.6" V 3150 4060 50 0001 C CNN
F 3 "" V 3150 4060 50 0000 C CNN
1 3150 4000
P 2800 4000
F 0 "BT1" H 2900 4100 50 0000 L CNN
F 1 "3V" H 2900 4000 50 0000 L CNN
F 2 "Buzzers_Beepers:Buzzer_12x9.5RM7.6" V 2800 4060 50 0001 C CNN
F 3 "" V 2800 4060 50 0000 C CNN
1 2800 4000
1 0 0 -1
$EndComp
Wire Wire Line
2850 3750 3150 3750
2500 3750 2800 3750
Wire Wire Line
3150 3750 3150 3800
2800 3750 2800 3800
$Comp
L GND #PWR046
L GND #PWR28
U 1 1 58D72406
P 3150 4150
F 0 "#PWR046" H 3150 3900 50 0001 C CNN
F 1 "GND" H 3150 4000 50 0000 C CNN
F 2 "" H 3150 4150 50 0000 C CNN
F 3 "" H 3150 4150 50 0000 C CNN
1 3150 4150
P 2800 4150
F 0 "#PWR28" H 2800 3900 50 0001 C CNN
F 1 "GND" H 2800 4000 50 0000 C CNN
F 2 "" H 2800 4150 50 0000 C CNN
F 3 "" H 2800 4150 50 0000 C CNN
1 2800 4150
1 0 0 -1
$EndComp
Wire Wire Line
3150 4100 3150 4150
2800 4100 2800 4150
Wire Wire Line
1950 3350 2050 3350
1600 3350 1700 3350
Wire Wire Line
1950 3750 2050 3750
1600 3750 1700 3750
Wire Wire Line
2450 3150 2450 3050
2100 3150 2100 3050
$Comp
L +2V5 #PWR047
L +2V5 #PWR25
U 1 1 58D73C82
P 2450 3050
F 0 "#PWR047" H 2450 2900 50 0001 C CNN
F 1 "+2V5" H 2450 3190 50 0000 C CNN
F 2 "" H 2450 3050 50 0000 C CNN
F 3 "" H 2450 3050 50 0000 C CNN
1 2450 3050
P 2100 3050
F 0 "#PWR25" H 2100 2900 50 0001 C CNN
F 1 "+2V5" H 2100 3190 50 0000 C CNN
F 2 "" H 2100 3050 50 0000 C CNN
F 3 "" H 2100 3050 50 0000 C CNN
1 2100 3050
1 0 0 -1
$EndComp
Text Label 2900 3750 0 60 ~ 0
Text Label 2550 3750 0 60 ~ 0
VBAT
Text Notes 6700 3300 0 60 ~ 0
PWREN\nabove +1.5V turns ON\nbelow +0.4V turns OFF
@ -1301,26 +1301,26 @@ Connection ~ 4150 3450
$Comp
L R R2
U 1 1 58D7BDD9
P 4150 5100
F 0 "R2" V 4230 5100 50 0000 C CNN
F 1 "47k" V 4150 5100 50 0000 C CNN
F 2 "Resistors_SMD:R_0805_HandSoldering" V 4080 5100 50 0001 C CNN
F 3 "" H 4150 5100 50 0000 C CNN
1 4150 5100
P 4150 5500
F 0 "R2" V 4230 5500 50 0000 C CNN
F 1 "47k" V 4150 5500 50 0000 C CNN
F 2 "Resistors_SMD:R_0805_HandSoldering" V 4080 5500 50 0001 C CNN
F 3 "" H 4150 5500 50 0000 C CNN
1 4150 5500
1 0 0 -1
$EndComp
Connection ~ 4150 4850
Wire Wire Line
4150 5250 4150 5700
4150 5650 4150 6100
$Comp
L GND #PWR048
L GND #PWR30
U 1 1 58D7C223
P 4150 5700
F 0 "#PWR048" H 4150 5450 50 0001 C CNN
F 1 "GND" H 4150 5550 50 0000 C CNN
F 2 "" H 4150 5700 50 0000 C CNN
F 3 "" H 4150 5700 50 0000 C CNN
1 4150 5700
P 4150 6100
F 0 "#PWR30" H 4150 5850 50 0001 C CNN
F 1 "GND" H 4150 5950 50 0000 C CNN
F 2 "" H 4150 6100 50 0000 C CNN
F 3 "" H 4150 6100 50 0000 C CNN
1 4150 6100
1 0 0 -1
$EndComp
$Comp
@ -1348,10 +1348,10 @@ F 3 "" H 4700 4150 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR049
L +3V3 #PWR32
U 1 1 58D7CCF7
P 4700 3800
F 0 "#PWR049" H 4700 3650 50 0001 C CNN
F 0 "#PWR32" H 4700 3650 50 0001 C CNN
F 1 "+3V3" H 4700 3940 50 0000 C CNN
F 2 "" H 4700 3800 50 0000 C CNN
F 3 "" H 4700 3800 50 0000 C CNN
@ -1360,8 +1360,8 @@ F 3 "" H 4700 3800 50 0000 C CNN
$EndComp
Wire Wire Line
4700 3800 4700 4000
Text Notes 1850 4650 0 60 ~ 0
WAKEUPn open drain active low
Text Notes 3100 4200 0 60 ~ 0
WAKEUPn\nopen drain \nactive low
$Comp
L Q_PNP_BCE Q1
U 1 1 58D7E2B1
@ -1374,88 +1374,134 @@ F 3 "" H 3800 3650 50 0000 C CNN
1 0 0 1
$EndComp
Wire Wire Line
2850 3650 3600 3650
Text Label 2900 3650 0 60 ~ 0
2500 3650 3050 3650
Text Label 2550 3650 0 60 ~ 0
WAKEUPn
Text GLabel 2750 5100 0 60 Input ~ 0
Text GLabel 2600 5500 0 60 Input ~ 0
SHUTDOWN
Wire Wire Line
3550 5500 3550 5600
3550 5900 3550 6000
Wire Wire Line
4700 4850 4700 4750
$Comp
L Q_NMOS_DGS Q2
U 1 1 58D83977
P 3850 5100
F 0 "Q2" H 3700 5200 50 0000 L CNN
F 1 "2N7002" H 3600 5300 50 0000 L CNN
F 2 "TO_SOT_Packages_SMD:SOT-23" H 4050 5200 50 0001 C CNN
F 3 "" H 3850 5100 50 0000 C CNN
1 3850 5100
P 3850 5500
F 0 "Q2" H 3700 5600 50 0000 L CNN
F 1 "2N7002" H 3600 5700 50 0000 L CNN
F 2 "TO_SOT_Packages_SMD:SOT-23" H 4050 5600 50 0001 C CNN
F 3 "" H 3850 5500 50 0000 C CNN
1 3850 5500
1 0 0 -1
$EndComp
Wire Wire Line
3950 4900 3950 4850
3950 4950 3950 4850
Wire Wire Line
3950 5600 3950 5300
3950 6000 3950 5700
$Comp
L C C14
U 1 1 58D84952
P 3550 5350
F 0 "C14" H 3575 5450 50 0000 L CNN
F 1 "100nF" H 3575 5250 50 0000 L CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 3588 5200 50 0001 C CNN
F 3 "" H 3550 5350 50 0000 C CNN
1 3550 5350
P 3550 5750
F 0 "C14" H 3575 5850 50 0000 L CNN
F 1 "100nF" H 3575 5650 50 0000 L CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 3588 5600 50 0001 C CNN
F 3 "" H 3550 5750 50 0000 C CNN
1 3550 5750
1 0 0 -1
$EndComp
Wire Wire Line
3550 5100 3550 5200
3550 5500 3550 5600
Wire Wire Line
3150 5100 3650 5100
3050 5500 3650 5500
$Comp
L D_Schottky D12
U 1 1 58D84D8A
P 3000 5100
F 0 "D12" H 3000 5200 50 0000 C CNN
F 1 "BAT42" H 3000 5000 50 0000 C CNN
F 2 "Diodes_SMD:D_0805" H 3000 5100 50 0001 C CNN
F 3 "" H 3000 5100 50 0000 C CNN
1 3000 5100
P 2900 5500
F 0 "D12" H 2900 5600 50 0000 C CNN
F 1 "BAT42" H 2900 5400 50 0000 C CNN
F 2 "Diodes_SMD:D_0805" H 2900 5500 50 0001 C CNN
F 3 "" H 2900 5500 50 0000 C CNN
1 2900 5500
-1 0 0 1
$EndComp
Wire Wire Line
2750 5100 2850 5100
Connection ~ 3550 5100
2600 5500 2750 5500
Connection ~ 3550 5500
$Comp
L R R5
U 1 1 58D85B68
P 3250 5350
F 0 "R5" V 3330 5350 50 0000 C CNN
F 1 "4.7M" V 3250 5350 50 0000 C CNN
F 2 "Resistors_SMD:R_0805_HandSoldering" V 3180 5350 50 0001 C CNN
F 3 "" H 3250 5350 50 0000 C CNN
1 3250 5350
P 3250 5750
F 0 "R5" V 3330 5750 50 0000 C CNN
F 1 "4.7M" V 3250 5750 50 0000 C CNN
F 2 "Resistors_SMD:R_0805_HandSoldering" V 3180 5750 50 0001 C CNN
F 3 "" H 3250 5750 50 0000 C CNN
1 3250 5750
1 0 0 -1
$EndComp
Wire Wire Line
3250 5200 3250 5100
Connection ~ 3250 5100
Wire Wire Line
3250 5500 3250 5600
Connection ~ 3250 5500
Wire Wire Line
3250 5600 4150 5600
Connection ~ 3550 5600
Text Notes 2800 5750 0 60 ~ 0
3250 5900 3250 6000
Wire Wire Line
3250 6000 4150 6000
Connection ~ 3550 6000
Text Notes 2800 6150 0 60 ~ 0
RC to hold 0.5s shutdown
Connection ~ 4150 5600
Connection ~ 3950 5600
Connection ~ 4150 6000
Connection ~ 3950 6000
Text Label 4150 3950 0 60 ~ 0
WKUP
Text Label 4150 4400 0 60 ~ 0
WAKE
Text Label 4700 4400 0 60 ~ 0
HOLD
Text Label 3300 5100 0 60 ~ 0
Text Label 3300 5500 0 60 ~ 0
SHUT
$Comp
L R R6
U 1 1 58D7B291
P 3200 3650
F 0 "R6" V 3280 3650 50 0000 C CNN
F 1 "1k" V 3200 3650 50 0000 C CNN
F 2 "Resistors_SMD:R_0805_HandSoldering" V 3130 3650 50 0001 C CNN
F 3 "" H 3200 3650 50 0000 C CNN
1 3200 3650
0 1 1 0
$EndComp
Wire Wire Line
3350 3650 3600 3650
Text Label 3400 3650 0 60 ~ 0
WKn
Wire Wire Line
5100 4300 5100 4850
$Comp
L D D13
U 1 1 58D7BC4A
P 5100 4150
F 0 "D13" H 5100 4250 50 0000 C CNN
F 1 "1N4148" H 5100 4050 50 0000 C CNN
F 2 "Diodes_SMD:D_0805" H 5100 4150 50 0001 C CNN
F 3 "" H 5100 4150 50 0000 C CNN
1 5100 4150
0 -1 -1 0
$EndComp
Wire Wire Line
5100 3800 5100 4000
Connection ~ 4700 4850
Text GLabel 5100 3800 1 60 Input ~ 0
FTDI_PWREN
$Comp
L R R8
U 1 1 58D8111E
P 3950 5100
F 0 "R8" V 4030 5100 50 0000 C CNN
F 1 "1k" V 3950 5100 50 0000 C CNN
F 2 "Resistors_SMD:R_0805_HandSoldering" V 3880 5100 50 0001 C CNN
F 3 "" H 3950 5100 50 0000 C CNN
1 3950 5100
1 0 0 -1
$EndComp
Wire Wire Line
3950 5300 3950 5250
$EndSCHEMATC

@ -1,15 +1,15 @@
(kicad_pcb (version 4) (host pcbnew 4.0.5+dfsg1-4)
(general
(links 368)
(no_connects 368)
(links 371)
(no_connects 370)
(area 93.949999 61.269999 178.070001 109.830001)
(thickness 1.6)
(drawings 10)
(tracks 1)
(zones 0)
(modules 71)
(nets 100)
(modules 74)
(nets 102)
)
(page A4)
@ -193,6 +193,8 @@
(net 97 /power/SHUT)
(net 98 /power/WAKE)
(net 99 /power/HOLD)
(net 100 /power/WKn)
(net 101 "Net-(Q2-Pad1)")
(net_class Default "This is the default net class."
(clearance 0.2)
@ -273,6 +275,7 @@
(add_net /power/WAKE)
(add_net /power/WAKEUPn)
(add_net /power/WKUP)
(add_net /power/WKn)
(add_net /usb/USB5V)
(add_net BTN_D)
(add_net BTN_F1)
@ -289,6 +292,7 @@
(add_net "Net-(L1-Pad1)")
(add_net "Net-(L2-Pad1)")
(add_net "Net-(L3-Pad1)")
(add_net "Net-(Q2-Pad1)")
(add_net "Net-(U6-Pad57)")
(add_net "Net-(U7-Pad1)")
(add_net "Net-(U7-Pad2)")
@ -3620,7 +3624,7 @@
)
(module Diodes_SMD:D_0805 (layer B.Cu) (tedit 574BBB4C) (tstamp 58D79A7B)
(at 157.6 86.82 270)
(at 157.6 85.33 270)
(descr "Diode SMD in 0805 package")
(tags "smd diode")
(path /58D51CAD/58D79CB5)
@ -3688,15 +3692,15 @@
)
(module Diodes_SMD:D_0805 (layer B.Cu) (tedit 574BBB4C) (tstamp 58D79A87)
(at 157.6 80.47 180)
(at 155.06 80.47 90)
(descr "Diode SMD in 0805 package")
(tags "smd diode")
(path /58D51CAD/58D84D8A)
(attr smd)
(fp_text reference D12 (at 0 -1.6 180) (layer B.SilkS)
(fp_text reference D12 (at 0 -1.6 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value BAT42 (at 0 1.6 180) (layer B.Fab)
(fp_text value BAT42 (at 0 1.6 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.8 -0.9) (end -1.8 0.9) (layer B.CrtYd) (width 0.05))
@ -3715,13 +3719,13 @@
(fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.15))
(fp_line (start -1.1 -0.7) (end 0.7 -0.7) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 0.7) (end 0.7 0.7) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.05 0 180) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(pad 1 smd rect (at -1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 97 /power/SHUT))
(pad 2 smd rect (at 1.05 0 180) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask))
)
(module TO_SOT_Packages_SMD:SOT-23 (layer B.Cu) (tedit 583F39EB) (tstamp 58D79A8E)
(at 158.6 89.36 180)
(at 157.33 89.36 180)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /58D51CAD/58D7E2B1)
@ -3745,7 +3749,7 @@
(fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer B.Fab) (width 0.15))
(fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
(pad 1 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 95 /power/WAKEUPn))
(net 100 /power/WKn))
(pad 2 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 96 /power/WKUP))
(pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
@ -3758,15 +3762,15 @@
)
(module TO_SOT_Packages_SMD:SOT-23 (layer B.Cu) (tedit 583F39EB) (tstamp 58D79A95)
(at 158.87 83.01)
(at 157.28 81.06 90)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /58D51CAD/58D83977)
(attr smd)
(fp_text reference Q2 (at 0 2.5) (layer B.SilkS)
(fp_text reference Q2 (at 0 2.5 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 2N7002 (at 0 -2.5) (layer B.Fab)
(fp_text value 2N7002 (at 0 -2.5 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer B.SilkS) (width 0.12))
@ -3781,11 +3785,11 @@
(fp_line (start -0.7 1.52) (end 0.7 1.52) (layer B.Fab) (width 0.15))
(fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer B.Fab) (width 0.15))
(fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
(pad 1 smd rect (at -1 0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 77 /power/PWREN))
(pad 2 smd rect (at -1 -0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(pad 1 smd rect (at -1 0.95 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 101 "Net-(Q2-Pad1)"))
(pad 2 smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 97 /power/SHUT))
(pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(pad 3 smd rect (at 1 0 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
(at (xyz 0 0 0))
@ -3926,6 +3930,105 @@
)
)
(module Diodes_SMD:D_0805 (layer B.Cu) (tedit 574BBB4C) (tstamp 58D7A84D)
(at 167.76 88.09 90)
(descr "Diode SMD in 0805 package")
(tags "smd diode")
(path /58D51CAD/58D7BC4A)
(attr smd)
(fp_text reference D13 (at 0 -1.6 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 1N4148 (at 0 1.6 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.8 -0.9) (end -1.8 0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.8 -0.9) (end -1.8 -0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.8 0.9) (end 1.8 -0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 0.9) (end 1.8 0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.2 0) (end 0.4 0) (layer B.Fab) (width 0.15))
(fp_line (start -0.1 0) (end -0.3 0) (layer B.Fab) (width 0.15))
(fp_line (start -0.1 0.2) (end -0.1 -0.2) (layer B.Fab) (width 0.15))
(fp_line (start 0.2 -0.2) (end 0.2 0.2) (layer B.Fab) (width 0.15))
(fp_line (start -0.1 0) (end 0.2 -0.2) (layer B.Fab) (width 0.15))
(fp_line (start 0.2 0.2) (end -0.1 0) (layer B.Fab) (width 0.15))
(fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.15))
(fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.15))
(fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.15))
(fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.15))
(fp_line (start -1.1 -0.7) (end 0.7 -0.7) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 0.7) (end 0.7 0.7) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 77 /power/PWREN))
(pad 2 smd rect (at 1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask))
)
(module Resistors_SMD:R_0805_HandSoldering (layer B.Cu) (tedit 58307B90) (tstamp 58D7A853)
(at 161.33 88.09)
(descr "Resistor SMD 0805, hand soldering")
(tags "resistor 0805")
(path /58D51CAD/58D7B291)
(attr smd)
(fp_text reference R6 (at 0 2.1) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 1k (at 0 -2.1) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.1))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.1))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.1))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.1))
(fp_line (start -2.4 1) (end 2.4 1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.4 -1) (end 2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.4 1) (end -2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.4 1) (end 2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.6 -0.875) (end -0.6 -0.875) (layer B.SilkS) (width 0.15))
(fp_line (start -0.6 0.875) (end 0.6 0.875) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.35 0) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask)
(net 100 /power/WKn))
(pad 2 smd rect (at 1.35 0) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask)
(net 95 /power/WAKEUPn))
(model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0805_HandSoldering (layer B.Cu) (tedit 58307B90) (tstamp 58D7A859)
(at 160.14 81.74 90)
(descr "Resistor SMD 0805, hand soldering")
(tags "resistor 0805")
(path /58D51CAD/58D8111E)
(attr smd)
(fp_text reference R8 (at 0 2.1 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 1k (at 0 -2.1 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.1))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.1))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.1))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.1))
(fp_line (start -2.4 1) (end 2.4 1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.4 -1) (end 2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.4 1) (end -2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.4 1) (end 2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.6 -0.875) (end -0.6 -0.875) (layer B.SilkS) (width 0.15))
(fp_line (start -0.6 0.875) (end 0.6 0.875) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.35 0 90) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask)
(net 77 /power/PWREN))
(pad 2 smd rect (at 1.35 0 90) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask)
(net 101 "Net-(Q2-Pad1)"))
(model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(gr_line (start 137.28 99.52) (end 137.28 63.96) (layer Eco2.User) (width 0.2))
(gr_line (start 172.84 99.52) (end 137.28 99.52) (layer Eco2.User) (width 0.2))
(gr_line (start 172.84 63.96) (end 172.84 99.52) (layer Eco2.User) (width 0.2))

@ -1,15 +1,15 @@
(kicad_pcb (version 4) (host pcbnew 4.0.5+dfsg1-4)
(general
(links 368)
(no_connects 368)
(links 371)
(no_connects 370)
(area 93.949999 61.269999 178.070001 109.830001)
(thickness 1.6)
(drawings 10)
(tracks 1)
(zones 0)
(modules 71)
(nets 100)
(modules 74)
(nets 102)
)
(page A4)
@ -65,7 +65,7 @@
(pad_to_mask_clearance 0.2)
(aux_axis_origin 82.67 62.69)
(grid_origin 86.48 79.2)
(visible_elements 7FFFFFFF)
(visible_elements 7FFFBFFF)
(pcbplotparams
(layerselection 0x00030_80000001)
(usegerberextensions false)
@ -193,6 +193,8 @@
(net 97 /power/SHUT)
(net 98 /power/WAKE)
(net 99 /power/HOLD)
(net 100 /power/WKn)
(net 101 "Net-(Q2-Pad1)")
(net_class Default "This is the default net class."
(clearance 0.2)
@ -273,6 +275,7 @@
(add_net /power/WAKE)
(add_net /power/WAKEUPn)
(add_net /power/WKUP)
(add_net /power/WKn)
(add_net /usb/USB5V)
(add_net BTN_D)
(add_net BTN_F1)
@ -289,6 +292,7 @@
(add_net "Net-(L1-Pad1)")
(add_net "Net-(L2-Pad1)")
(add_net "Net-(L3-Pad1)")
(add_net "Net-(Q2-Pad1)")
(add_net "Net-(U6-Pad57)")
(add_net "Net-(U7-Pad1)")
(add_net "Net-(U7-Pad2)")
@ -3407,24 +3411,24 @@
)
(module Buzzers_Beepers:Buzzer_12x9.5RM7.6 (layer B.Cu) (tedit 544E361A) (tstamp 58D709F9)
(at 149.99016 95.71 90)
(at 151.25 96.98 180)
(descr "Generic Buzzer, D12mm height 9.5mm with RM7.6mm")
(tags buzzer)
(path /58D51CAD/58D72202)
(fp_text reference BT1 (at 0 8.001 90) (layer B.SilkS)
(fp_text reference BT1 (at 0 8.001 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 3V (at -1.00076 -8.001 90) (layer B.Fab)
(fp_text value 3V (at -1.00076 -8.001 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_circle (center 0 0) (end 1.00076 0) (layer B.SilkS) (width 0.15))
(fp_text user + (at -3.81 2.54 90) (layer B.SilkS)
(fp_text user + (at -3.81 2.54 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_circle (center 0 0) (end 6.20014 0) (layer B.SilkS) (width 0.15))
(pad 1 thru_hole circle (at -3.79984 0 90) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask)
(pad 1 thru_hole circle (at -3.79984 0 180) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask)
(net 83 /power/VBAT))
(pad 2 thru_hole circle (at 3.79984 0 90) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask)
(pad 2 thru_hole circle (at 3.79984 0 180) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask)
(net 1 GND))
(model Buzzers_Beepers.3dshapes/Buzzer_12x9.5RM7.6.wrl
(at (xyz 0 0 0))
@ -3620,7 +3624,7 @@
)
(module Diodes_SMD:D_0805 (layer B.Cu) (tedit 574BBB4C) (tstamp 58D79A7B)
(at 157.6 86.82 270)
(at 157.6 85.33 270)
(descr "Diode SMD in 0805 package")
(tags "smd diode")
(path /58D51CAD/58D79CB5)
@ -3688,15 +3692,15 @@
)
(module Diodes_SMD:D_0805 (layer B.Cu) (tedit 574BBB4C) (tstamp 58D79A87)
(at 157.6 80.47 180)
(at 155.06 80.47 90)
(descr "Diode SMD in 0805 package")
(tags "smd diode")
(path /58D51CAD/58D84D8A)
(attr smd)
(fp_text reference D12 (at 0 -1.6 180) (layer B.SilkS)
(fp_text reference D12 (at 0 -1.6 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value BAT42 (at 0 1.6 180) (layer B.Fab)
(fp_text value BAT42 (at 0 1.6 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.8 -0.9) (end -1.8 0.9) (layer B.CrtYd) (width 0.05))
@ -3715,13 +3719,13 @@
(fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.15))
(fp_line (start -1.1 -0.7) (end 0.7 -0.7) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 0.7) (end 0.7 0.7) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.05 0 180) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(pad 1 smd rect (at -1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 97 /power/SHUT))
(pad 2 smd rect (at 1.05 0 180) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask))
)
(module TO_SOT_Packages_SMD:SOT-23 (layer B.Cu) (tedit 583F39EB) (tstamp 58D79A8E)
(at 158.6 89.36 180)
(at 157.33 89.36 180)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /58D51CAD/58D7E2B1)
@ -3745,7 +3749,7 @@
(fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer B.Fab) (width 0.15))
(fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
(pad 1 smd rect (at -1 0.95 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 95 /power/WAKEUPn))
(net 100 /power/WKn))
(pad 2 smd rect (at -1 -0.95 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 96 /power/WKUP))
(pad 3 smd rect (at 1 0 180) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
@ -3758,15 +3762,15 @@
)
(module TO_SOT_Packages_SMD:SOT-23 (layer B.Cu) (tedit 583F39EB) (tstamp 58D79A95)
(at 158.87 83.01)
(at 157.28 81.06 90)
(descr "SOT-23, Standard")
(tags SOT-23)
(path /58D51CAD/58D83977)
(attr smd)
(fp_text reference Q2 (at 0 2.5) (layer B.SilkS)
(fp_text reference Q2 (at 0 2.5 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 2N7002 (at 0 -2.5) (layer B.Fab)
(fp_text value 2N7002 (at 0 -2.5 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer B.SilkS) (width 0.12))
@ -3781,11 +3785,11 @@
(fp_line (start -0.7 1.52) (end 0.7 1.52) (layer B.Fab) (width 0.15))
(fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer B.Fab) (width 0.15))
(fp_line (start 0.76 -1.58) (end -0.7 -1.58) (layer B.SilkS) (width 0.12))
(pad 1 smd rect (at -1 0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 77 /power/PWREN))
(pad 2 smd rect (at -1 -0.95) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(pad 1 smd rect (at -1 0.95 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 101 "Net-(Q2-Pad1)"))
(pad 2 smd rect (at -1 -0.95 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 97 /power/SHUT))
(pad 3 smd rect (at 1 0) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(pad 3 smd rect (at 1 0 90) (size 0.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
(at (xyz 0 0 0))
@ -3926,6 +3930,105 @@
)
)
(module Diodes_SMD:D_0805 (layer B.Cu) (tedit 574BBB4C) (tstamp 58D7A84D)
(at 167.76 88.09 90)
(descr "Diode SMD in 0805 package")
(tags "smd diode")
(path /58D51CAD/58D7BC4A)
(attr smd)
(fp_text reference D13 (at 0 -1.6 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 1N4148 (at 0 1.6 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.8 -0.9) (end -1.8 0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.8 -0.9) (end -1.8 -0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.8 0.9) (end 1.8 -0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 0.9) (end 1.8 0.9) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.2 0) (end 0.4 0) (layer B.Fab) (width 0.15))
(fp_line (start -0.1 0) (end -0.3 0) (layer B.Fab) (width 0.15))
(fp_line (start -0.1 0.2) (end -0.1 -0.2) (layer B.Fab) (width 0.15))
(fp_line (start 0.2 -0.2) (end 0.2 0.2) (layer B.Fab) (width 0.15))
(fp_line (start -0.1 0) (end 0.2 -0.2) (layer B.Fab) (width 0.15))
(fp_line (start 0.2 0.2) (end -0.1 0) (layer B.Fab) (width 0.15))
(fp_line (start -1 -0.6) (end -1 0.6) (layer B.Fab) (width 0.15))
(fp_line (start 1 -0.6) (end -1 -0.6) (layer B.Fab) (width 0.15))
(fp_line (start 1 0.6) (end 1 -0.6) (layer B.Fab) (width 0.15))
(fp_line (start -1 0.6) (end 1 0.6) (layer B.Fab) (width 0.15))
(fp_line (start -1.1 -0.7) (end 0.7 -0.7) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 0.7) (end 0.7 0.7) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 77 /power/PWREN))
(pad 2 smd rect (at 1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask))
)
(module Resistors_SMD:R_0805_HandSoldering (layer B.Cu) (tedit 58307B90) (tstamp 58D7A853)
(at 161.33 88.09)
(descr "Resistor SMD 0805, hand soldering")
(tags "resistor 0805")
(path /58D51CAD/58D7B291)
(attr smd)
(fp_text reference R6 (at 0 2.1) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 1k (at 0 -2.1) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.1))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.1))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.1))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.1))
(fp_line (start -2.4 1) (end 2.4 1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.4 -1) (end 2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.4 1) (end -2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.4 1) (end 2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.6 -0.875) (end -0.6 -0.875) (layer B.SilkS) (width 0.15))
(fp_line (start -0.6 0.875) (end 0.6 0.875) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.35 0) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask)
(net 100 /power/WKn))
(pad 2 smd rect (at 1.35 0) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask)
(net 95 /power/WAKEUPn))
(model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0805_HandSoldering (layer B.Cu) (tedit 58307B90) (tstamp 58D7A859)
(at 160.14 81.74 90)
(descr "Resistor SMD 0805, hand soldering")
(tags "resistor 0805")
(path /58D51CAD/58D8111E)
(attr smd)
(fp_text reference R8 (at 0 2.1 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 1k (at 0 -2.1 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.1))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.1))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.1))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.1))
(fp_line (start -2.4 1) (end 2.4 1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.4 -1) (end 2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.4 1) (end -2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.4 1) (end 2.4 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.6 -0.875) (end -0.6 -0.875) (layer B.SilkS) (width 0.15))
(fp_line (start -0.6 0.875) (end 0.6 0.875) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.35 0 90) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask)
(net 77 /power/PWREN))
(pad 2 smd rect (at 1.35 0 90) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask)
(net 101 "Net-(Q2-Pad1)"))
(model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(gr_line (start 137.28 99.52) (end 137.28 63.96) (layer Eco2.User) (width 0.2))
(gr_line (start 172.84 99.52) (end 137.28 99.52) (layer Eco2.User) (width 0.2))
(gr_line (start 172.84 63.96) (end 172.84 99.52) (layer Eco2.User) (width 0.2))

@ -1,7 +1,7 @@
(export (version D)
(design
(source /home/davor/tmp/ulx3s/ulx3s.sch)
(date "Ned 26 Ožu 2017 12:41:52")
(date "Ned 26 Ožu 2017 13:34:55")
(tool "Eeschema 4.0.5+dfsg1-4")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -374,6 +374,24 @@
(libsource (lib device) (part R))
(sheetpath (names /power/) (tstamps /58D51CAD/))
(tstamp 58D85B68))
(comp (ref R6)
(value 1k)
(footprint Resistors_SMD:R_0805_HandSoldering)
(libsource (lib device) (part R))
(sheetpath (names /power/) (tstamps /58D51CAD/))
(tstamp 58D7B291))
(comp (ref D13)
(value 1N4148)
(footprint Diodes_SMD:D_0805)
(libsource (lib device) (part D))
(sheetpath (names /power/) (tstamps /58D51CAD/))
(tstamp 58D7BC4A))
(comp (ref R8)
(value 1k)
(footprint Resistors_SMD:R_0805_HandSoldering)
(libsource (lib device) (part R))
(sheetpath (names /power/) (tstamps /58D51CAD/))
(tstamp 58D8111E))
(comp (ref OLED1)
(value CONN_01X07)
(footprint Socket_Strips:Socket_Strip_Straight_1x07)
@ -1301,99 +1319,72 @@
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name shield) (type passive)))))
(libraries
(library (logical ESP8266)
(uri footprints/esp8266/ESP8266.lib))
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical conn)
(uri /usr/share/kicad/library/conn.lib))
(library (logical memory)
(uri /usr/share/kicad/library/memory.lib))
(library (logical philips)
(uri /usr/share/kicad/library/philips.lib))
(library (logical micro-hdmi-d)
(uri footprints/micro-hdmi-d/micro-hdmi-d.lib))
(library (logical ESP8266)
(uri footprints/esp8266/ESP8266.lib))
(library (logical lfe5bg381)
(uri footprints/lattice/lfe5bg381.lib))
(library (logical philips)
(uri /usr/share/kicad/library/philips.lib))
(library (logical ft2232)
(uri footprints/usbserial/ft2232.lib))
(library (logical conn)
(uri /usr/share/kicad/library/conn.lib))
(library (logical ap3429a)
(uri footprints/dcdc_converter/ap3429a.lib))
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical memory)
(uri /usr/share/kicad/library/memory.lib)))
(library (logical ft2232)
(uri footprints/usbserial/ft2232.lib)))
(nets
(net (code 1) (name SD_CD)
(node (ref SD1) (pin 10)))
(net (code 2) (name GND)
(node (ref U1) (pin P7))
(node (ref U1) (pin G13))
(node (ref U1) (pin P13))
(node (ref U1) (pin U13))
(node (ref U1) (pin F13))
(node (ref C3) (pin 2))
(node (ref U1) (pin W15))
(node (ref RB1) (pin 1))
(node (ref C1) (pin 2))
(node (ref U1) (pin C19))
(node (ref C4) (pin 2))
(node (ref U3) (pin 2))
(node (ref U1) (pin M16))
(node (ref U1) (pin V16))
(node (ref U1) (pin W16))
(node (ref U1) (pin G17))
(node (ref U1) (pin C19))
(node (ref U1) (pin H19))
(node (ref U1) (pin R19))
(node (ref U1) (pin V19))
(node (ref U1) (pin Y11))
(node (ref U3) (pin 2))
(node (ref U1) (pin U14))
(node (ref GPDI1) (pin 10))
(node (ref GPDI1) (pin 13))
(node (ref GPDI1) (pin 7))
(node (ref U1) (pin V14))
(node (ref U1) (pin B14))
(node (ref U1) (pin F14))
(node (ref U1) (pin G14))
(node (ref U1) (pin J14))
(node (ref U1) (pin K14))
(node (ref U1) (pin M14))
(node (ref U1) (pin N14))
(node (ref U1) (pin P14))
(node (ref U1) (pin W12))
(node (ref U1) (pin V13))
(node (ref U1) (pin G15))
(node (ref U1) (pin M16))
(node (ref U1) (pin Y6))
(node (ref U1) (pin B7))
(node (ref U1) (pin F7))
(node (ref U1) (pin G7))
(node (ref U1) (pin J7))
(node (ref U1) (pin K7))
(node (ref U1) (pin M7))
(node (ref U1) (pin N7))
(node (ref U1) (pin W6))
(node (ref U1) (pin T7))
(node (ref U1) (pin U7))
(node (ref U1) (pin V7))
(node (ref U1) (pin W7))
(node (ref U1) (pin V12))
(node (ref U1) (pin G12))
(node (ref U1) (pin K15))
(node (ref U1) (pin N15))
(node (ref U1) (pin V15))
(node (ref U1) (pin Y12))
(node (ref U1) (pin J12))
(node (ref U1) (pin K12))
(node (ref U1) (pin L12))
(node (ref U1) (pin K15))
(node (ref U1) (pin M12))
(node (ref U1) (pin P12))
(node (ref U1) (pin U12))
(node (ref U1) (pin W12))
(node (ref U1) (pin Y12))
(node (ref U1) (pin F13))
(node (ref U1) (pin G13))
(node (ref U1) (pin N15))
(node (ref C1) (pin 2))
(node (ref RB1) (pin 1))
(node (ref C3) (pin 2))
(node (ref C4) (pin 2))
(node (ref U1) (pin W19))
(node (ref U1) (pin V15))
(node (ref U1) (pin W15))
(node (ref U1) (pin K9))
(node (ref U1) (pin L11))
(node (ref U1) (pin M11))
(node (ref U1) (pin P11))
(node (ref U1) (pin U11))
(node (ref U1) (pin V11))
(node (ref U1) (pin J9))
(node (ref U1) (pin P8))
(node (ref U1) (pin T8))
(node (ref U1) (pin U8))
(node (ref U1) (pin V8))
(node (ref U1) (pin Y8))
(node (ref U1) (pin G9))
(node (ref U1) (pin K11))
(node (ref U1) (pin L9))
(node (ref U1) (pin M9))
(node (ref U1) (pin T9))
(node (ref U1) (pin U9))
(node (ref U1) (pin V9))
(node (ref U1) (pin G10))
(node (ref J3) (pin 22))
(node (ref U1) (pin N6))
(node (ref U1) (pin F8))
(node (ref U1) (pin G8))
(node (ref U1) (pin G6))
(node (ref U1) (pin V12))
(node (ref U1) (pin V6))
(node (ref U1) (pin J2))
(node (ref U1) (pin M2))
(node (ref U1) (pin D4))
@ -1401,11 +1392,10 @@
(node (ref U1) (pin V5))
(node (ref U1) (pin Y5))
(node (ref U1) (pin K6))
(node (ref U1) (pin Y7))
(node (ref U1) (pin N6))
(node (ref U1) (pin T6))
(node (ref U1) (pin U6))
(node (ref U1) (pin V6))
(node (ref U1) (pin J10))
(node (ref U1) (pin G6))
(node (ref U1) (pin K10))
(node (ref U1) (pin L10))
(node (ref U1) (pin M10))
@ -1414,10 +1404,36 @@
(node (ref U1) (pin V10))
(node (ref U1) (pin V20))
(node (ref U1) (pin G11))
(node (ref U1) (pin J10))
(node (ref U1) (pin J11))
(node (ref U1) (pin K11))
(node (ref U1) (pin L11))
(node (ref U1) (pin M11))
(node (ref U1) (pin F7))
(node (ref U1) (pin V19))
(node (ref U1) (pin W19))
(node (ref U1) (pin G12))
(node (ref U1) (pin T7))
(node (ref U1) (pin Y6))
(node (ref U1) (pin B7))
(node (ref U1) (pin H19))
(node (ref U1) (pin G7))
(node (ref U1) (pin J7))
(node (ref U1) (pin K7))
(node (ref U1) (pin M7))
(node (ref U1) (pin N7))
(node (ref U1) (pin P7))
(node (ref U1) (pin W6))
(node (ref U1) (pin U7))
(node (ref U1) (pin V7))
(node (ref U1) (pin W7))
(node (ref U1) (pin Y7))
(node (ref U1) (pin F8))
(node (ref U1) (pin G8))
(node (ref U5) (pin 2))
(node (ref C7) (pin 2))
(node (ref C8) (pin 2))
(node (ref RB3) (pin 1))
(node (ref J3) (pin 22))
(node (ref J3) (pin 21))
(node (ref J3) (pin 4))
(node (ref J3) (pin 3))
@ -1427,102 +1443,104 @@
(node (ref J1) (pin 3))
(node (ref U4) (pin 2))
(node (ref C5) (pin 2))
(node (ref U7) (pin 4))
(node (ref U2) (pin 15))
(node (ref RB3) (pin 1))
(node (ref C9) (pin 2))
(node (ref RB2) (pin 1))
(node (ref C11) (pin 2))
(node (ref C12) (pin 2))
(node (ref U5) (pin 2))
(node (ref C9) (pin 2))
(node (ref U1) (pin P14))
(node (ref U1) (pin U13))
(node (ref U1) (pin V13))
(node (ref U1) (pin B14))
(node (ref U1) (pin F14))
(node (ref U1) (pin G14))
(node (ref U1) (pin J14))
(node (ref U1) (pin K14))
(node (ref U1) (pin M14))
(node (ref U1) (pin N14))
(node (ref U1) (pin P13))
(node (ref U1) (pin U14))
(node (ref U7) (pin 4))
(node (ref US1) (pin 5))
(node (ref US2) (pin 5))
(node (ref GPDI1) (pin SHD))
(node (ref U1) (pin U11))
(node (ref GPDI1) (pin 4))
(node (ref R2) (pin 2))
(node (ref BT1) (pin 2))
(node (ref U1) (pin V14))
(node (ref GPDI1) (pin 16))
(node (ref C14) (pin 2))
(node (ref R5) (pin 2))
(node (ref Q2) (pin 3))
(node (ref RB2) (pin 1))
(node (ref D5) (pin 1))
(node (ref D6) (pin 1))
(node (ref D7) (pin 1))
(node (ref R5) (pin 2))
(node (ref D4) (pin 1))
(node (ref D3) (pin 1))
(node (ref GPDI1) (pin 7))
(node (ref GPDI1) (pin 13))
(node (ref GPDI1) (pin 10))
(node (ref GPDI1) (pin 16))
(node (ref GPDI1) (pin 4))
(node (ref GPDI1) (pin SHD))
(node (ref US2) (pin 5))
(node (ref US1) (pin 5))
(node (ref U2) (pin 15))
(node (ref D2) (pin 1))
(node (ref D1) (pin 1))
(node (ref D0) (pin 1))
(node (ref OLED1) (pin 1))
(node (ref D5) (pin 1))
(node (ref D6) (pin 1))
(node (ref D7) (pin 1))
(node (ref U1) (pin M9))
(node (ref U1) (pin V11))
(node (ref U1) (pin Y11))
(node (ref U1) (pin K9))
(node (ref U1) (pin P8))
(node (ref U1) (pin T8))
(node (ref U1) (pin U8))
(node (ref U1) (pin V8))
(node (ref U1) (pin Y8))
(node (ref U1) (pin G9))
(node (ref U1) (pin J9))
(node (ref U1) (pin L9))
(node (ref U1) (pin T9))
(node (ref U1) (pin U9))
(node (ref U1) (pin V9))
(node (ref U1) (pin G10))
(node (ref U1) (pin P11))
(node (ref SD1) (pin 13))
(node (ref SD1) (pin 12))
(node (ref SD1) (pin 6))
(node (ref SD1) (pin 3))
(node (ref J2) (pin 4))
(node (ref J2) (pin 3))
(node (ref SD1) (pin 13))
(node (ref SD1) (pin 12)))
(node (ref J2) (pin 4)))
(net (code 3) (name SD_WP)
(node (ref SD1) (pin 11)))
(net (code 4) (name +3V3)
(node (ref C8) (pin 1))
(node (ref U1) (pin F11))
(node (ref L2) (pin 2))
(node (ref C6) (pin 1))
(node (ref RA3) (pin 1))
(node (ref C7) (pin 1))
(node (ref L2) (pin 2))
(node (ref U1) (pin M6))
(node (ref U1) (pin F11))
(node (ref U1) (pin H14))
(node (ref U1) (pin L14))
(node (ref U1) (pin H15))
(node (ref U1) (pin J15))
(node (ref U1) (pin L15))
(node (ref U1) (pin M15))
(node (ref U1) (pin F12))
(node (ref U1) (pin F10))
(node (ref D11) (pin 2))
(node (ref U1) (pin P10))
(node (ref U1) (pin H7))
(node (ref U1) (pin L7))
(node (ref C8) (pin 1))
(node (ref U1) (pin H6))
(node (ref U1) (pin J6))
(node (ref C7) (pin 1))
(node (ref U1) (pin L6))
(node (ref D11) (pin 2))
(node (ref U1) (pin F9))
(node (ref J2) (pin 2))
(node (ref J2) (pin 1))
(node (ref U1) (pin F10))
(node (ref U1) (pin P9))
(node (ref U1) (pin P10))
(node (ref J3) (pin 20))
(node (ref OLED1) (pin 2))
(node (ref J3) (pin 19))
(node (ref SD1) (pin 4))
(node (ref U1) (pin M6))
(node (ref U2) (pin 8))
(node (ref U1) (pin M15))
(node (ref J3) (pin 20))
(node (ref J1) (pin 63))
(node (ref U1) (pin F9))
(node (ref J3) (pin 2))
(node (ref J3) (pin 1))
(node (ref SD1) (pin 4))
(node (ref U1) (pin L15))
(node (ref U1) (pin J15))
(node (ref R7) (pin 1))
(node (ref OLED1) (pin 2))
(node (ref J1) (pin 64))
(node (ref J3) (pin 2))
(node (ref J1) (pin 63)))
(node (ref J2) (pin 2))
(node (ref U1) (pin F12))
(node (ref J2) (pin 1))
(node (ref U1) (pin H14))
(node (ref J3) (pin 19))
(node (ref U1) (pin L14))
(node (ref U1) (pin H15))
(node (ref U1) (pin P9)))
(net (code 5) (name JTAG_TDI)
(node (ref U2) (pin 4))
(node (ref U1) (pin R5)))
(node (ref U1) (pin R5))
(node (ref U2) (pin 4)))
(net (code 6) (name JTAG_TDO)
(node (ref U2) (pin 5))
(node (ref U1) (pin V4)))
(net (code 7) (name JTAG_TCK)
(node (ref U2) (pin 6))
(node (ref U1) (pin T5)))
(node (ref U1) (pin T5))
(node (ref U2) (pin 6)))
(net (code 8) (name JTAG_TMS)
(node (ref U2) (pin 7))
(node (ref U1) (pin U5)))
@ -1530,14 +1548,14 @@
(node (ref U2) (pin 10))
(node (ref SD1) (pin 8)))
(net (code 10) (name SD_3)
(node (ref SD1) (pin 1))
(node (ref U2) (pin 11)))
(node (ref U2) (pin 11))
(node (ref SD1) (pin 1)))
(net (code 11) (name SD_MTMS)
(node (ref U2) (pin 12))
(node (ref SD1) (pin 2)))
(net (code 12) (name SD_MTCK)
(node (ref SD1) (pin 5))
(node (ref U2) (pin 13)))
(node (ref U2) (pin 13))
(node (ref SD1) (pin 5)))
(net (code 13) (name SD_MTDO)
(node (ref U2) (pin 9))
(node (ref SD1) (pin 7)))
@ -1583,11 +1601,11 @@
(net (code 33) (name /gpio/MP4)
(node (ref J3) (pin 16)))
(net (code 34) (name /gpio/P44)
(node (ref U1) (pin B15))
(node (ref J1) (pin 44)))
(node (ref J1) (pin 44))
(node (ref U1) (pin B15)))
(net (code 35) (name /gpio/P40)
(node (ref J1) (pin 40))
(node (ref U1) (pin A16)))
(node (ref U1) (pin A16))
(node (ref J1) (pin 40)))
(net (code 36) (name /gpio/P42)
(node (ref U1) (pin D15))
(node (ref J1) (pin 42)))
@ -1598,23 +1616,23 @@
(node (ref J1) (pin 48))
(node (ref U1) (pin A14)))
(net (code 39) (name /gpio/P50)
(node (ref U1) (pin D13))
(node (ref J1) (pin 50)))
(node (ref J1) (pin 50))
(node (ref U1) (pin D13)))
(net (code 40) (name /gpio/P52)
(node (ref J1) (pin 52))
(node (ref U1) (pin B13)))
(node (ref U1) (pin B13))
(node (ref J1) (pin 52)))
(net (code 41) (name /gpio/P54)
(node (ref U1) (pin A12))
(node (ref J1) (pin 54)))
(node (ref J1) (pin 54))
(node (ref U1) (pin A12)))
(net (code 42) (name /gpio/P56)
(node (ref J1) (pin 56))
(node (ref U1) (pin D12)))
(node (ref U1) (pin D12))
(node (ref J1) (pin 56)))
(net (code 43) (name /gpio/P59)
(node (ref U1) (pin E11))
(node (ref J1) (pin 59)))
(net (code 44) (name /gpio/P57)
(node (ref U1) (pin C12))
(node (ref J1) (pin 57)))
(node (ref J1) (pin 57))
(node (ref U1) (pin C12)))
(net (code 45) (name /gpio/P55)
(node (ref U1) (pin E12))
(node (ref J1) (pin 55)))
@ -1625,14 +1643,14 @@
(node (ref J1) (pin 51))
(node (ref U1) (pin C13)))
(net (code 48) (name /gpio/P49)
(node (ref U1) (pin E13))
(node (ref J1) (pin 49)))
(node (ref J1) (pin 49))
(node (ref U1) (pin E13)))
(net (code 49) (name /gpio/P47)
(node (ref U1) (pin C14))
(node (ref J1) (pin 47)))
(net (code 50) (name /gpio/P45)
(node (ref J1) (pin 45))
(node (ref U1) (pin E14)))
(node (ref U1) (pin E14))
(node (ref J1) (pin 45)))
(net (code 51) (name /gpio/P43)
(node (ref J1) (pin 43))
(node (ref U1) (pin C15)))
@ -1642,97 +1660,97 @@
(net (code 53) (name /gpio/P39)
(node (ref U1) (pin B16))
(node (ref J1) (pin 39)))
(net (code 54) (name /gpio/P35)
(node (ref U1) (pin C17))
(node (ref J1) (pin 35)))
(net (code 55) (name /gpio/PMODC7)
(net (code 54) (name /gpio/PMODC7)
(node (ref J3) (pin 29)))
(net (code 56) (name /gpio/PMODC5)
(net (code 55) (name /gpio/PMODC5)
(node (ref J3) (pin 27)))
(net (code 57) (name /gpio/PMODC3)
(net (code 56) (name /gpio/PMODC3)
(node (ref J3) (pin 25)))
(net (code 58) (name /gpio/PMODC8)
(net (code 57) (name /gpio/PMODC8)
(node (ref J3) (pin 30)))
(net (code 59) (name /gpio/PMODC6)
(net (code 58) (name /gpio/PMODC6)
(node (ref J3) (pin 28)))
(net (code 60) (name /gpio/PMODC4)
(net (code 59) (name /gpio/PMODC4)
(node (ref J3) (pin 26)))
(net (code 61) (name /gpio/PMODC2)
(net (code 60) (name /gpio/PMODC2)
(node (ref J3) (pin 24)))
(net (code 62) (name /gpio/PMODC1)
(net (code 61) (name /gpio/PMODC1)
(node (ref J3) (pin 23)))
(net (code 63) (name /gpio/MP2)
(net (code 62) (name /gpio/MP2)
(node (ref J3) (pin 14)))
(net (code 64) (name /gpio/PMODA3)
(net (code 63) (name /gpio/PMODA3)
(node (ref J2) (pin 7)))
(net (code 65) (name /gpio/PMODA1)
(net (code 64) (name /gpio/PMODA1)
(node (ref J2) (pin 5)))
(net (code 66) (name /gpio/PMODA5)
(net (code 65) (name /gpio/PMODA5)
(node (ref J2) (pin 9)))
(net (code 67) (name /gpio/P60)
(node (ref U1) (pin D11))
(node (ref J1) (pin 60)))
(net (code 68) (name /gpio/PMODA8)
(net (code 66) (name /gpio/P60)
(node (ref J1) (pin 60))
(node (ref U1) (pin D11)))
(net (code 67) (name /gpio/PMODA8)
(node (ref J2) (pin 12)))
(net (code 69) (name /gpio/PMODA6)
(net (code 68) (name /gpio/PMODA6)
(node (ref J2) (pin 10)))
(net (code 70) (name /gpio/PMODA4)
(net (code 69) (name /gpio/PMODA4)
(node (ref J2) (pin 8)))
(net (code 71) (name /gpio/PMODA2)
(net (code 70) (name /gpio/PMODA2)
(node (ref J2) (pin 6)))
(net (code 72) (name /gpio/PMODA7)
(net (code 71) (name /gpio/PMODA7)
(node (ref J2) (pin 11)))
(net (code 72) (name /gpio/P35)
(node (ref J1) (pin 35))
(node (ref U1) (pin C17)))
(net (code 73) (name /gpio/P37)
(node (ref U1) (pin D16))
(node (ref J1) (pin 37)))
(node (ref J1) (pin 37))
(node (ref U1) (pin D16)))
(net (code 74) (name /gpio/P33)
(node (ref U1) (pin B18))
(node (ref J1) (pin 33)))
(net (code 75) (name /gpio/P31)
(node (ref J1) (pin 31))
(node (ref U1) (pin B19)))
(node (ref U1) (pin B19))
(node (ref J1) (pin 31)))
(net (code 76) (name /gpio/P29)
(node (ref U1) (pin B20))
(node (ref J1) (pin 29)))
(node (ref J1) (pin 29))
(node (ref U1) (pin B20)))
(net (code 77) (name /gpio/P38)
(node (ref U1) (pin C16))
(node (ref J1) (pin 38)))
(node (ref J1) (pin 38))
(node (ref U1) (pin C16)))
(net (code 78) (name /gpio/P58)
(node (ref U1) (pin B12))
(node (ref J1) (pin 58)))
(node (ref J1) (pin 58))
(node (ref U1) (pin B12)))
(net (code 79) (name /gpio/P36)
(node (ref U1) (pin B17))
(node (ref J1) (pin 36)))
(node (ref J1) (pin 36))
(node (ref U1) (pin B17)))
(net (code 80) (name /gpio/P34)
(node (ref U1) (pin A17))
(node (ref J1) (pin 34)))
(node (ref J1) (pin 34))
(node (ref U1) (pin A17)))
(net (code 81) (name /gpio/P32)
(node (ref J1) (pin 32))
(node (ref U1) (pin A18)))
(node (ref U1) (pin A18))
(node (ref J1) (pin 32)))
(net (code 82) (name /gpio/P30)
(node (ref U1) (pin A19))
(node (ref J1) (pin 30)))
(node (ref J1) (pin 30))
(node (ref U1) (pin A19)))
(net (code 83) (name /gpio/P23)
(node (ref J1) (pin 23))
(node (ref U1) (pin D7)))
(node (ref U1) (pin D7))
(node (ref J1) (pin 23)))
(net (code 84) (name /gpio/P24)
(node (ref J1) (pin 24))
(node (ref U1) (pin E7)))
(node (ref U1) (pin E7))
(node (ref J1) (pin 24)))
(net (code 85) (name /gpio/P25)
(node (ref U1) (pin D6))
(node (ref J1) (pin 25)))
(node (ref J1) (pin 25))
(node (ref U1) (pin D6)))
(net (code 86) (name /gpio/P26)
(node (ref U1) (pin E6))
(node (ref J1) (pin 26)))
(net (code 87) (name /gpio/P27)
(node (ref U1) (pin B6))
(node (ref J1) (pin 27)))
(node (ref J1) (pin 27))
(node (ref U1) (pin B6)))
(net (code 88) (name /gpio/P28)
(node (ref U1) (pin A6))
(node (ref J1) (pin 28)))
(net (code 89) (name /gpio/P22)
(node (ref U1) (pin C6))
(node (ref J1) (pin 22)))
(node (ref J1) (pin 22))
(node (ref U1) (pin C6)))
(net (code 90) (name /gpio/P21)
(node (ref J1) (pin 21))
(node (ref U1) (pin C7)))
@ -1743,29 +1761,29 @@
(node (ref J1) (pin 19))
(node (ref U1) (pin D8)))
(net (code 93) (name /gpio/P18)
(node (ref U1) (pin C8))
(node (ref J1) (pin 18)))
(node (ref J1) (pin 18))
(node (ref U1) (pin C8)))
(net (code 94) (name /gpio/P17)
(node (ref J1) (pin 17))
(node (ref U1) (pin B8)))
(node (ref U1) (pin B8))
(node (ref J1) (pin 17)))
(net (code 95) (name /gpio/P16)
(node (ref U1) (pin A7))
(node (ref J1) (pin 16)))
(node (ref J1) (pin 16))
(node (ref U1) (pin A7)))
(net (code 96) (name /gpio/P15)
(node (ref U1) (pin A8))
(node (ref J1) (pin 15)))
(net (code 97) (name /gpio/P13)
(node (ref U1) (pin E9))
(node (ref J1) (pin 13)))
(node (ref J1) (pin 13))
(node (ref U1) (pin E9)))
(net (code 98) (name /gpio/P14)
(node (ref J1) (pin 14))
(node (ref U1) (pin D9)))
(net (code 99) (name /gpio/P12)
(node (ref U1) (pin B9))
(node (ref J1) (pin 12)))
(node (ref J1) (pin 12))
(node (ref U1) (pin B9)))
(net (code 100) (name /gpio/P11)
(node (ref J1) (pin 11))
(node (ref U1) (pin C10)))
(node (ref U1) (pin C10))
(node (ref J1) (pin 11)))
(net (code 101) (name /gpio/P9)
(node (ref U1) (pin B10))
(node (ref J1) (pin 9)))
@ -1779,453 +1797,462 @@
(node (ref J1) (pin 7))
(node (ref U1) (pin A10)))
(net (code 105) (name /gpio/P5)
(node (ref U1) (pin B11))
(node (ref J1) (pin 5)))
(node (ref J1) (pin 5))
(node (ref U1) (pin B11)))
(net (code 106) (name /gpio/P6)
(node (ref U1) (pin C11))
(node (ref J1) (pin 6)))
(net (code 107) (name /gpio/USB5V)
(node (ref D52) (pin 2)))
(net (code 108) (name /gpio/OUT5V)
(node (ref D52) (pin 1))
(node (ref J1) (pin 2)))
(node (ref J1) (pin 2))
(node (ref D52) (pin 1)))
(net (code 109) (name /gpio/IN5V)
(node (ref D51) (pin 2))
(node (ref J1) (pin 1)))
(node (ref J1) (pin 1))
(node (ref D51) (pin 2)))
(net (code 110) (name +5V)
(node (ref U5) (pin 4))
(node (ref D9) (pin 2))
(node (ref GPDI1) (pin 19))
(node (ref D8) (pin 1))
(node (ref C9) (pin 1))
(node (ref U3) (pin 4))
(node (ref C1) (pin 1))
(node (ref C13) (pin 1))
(node (ref C1) (pin 1))
(node (ref R3) (pin 1))
(node (ref D51) (pin 1))
(node (ref D8) (pin 1))
(node (ref U3) (pin 4))
(node (ref C5) (pin 1))
(node (ref U4) (pin 4))
(node (ref Q1) (pin 3))
(node (ref U4) (pin 4)))
(node (ref C9) (pin 1))
(node (ref D9) (pin 2))
(node (ref D51) (pin 1))
(node (ref GPDI1) (pin 19))
(node (ref U5) (pin 4)))
(net (code 111) (name "Net-(L2-Pad1)")
(node (ref U4) (pin 3))
(node (ref L2) (pin 1)))
(net (code 112) (name "Net-(L1-Pad1)")
(node (ref L1) (pin 1))
(node (ref U3) (pin 3)))
(net (code 113) (name +1V2)
(node (ref U1) (pin H13))
(net (code 112) (name +1V2)
(node (ref U1) (pin H11))
(node (ref U1) (pin J13))
(node (ref U1) (pin K13))
(node (ref U1) (pin L13))
(node (ref U1) (pin N13))
(node (ref U1) (pin N12))
(node (ref U1) (pin M13))
(node (ref U1) (pin N8))
(node (ref U1) (pin N9))
(node (ref U1) (pin H9))
(node (ref U1) (pin M8))
(node (ref U1) (pin H8))
(node (ref U1) (pin N11))
(node (ref U1) (pin H12))
(node (ref C4) (pin 1))
(node (ref L1) (pin 2))
(node (ref U1) (pin N10))
(node (ref U1) (pin M8))
(node (ref U1) (pin L8))
(node (ref U1) (pin K8))
(node (ref C2) (pin 1))
(node (ref RA1) (pin 1))
(node (ref U1) (pin J8))
(node (ref C4) (pin 1))
(node (ref C3) (pin 1))
(node (ref RA1) (pin 1))
(node (ref C2) (pin 1))
(node (ref L1) (pin 2))
(node (ref U1) (pin N13))
(node (ref U1) (pin M13))
(node (ref U1) (pin L13))
(node (ref U1) (pin K13))
(node (ref U1) (pin J13))
(node (ref U1) (pin H13))
(node (ref U1) (pin N12))
(node (ref U1) (pin H12))
(node (ref U1) (pin N8))
(node (ref U1) (pin H10))
(node (ref U1) (pin H8))
(node (ref U1) (pin J8))
(node (ref U1) (pin L8))
(node (ref U1) (pin N10)))
(net (code 114) (name +2V5)
(node (ref U1) (pin N9))
(node (ref U1) (pin H9)))
(net (code 113) (name "Net-(L3-Pad1)")
(node (ref L3) (pin 1))
(node (ref U5) (pin 3)))
(net (code 114) (name "Net-(L1-Pad1)")
(node (ref L1) (pin 1))
(node (ref U3) (pin 3)))
(net (code 115) (name +2V5)
(node (ref L3) (pin 2))
(node (ref C10) (pin 1))
(node (ref RA2) (pin 1))
(node (ref C11) (pin 1))
(node (ref C12) (pin 1))
(node (ref U7) (pin 8))
(node (ref U1) (pin F15))
(node (ref U1) (pin P15))
(node (ref C12) (pin 1))
(node (ref C11) (pin 1))
(node (ref U1) (pin F6))
(node (ref U1) (pin P6)))
(net (code 115) (name /power/VBAT)
(node (ref U7) (pin 3))
(node (ref BT1) (pin 1)))
(net (code 116) (name "Net-(U7-Pad2)")
(node (ref U7) (pin 2))
(node (ref Y1) (pin 2)))
(net (code 117) (name BOARD_SCL)
(node (ref U7) (pin 6)))
(net (code 118) (name BOARD_SDA)
(node (ref U7) (pin 5)))
(net (code 119) (name "Net-(U7-Pad1)")
(node (ref U7) (pin 1))
(node (ref Y1) (pin 1)))
(net (code 120) (name SHUTDOWN)
(node (ref D12) (pin 2)))
(net (code 121) (name /power/WKUP)
(node (ref U1) (pin F15))
(node (ref RA2) (pin 1))
(node (ref C10) (pin 1))
(node (ref U1) (pin P6))
(node (ref U1) (pin F6)))
(net (code 116) (name "Net-(U7-Pad1)")
(node (ref Y1) (pin 1))
(node (ref U7) (pin 1)))
(net (code 117) (name /power/VBAT)
(node (ref BT1) (pin 1))
(node (ref U7) (pin 3)))
(net (code 118) (name /power/WKUP)
(node (ref D10) (pin 2))
(node (ref C13) (pin 2))
(node (ref Q1) (pin 2))
(node (ref D10) (pin 2))
(node (ref R3) (pin 2)))
(net (code 122) (name /power/SHUT)
(node (ref R5) (pin 1))
(node (ref Q2) (pin 2))
(node (ref D12) (pin 1))
(node (ref C14) (pin 1)))
(net (code 123) (name /power/HOLD)
(net (code 119) (name /power/HOLD)
(node (ref R4) (pin 1))
(node (ref D11) (pin 1)))
(net (code 124) (name /power/WAKEUPn)
(net (code 120) (name /power/WKn)
(node (ref Q1) (pin 1))
(node (ref U7) (pin 7)))
(net (code 125) (name /power/FB2)
(node (ref RB2) (pin 2))
(node (ref RA2) (pin 2))
(node (ref C10) (pin 2))
(node (ref U5) (pin 5)))
(net (code 126) (name "Net-(L3-Pad1)")
(node (ref U5) (pin 3))
(node (ref L3) (pin 1)))
(net (code 127) (name /power/PWREN)
(node (ref U5) (pin 1))
(node (ref R2) (pin 1))
(node (ref R6) (pin 1)))
(net (code 121) (name FTDI_PWREN)
(node (ref D13) (pin 2)))
(net (code 122) (name "Net-(Q2-Pad1)")
(node (ref Q2) (pin 1))
(node (ref R8) (pin 2)))
(net (code 123) (name /power/WAKEUPn)
(node (ref U7) (pin 7))
(node (ref R6) (pin 2)))
(net (code 124) (name SHUTDOWN)
(node (ref D12) (pin 2)))
(net (code 125) (name /power/SHUT)
(node (ref Q2) (pin 2))
(node (ref C14) (pin 1))
(node (ref R5) (pin 1))
(node (ref D12) (pin 1)))
(net (code 126) (name BOARD_SDA)
(node (ref U7) (pin 5)))
(net (code 127) (name BOARD_SCL)
(node (ref U7) (pin 6)))
(net (code 128) (name "Net-(U7-Pad2)")
(node (ref U7) (pin 2))
(node (ref Y1) (pin 2)))
(net (code 129) (name /power/PWREN)
(node (ref D13) (pin 1))
(node (ref R4) (pin 2))
(node (ref U3) (pin 1))
(node (ref U4) (pin 1))
(node (ref R2) (pin 1))
(node (ref R1) (pin 2))
(node (ref Q2) (pin 1)))
(net (code 128) (name /power/FB3)
(node (ref C6) (pin 2))
(node (ref RA3) (pin 2))
(node (ref U4) (pin 5))
(node (ref RB3) (pin 2)))
(net (code 129) (name /power/WAKE)
(node (ref R1) (pin 1))
(node (ref D10) (pin 1)))
(net (code 130) (name /power/FB1)
(node (ref U5) (pin 1))
(node (ref U4) (pin 1))
(node (ref U3) (pin 1))
(node (ref R8) (pin 1)))
(net (code 130) (name /power/WAKE)
(node (ref D10) (pin 1))
(node (ref R1) (pin 1)))
(net (code 131) (name /power/FB1)
(node (ref U3) (pin 5))
(node (ref C2) (pin 2))
(node (ref RA1) (pin 2))
(node (ref C2) (pin 2))
(node (ref RB1) (pin 2)))
(net (code 131) (name LED1)
(net (code 132) (name /power/FB3)
(node (ref RA3) (pin 2))
(node (ref U4) (pin 5))
(node (ref RB3) (pin 2))
(node (ref C6) (pin 2)))
(net (code 133) (name /power/FB2)
(node (ref RB2) (pin 2))
(node (ref RA2) (pin 2))
(node (ref C10) (pin 2))
(node (ref U5) (pin 5)))
(net (code 134) (name LED1)
(node (ref D1) (pin 2)))
(net (code 132) (name BTN_R)
(net (code 135) (name BTN_R)
(node (ref BTN6) (pin 2)))
(net (code 133) (name BTN_L)
(net (code 136) (name BTN_L)
(node (ref BTN5) (pin 2)))
(net (code 134) (name LED7)
(net (code 137) (name LED7)
(node (ref D7) (pin 2)))
(net (code 135) (name LED6)
(net (code 138) (name LED6)
(node (ref D6) (pin 2)))
(net (code 136) (name LED5)
(net (code 139) (name LED5)
(node (ref D5) (pin 2)))
(net (code 137) (name LED4)
(net (code 140) (name LED4)
(node (ref D4) (pin 2)))
(net (code 138) (name LED3)
(net (code 141) (name LED3)
(node (ref D3) (pin 2)))
(net (code 139) (name LED2)
(net (code 142) (name LED2)
(node (ref D2) (pin 2)))
(net (code 140) (name LED0)
(net (code 143) (name LED0)
(node (ref D0) (pin 2)))
(net (code 141) (name OLED_CLK)
(node (ref OLED1) (pin 3)))
(net (code 142) (name OLED_DC)
(net (code 144) (name OLED_DC)
(node (ref OLED1) (pin 6)))
(net (code 143) (name "Net-(BTN1-Pad1)")
(net (code 145) (name "Net-(BTN1-Pad1)")
(node (ref BTN4) (pin 1))
(node (ref BTN6) (pin 1))
(node (ref BTN2) (pin 1))
(node (ref BTN1) (pin 1))
(node (ref R7) (pin 2))
(node (ref BTN3) (pin 1))
(node (ref BTN5) (pin 1))
(node (ref R7) (pin 2)))
(net (code 144) (name OLED_CS)
(node (ref BTN1) (pin 1))
(node (ref BTN2) (pin 1))
(node (ref BTN6) (pin 1))
(node (ref BTN5) (pin 1)))
(net (code 146) (name OLED_CS)
(node (ref OLED1) (pin 7)))
(net (code 145) (name OLED_RES)
(net (code 147) (name OLED_RES)
(node (ref OLED1) (pin 5)))
(net (code 146) (name OLED_MOSI)
(net (code 148) (name OLED_MOSI)
(node (ref OLED1) (pin 4)))
(net (code 147) (name BTN_U)
(net (code 149) (name OLED_CLK)
(node (ref OLED1) (pin 3)))
(net (code 150) (name BTN_U)
(node (ref BTN3) (pin 2)))
(net (code 148) (name BTN_D)
(net (code 151) (name BTN_D)
(node (ref BTN4) (pin 2)))
(net (code 149) (name BTN_F2)
(net (code 152) (name BTN_F2)
(node (ref BTN2) (pin 2)))
(net (code 150) (name BTN_F1)
(net (code 153) (name BTN_F1)
(node (ref BTN1) (pin 2)))
(net (code 151) (name GPDI_SDA)
(net (code 154) (name GPDI_SDA)
(node (ref GPDI1) (pin 18)))
(net (code 152) (name GPDI_SCL)
(net (code 155) (name GPDI_SCL)
(node (ref GPDI1) (pin 17)))
(net (code 153) (name GPDI_CEC)
(net (code 156) (name GPDI_CEC)
(node (ref GPDI1) (pin 15)))
(net (code 154) (name GPDI_CLK_N)
(net (code 157) (name GPDI_CLK_N)
(node (ref GPDI1) (pin 14)))
(net (code 155) (name GPDI_CLK_P)
(net (code 158) (name GPDI_CLK_P)
(node (ref GPDI1) (pin 12)))
(net (code 156) (name GPDI_D0_N)
(net (code 159) (name GPDI_D0_N)
(node (ref GPDI1) (pin 11)))
(net (code 157) (name GPDI_D0_P)
(net (code 160) (name GPDI_D0_P)
(node (ref GPDI1) (pin 9)))
(net (code 158) (name GPDI_D1_N)
(net (code 161) (name GPDI_D1_N)
(node (ref GPDI1) (pin 8)))
(net (code 159) (name GPDI_D1_P)
(net (code 162) (name GPDI_D1_P)
(node (ref GPDI1) (pin 6)))
(net (code 160) (name GPDI_D2_N)
(net (code 163) (name GPDI_D2_N)
(node (ref GPDI1) (pin 5)))
(net (code 161) (name GPDI_D2_P)
(net (code 164) (name GPDI_D2_P)
(node (ref GPDI1) (pin 3)))
(net (code 162) (name GPDI_ETH_P)
(net (code 165) (name GPDI_ETH_P)
(node (ref GPDI1) (pin 2)))
(net (code 163) (name GPDI_ETH_N)
(net (code 166) (name GPDI_ETH_N)
(node (ref GPDI1) (pin 1)))
(net (code 164) (name "Net-(U6-Pad42)")
(net (code 167) (name "Net-(U6-Pad42)")
(node (ref U6) (pin 42)))
(net (code 165) (name "Net-(U6-Pad13)")
(net (code 168) (name "Net-(U6-Pad13)")
(node (ref U6) (pin 13)))
(net (code 166) (name "Net-(U6-Pad23)")
(net (code 169) (name "Net-(U6-Pad23)")
(node (ref U6) (pin 23)))
(net (code 167) (name "Net-(U6-Pad62)")
(net (code 170) (name "Net-(U6-Pad62)")
(node (ref U6) (pin 62)))
(net (code 168) (name "Net-(U6-Pad52)")
(net (code 171) (name "Net-(U6-Pad52)")
(node (ref U6) (pin 52)))
(net (code 169) (name "Net-(U6-Pad44)")
(net (code 172) (name "Net-(U6-Pad44)")
(node (ref U6) (pin 44)))
(net (code 170) (name "Net-(U6-Pad33)")
(net (code 173) (name "Net-(U6-Pad33)")
(node (ref U6) (pin 33)))
(net (code 171) (name "Net-(U6-Pad43)")
(net (code 174) (name "Net-(U6-Pad43)")
(node (ref U6) (pin 43)))
(net (code 172) (name "Net-(U6-Pad53)")
(net (code 175) (name "Net-(U6-Pad53)")
(node (ref U6) (pin 53)))
(net (code 173) (name "Net-(U6-Pad63)")
(net (code 176) (name "Net-(U6-Pad63)")
(node (ref U6) (pin 63)))
(net (code 174) (name "Net-(U6-Pad14)")
(net (code 177) (name "Net-(U6-Pad14)")
(node (ref U6) (pin 14)))
(net (code 175) (name "Net-(U6-Pad24)")
(net (code 178) (name "Net-(U6-Pad24)")
(node (ref U6) (pin 24)))
(net (code 176) (name "Net-(U6-Pad34)")
(net (code 179) (name "Net-(U6-Pad34)")
(node (ref U6) (pin 34)))
(net (code 177) (name "Net-(U6-Pad57)")
(net (code 180) (name "Net-(U6-Pad57)")
(node (ref U6) (pin 57)))
(net (code 178) (name "Net-(U6-Pad32)")
(net (code 181) (name "Net-(U6-Pad32)")
(node (ref U6) (pin 32)))
(net (code 179) (name "Net-(U6-Pad22)")
(net (code 182) (name "Net-(U6-Pad22)")
(node (ref U6) (pin 22)))
(net (code 180) (name "Net-(U6-Pad12)")
(net (code 183) (name "Net-(U6-Pad12)")
(node (ref U6) (pin 12)))
(net (code 181) (name "Net-(U6-Pad61)")
(net (code 184) (name "Net-(U6-Pad61)")
(node (ref U6) (pin 61)))
(net (code 182) (name "Net-(U6-Pad51)")
(net (code 185) (name "Net-(U6-Pad51)")
(node (ref U6) (pin 51)))
(net (code 183) (name "Net-(U6-Pad41)")
(net (code 186) (name "Net-(U6-Pad41)")
(node (ref U6) (pin 41)))
(net (code 184) (name "Net-(U6-Pad31)")
(net (code 187) (name "Net-(U6-Pad31)")
(node (ref U6) (pin 31)))
(net (code 185) (name "Net-(U6-Pad21)")
(net (code 188) (name "Net-(U6-Pad21)")
(node (ref U6) (pin 21)))
(net (code 186) (name "Net-(U6-Pad11)")
(net (code 189) (name "Net-(U6-Pad11)")
(node (ref U6) (pin 11)))
(net (code 187) (name "Net-(U6-Pad60)")
(net (code 190) (name "Net-(U6-Pad60)")
(node (ref U6) (pin 60)))
(net (code 188) (name "Net-(U6-Pad50)")
(net (code 191) (name "Net-(U6-Pad50)")
(node (ref U6) (pin 50)))
(net (code 189) (name "Net-(U6-Pad40)")
(net (code 192) (name "Net-(U6-Pad40)")
(node (ref U6) (pin 40)))
(net (code 190) (name "Net-(U6-Pad30)")
(net (code 193) (name "Net-(U6-Pad30)")
(node (ref U6) (pin 30)))
(net (code 191) (name "Net-(U6-Pad27)")
(net (code 194) (name "Net-(U6-Pad27)")
(node (ref U6) (pin 27)))
(net (code 192) (name "Net-(U6-Pad59)")
(net (code 195) (name "Net-(U6-Pad59)")
(node (ref U6) (pin 59)))
(net (code 193) (name "Net-(U6-Pad49)")
(net (code 196) (name "Net-(U6-Pad49)")
(node (ref U6) (pin 49)))
(net (code 194) (name "Net-(U6-Pad39)")
(net (code 197) (name "Net-(U6-Pad39)")
(node (ref U6) (pin 39)))
(net (code 195) (name "Net-(U6-Pad29)")
(net (code 198) (name "Net-(U6-Pad29)")
(node (ref U6) (pin 29)))
(net (code 196) (name "Net-(U6-Pad19)")
(net (code 199) (name "Net-(U6-Pad19)")
(node (ref U6) (pin 19)))
(net (code 197) (name "Net-(U6-Pad58)")
(net (code 200) (name "Net-(U6-Pad58)")
(node (ref U6) (pin 58)))
(net (code 198) (name "Net-(U6-Pad48)")
(net (code 201) (name "Net-(U6-Pad48)")
(node (ref U6) (pin 48)))
(net (code 199) (name "Net-(U6-Pad38)")
(net (code 202) (name "Net-(U6-Pad38)")
(node (ref U6) (pin 38)))
(net (code 200) (name "Net-(U6-Pad28)")
(net (code 203) (name "Net-(U6-Pad28)")
(node (ref U6) (pin 28)))
(net (code 201) (name "Net-(U6-Pad18)")
(net (code 204) (name "Net-(U6-Pad18)")
(node (ref U6) (pin 18)))
(net (code 202) (name "Net-(U6-Pad47)")
(net (code 205) (name "Net-(U6-Pad47)")
(node (ref U6) (pin 47)))
(net (code 203) (name "Net-(U6-Pad37)")
(net (code 206) (name "Net-(U6-Pad37)")
(node (ref U6) (pin 37)))
(net (code 204) (name "Net-(U6-Pad54)")
(net (code 207) (name "Net-(U6-Pad54)")
(node (ref U6) (pin 54)))
(net (code 205) (name "Net-(U6-Pad17)")
(net (code 208) (name "Net-(U6-Pad17)")
(node (ref U6) (pin 17)))
(net (code 206) (name "Net-(U6-Pad56)")
(net (code 209) (name "Net-(U6-Pad56)")
(node (ref U6) (pin 56)))
(net (code 207) (name "Net-(U6-Pad46)")
(net (code 210) (name "Net-(U6-Pad46)")
(node (ref U6) (pin 46)))
(net (code 208) (name "Net-(U6-Pad36)")
(net (code 211) (name "Net-(U6-Pad36)")
(node (ref U6) (pin 36)))
(net (code 209) (name "Net-(U6-Pad26)")
(net (code 212) (name "Net-(U6-Pad26)")
(node (ref U6) (pin 26)))
(net (code 210) (name "Net-(U6-Pad16)")
(net (code 213) (name "Net-(U6-Pad16)")
(node (ref U6) (pin 16)))
(net (code 211) (name "Net-(U6-Pad55)")
(net (code 214) (name "Net-(U6-Pad55)")
(node (ref U6) (pin 55)))
(net (code 212) (name "Net-(U6-Pad45)")
(net (code 215) (name "Net-(U6-Pad45)")
(node (ref U6) (pin 45)))
(net (code 213) (name "Net-(U6-Pad35)")
(net (code 216) (name "Net-(U6-Pad35)")
(node (ref U6) (pin 35)))
(net (code 214) (name "Net-(U6-Pad25)")
(net (code 217) (name "Net-(U6-Pad25)")
(node (ref U6) (pin 25)))
(net (code 215) (name "Net-(U6-Pad15)")
(net (code 218) (name "Net-(U6-Pad15)")
(node (ref U6) (pin 15)))
(net (code 216) (name "Net-(U6-Pad64)")
(net (code 219) (name "Net-(U6-Pad64)")
(node (ref U6) (pin 64)))
(net (code 217) (name "Net-(US2-Pad6)")
(net (code 220) (name "Net-(US2-Pad6)")
(node (ref US2) (pin 6)))
(net (code 218) (name USB_FPGA_DP)
(net (code 221) (name USB_FPGA_DP)
(node (ref US2) (pin 3)))
(net (code 219) (name USB_FPGA_DN)
(net (code 222) (name USB_FPGA_DN)
(node (ref US2) (pin 2)))
(net (code 220) (name "Net-(D9-Pad1)")
(net (code 223) (name "Net-(D9-Pad1)")
(node (ref US2) (pin 1))
(node (ref D9) (pin 1)))
(net (code 221) (name "Net-(US1-Pad6)")
(net (code 224) (name "Net-(US1-Pad6)")
(node (ref US1) (pin 6)))
(net (code 222) (name "Net-(US1-Pad4)")
(net (code 225) (name "Net-(US1-Pad4)")
(node (ref US1) (pin 4)))
(net (code 223) (name "Net-(U6-Pad10)")
(net (code 226) (name "Net-(U6-Pad10)")
(node (ref U6) (pin 10)))
(net (code 224) (name /usb/USB5V)
(node (ref D8) (pin 2))
(node (ref US1) (pin 1)))
(net (code 225) (name "Net-(U6-Pad20)")
(net (code 227) (name /usb/USB5V)
(node (ref US1) (pin 1))
(node (ref D8) (pin 2)))
(net (code 228) (name "Net-(U6-Pad20)")
(node (ref U6) (pin 20)))
(net (code 226) (name "Net-(U6-Pad9)")
(net (code 229) (name "Net-(U6-Pad9)")
(node (ref U6) (pin 9)))
(net (code 227) (name "Net-(U6-Pad8)")
(net (code 230) (name "Net-(U6-Pad8)")
(node (ref U6) (pin 8)))
(net (code 228) (name "Net-(U6-Pad7)")
(net (code 231) (name "Net-(U6-Pad7)")
(node (ref U6) (pin 7)))
(net (code 229) (name "Net-(U6-Pad6)")
(net (code 232) (name "Net-(U6-Pad6)")
(node (ref U6) (pin 6)))
(net (code 230) (name "Net-(U6-Pad5)")
(net (code 233) (name "Net-(U6-Pad5)")
(node (ref U6) (pin 5)))
(net (code 231) (name "Net-(U6-Pad4)")
(net (code 234) (name "Net-(U6-Pad4)")
(node (ref U6) (pin 4)))
(net (code 232) (name "Net-(U6-Pad3)")
(net (code 235) (name "Net-(U6-Pad3)")
(node (ref U6) (pin 3)))
(net (code 233) (name "Net-(U6-Pad2)")
(net (code 236) (name "Net-(U6-Pad2)")
(node (ref U6) (pin 2)))
(net (code 234) (name "Net-(U6-Pad1)")
(net (code 237) (name "Net-(U6-Pad1)")
(node (ref U6) (pin 1)))
(net (code 235) (name "Net-(US2-Pad4)")
(net (code 238) (name "Net-(US2-Pad4)")
(node (ref US2) (pin 4)))
(net (code 236) (name USB_FTDI_DN)
(net (code 239) (name USB_FTDI_DN)
(node (ref US1) (pin 2)))
(net (code 237) (name USB_FTDI_DP)
(net (code 240) (name USB_FTDI_DP)
(node (ref US1) (pin 3)))
(net (code 238) (name WIFI_RXD)
(net (code 241) (name WIFI_RXD)
(node (ref U2) (pin 21)))
(net (code 239) (name WIFI_TXD)
(net (code 242) (name WIFI_TXD)
(node (ref U2) (pin 22)))
(net (code 240) (name WIFI_GPIO0)
(net (code 243) (name WIFI_GPIO0)
(node (ref U2) (pin 18)))
(net (code 241) (name WIFI_GPIO2)
(net (code 244) (name WIFI_GPIO2)
(node (ref U2) (pin 17)))
(net (code 242) (name WIFI_GPIO15)
(net (code 245) (name WIFI_GPIO15)
(node (ref U2) (pin 16)))
(net (code 243) (name WIFI_PD)
(net (code 246) (name WIFI_PD)
(node (ref U2) (pin 3)))
(net (code 244) (name WIFI_RESET)
(net (code 247) (name WIFI_RESET)
(node (ref U2) (pin 1)))
(net (code 245) (name "Net-(U2-Pad2)")
(net (code 248) (name "Net-(U2-Pad2)")
(node (ref U2) (pin 2)))
(net (code 246) (name "Net-(U2-Pad20)")
(net (code 249) (name "Net-(U2-Pad20)")
(node (ref U2) (pin 20)))
(net (code 247) (name "Net-(U2-Pad19)")
(net (code 250) (name "Net-(U2-Pad19)")
(node (ref U2) (pin 19)))
(net (code 248) (name "Net-(IC1-Pad24)")
(net (code 251) (name "Net-(IC1-Pad24)")
(node (ref IC1) (pin 24)))
(net (code 249) (name "Net-(IC1-Pad34)")
(net (code 252) (name "Net-(IC1-Pad34)")
(node (ref IC1) (pin 34)))
(net (code 250) (name "Net-(IC1-Pad44)")
(net (code 253) (name "Net-(IC1-Pad44)")
(node (ref IC1) (pin 44)))
(net (code 251) (name "Net-(IC1-Pad54)")
(net (code 254) (name "Net-(IC1-Pad54)")
(node (ref IC1) (pin 54)))
(net (code 252) (name "Net-(IC1-Pad25)")
(net (code 255) (name "Net-(IC1-Pad25)")
(node (ref IC1) (pin 25)))
(net (code 253) (name "Net-(IC1-Pad35)")
(net (code 256) (name "Net-(IC1-Pad35)")
(node (ref IC1) (pin 35)))
(net (code 254) (name "Net-(IC1-Pad16)")
(net (code 257) (name "Net-(IC1-Pad16)")
(node (ref IC1) (pin 16)))
(net (code 255) (name "Net-(IC1-Pad26)")
(net (code 258) (name "Net-(IC1-Pad26)")
(node (ref IC1) (pin 26)))
(net (code 256) (name "Net-(IC1-Pad46)")
(net (code 259) (name "Net-(IC1-Pad46)")
(node (ref IC1) (pin 46)))
(net (code 257) (name "Net-(IC1-Pad17)")
(net (code 260) (name "Net-(IC1-Pad17)")
(node (ref IC1) (pin 17)))
(net (code 258) (name "Net-(IC1-Pad27)")
(net (code 261) (name "Net-(IC1-Pad27)")
(node (ref IC1) (pin 27)))
(net (code 259) (name "Net-(IC1-Pad37)")
(net (code 262) (name "Net-(IC1-Pad37)")
(node (ref IC1) (pin 37)))
(net (code 260) (name "Net-(IC1-Pad18)")
(net (code 263) (name "Net-(IC1-Pad18)")
(node (ref IC1) (pin 18)))
(net (code 261) (name "Net-(IC1-Pad28)")
(net (code 264) (name "Net-(IC1-Pad28)")
(node (ref IC1) (pin 28)))
(net (code 262) (name "Net-(IC1-Pad38)")
(net (code 265) (name "Net-(IC1-Pad38)")
(node (ref IC1) (pin 38)))
(net (code 263) (name "Net-(IC1-Pad19)")
(net (code 266) (name "Net-(IC1-Pad19)")
(node (ref IC1) (pin 19)))
(net (code 264) (name "Net-(IC1-Pad29)")
(net (code 267) (name "Net-(IC1-Pad29)")
(node (ref IC1) (pin 29)))
(net (code 265) (name "Net-(IC1-Pad39)")
(net (code 268) (name "Net-(IC1-Pad39)")
(node (ref IC1) (pin 39)))
(net (code 266) (name "Net-(IC1-Pad49)")
(net (code 269) (name "Net-(IC1-Pad49)")
(node (ref IC1) (pin 49)))
(net (code 267) (name "Net-(IC1-Pad21)")
(net (code 270) (name "Net-(IC1-Pad21)")
(node (ref IC1) (pin 21)))
(net (code 268) (name "Net-(IC1-Pad1)")
(net (code 271) (name "Net-(IC1-Pad1)")
(node (ref IC1) (pin 1)))
(net (code 269) (name "Net-(IC1-Pad3)")
(net (code 272) (name "Net-(IC1-Pad3)")
(node (ref IC1) (pin 3)))
(net (code 270) (name "Net-(IC1-Pad5)")
(net (code 273) (name "Net-(IC1-Pad5)")
(node (ref IC1) (pin 5)))
(net (code 271) (name "Net-(IC1-Pad6)")
(net (code 274) (name "Net-(IC1-Pad6)")
(node (ref IC1) (pin 6)))
(net (code 272) (name "Net-(IC1-Pad9)")
(net (code 275) (name "Net-(IC1-Pad9)")
(node (ref IC1) (pin 9)))
(net (code 273) (name "Net-(IC1-Pad20)")
(net (code 276) (name "Net-(IC1-Pad20)")
(node (ref IC1) (pin 20)))
(net (code 274) (name "Net-(IC1-Pad30)")
(net (code 277) (name "Net-(IC1-Pad30)")
(node (ref IC1) (pin 30)))
(net (code 275) (name "Net-(IC1-Pad50)")
(net (code 278) (name "Net-(IC1-Pad50)")
(node (ref IC1) (pin 50)))
(net (code 276) (name "Net-(IC1-Pad11)")
(net (code 279) (name "Net-(IC1-Pad11)")
(node (ref IC1) (pin 11)))
(net (code 277) (name "Net-(IC1-Pad14)")
(net (code 280) (name "Net-(IC1-Pad14)")
(node (ref IC1) (pin 14)))
(net (code 278) (name "Net-(IC1-Pad31)")
(net (code 281) (name "Net-(IC1-Pad31)")
(node (ref IC1) (pin 31)))
(net (code 279) (name "Net-(IC1-Pad41)")
(net (code 282) (name "Net-(IC1-Pad41)")
(node (ref IC1) (pin 41)))
(net (code 280) (name "Net-(IC1-Pad12)")
(net (code 283) (name "Net-(IC1-Pad12)")
(node (ref IC1) (pin 12)))
(net (code 281) (name "Net-(IC1-Pad22)")
(net (code 284) (name "Net-(IC1-Pad22)")
(node (ref IC1) (pin 22)))
(net (code 282) (name "Net-(IC1-Pad32)")
(net (code 285) (name "Net-(IC1-Pad32)")
(node (ref IC1) (pin 32)))
(net (code 283) (name "Net-(IC1-Pad52)")
(net (code 286) (name "Net-(IC1-Pad52)")
(node (ref IC1) (pin 52)))
(net (code 284) (name "Net-(IC1-Pad23)")
(net (code 287) (name "Net-(IC1-Pad23)")
(node (ref IC1) (pin 23)))
(net (code 285) (name "Net-(IC1-Pad33)")
(net (code 288) (name "Net-(IC1-Pad33)")
(node (ref IC1) (pin 33)))
(net (code 286) (name "Net-(IC1-Pad43)")
(net (code 289) (name "Net-(IC1-Pad43)")
(node (ref IC1) (pin 43)))))

@ -119,10 +119,10 @@ Wire Wire Line
Wire Wire Line
1850 6450 2400 6450
$Comp
L GND #PWR01
L GND #PWR2
U 1 1 58D58197
P 1850 6150
F 0 "#PWR01" H 1850 5900 50 0001 C CNN
F 0 "#PWR2" H 1850 5900 50 0001 C CNN
F 1 "GND" H 1850 6000 50 0000 C CNN
F 2 "" H 1850 6150 50 0000 C CNN
F 3 "" H 1850 6150 50 0000 C CNN
@ -130,10 +130,10 @@ F 3 "" H 1850 6150 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR02
L GND #PWR3
U 1 1 58D581BF
P 1850 6450
F 0 "#PWR02" H 1850 6200 50 0001 C CNN
F 0 "#PWR3" H 1850 6200 50 0001 C CNN
F 1 "GND" H 1850 6300 50 0000 C CNN
F 2 "" H 1850 6450 50 0000 C CNN
F 3 "" H 1850 6450 50 0000 C CNN
@ -149,10 +149,10 @@ Wire Wire Line
Text Label 4250 6150 0 60 ~ 0
SD_WP
$Comp
L GND #PWR03
L GND #PWR4
U 1 1 58D58733
P 4300 6550
F 0 "#PWR03" H 4300 6300 50 0001 C CNN
F 0 "#PWR4" H 4300 6300 50 0001 C CNN
F 1 "GND" H 4300 6400 50 0000 C CNN
F 2 "" H 4300 6550 50 0000 C CNN
F 3 "" H 4300 6550 50 0000 C CNN
@ -167,10 +167,10 @@ Wire Wire Line
4200 6450 4300 6450
Connection ~ 4300 6450
$Comp
L +3V3 #PWR04
L +3V3 #PWR1
U 1 1 58D5F123
P 1700 6250
F 0 "#PWR04" H 1700 6100 50 0001 C CNN
F 0 "#PWR1" H 1700 6100 50 0001 C CNN
F 1 "+3V3" H 1700 6390 50 0000 C CNN
F 2 "" H 1700 6250 50 0000 C CNN
F 3 "" H 1700 6250 50 0000 C CNN

@ -65,10 +65,10 @@ Wire Wire Line
Wire Wire Line
7600 1600 7650 1600
$Comp
L +5V #PWR061
L +5V #PWR63
U 1 1 58D6C83B
P 7650 1600
F 0 "#PWR061" H 7650 1450 50 0001 C CNN
F 0 "#PWR63" H 7650 1450 50 0001 C CNN
F 1 "+5V" H 7650 1740 50 0000 C CNN
F 2 "" H 7650 1600 60 0000 C CNN
F 3 "" H 7650 1600 60 0000 C CNN
@ -93,10 +93,10 @@ $EndComp
Wire Wire Line
6650 2450 7400 2450
$Comp
L +5V #PWR062
L +5V #PWR64
U 1 1 58D6C83D
P 7700 2450
F 0 "#PWR062" H 7700 2300 50 0001 C CNN
F 0 "#PWR64" H 7700 2300 50 0001 C CNN
F 1 "+5V" H 7700 2590 50 0000 C CNN
F 2 "" H 7700 2450 60 0000 C CNN
F 3 "" H 7700 2450 60 0000 C CNN
@ -126,10 +126,10 @@ F 3 "" V 6300 2550 50 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L GND #PWR063
L GND #PWR61
U 1 1 58D6C842
P 6750 2000
F 0 "#PWR063" H 6750 1750 50 0001 C CNN
F 0 "#PWR61" H 6750 1750 50 0001 C CNN
F 1 "GND" H 6750 1850 50 0000 C CNN
F 2 "" H 6750 2000 50 0000 C CNN
F 3 "" H 6750 2000 50 0000 C CNN
@ -141,10 +141,10 @@ Wire Wire Line
Wire Wire Line
6650 2850 6750 2850
$Comp
L GND #PWR064
L GND #PWR62
U 1 1 58D6C843
P 6750 2850
F 0 "#PWR064" H 6750 2600 50 0001 C CNN
F 0 "#PWR62" H 6750 2600 50 0001 C CNN
F 1 "GND" H 6750 2700 50 0000 C CNN
F 2 "" H 6750 2850 50 0000 C CNN
F 3 "" H 6750 2850 50 0000 C CNN

@ -71,10 +71,10 @@ Wire Wire Line
Wire Wire Line
4100 4950 4200 4950
$Comp
L GND #PWR065
L GND #PWR66
U 1 1 58D75E1F
P 4200 4950
F 0 "#PWR065" H 4200 4700 50 0001 C CNN
F 0 "#PWR66" H 4200 4700 50 0001 C CNN
F 1 "GND" H 4200 4800 50 0000 C CNN
F 2 "" H 4200 4950 60 0000 C CNN
F 3 "" H 4200 4950 60 0000 C CNN
@ -100,10 +100,10 @@ Wire Wire Line
Wire Wire Line
4100 4350 4400 4350
$Comp
L +3V3 #PWR066
L +3V3 #PWR65
U 1 1 58D75E28
P 2250 4950
F 0 "#PWR066" H 2250 4800 50 0001 C CNN
F 0 "#PWR65" H 2250 4800 50 0001 C CNN
F 1 "+3V3" H 2250 5090 50 0000 C CNN
F 2 "" H 2250 4950 50 0000 C CNN
F 3 "" H 2250 4950 50 0000 C CNN

Loading…
Cancel
Save