Placing 40-pin connectors side-by-side,

changing ft2232 to ft231x
pull/3/head
davor 7 years ago
parent 5221d8270a
commit 85cb0b3f93

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -63,10 +64,10 @@ F 3 "" H 2650 2400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR0100
L GND #PWR88
U 1 1 58D9043A
P 3250 2550
F 0 "#PWR0100" H 3250 2300 50 0001 C CNN
F 0 "#PWR88" H 3250 2300 50 0001 C CNN
F 1 "GND" H 3250 2400 50 0000 C CNN
F 2 "" H 3250 2550 50 0000 C CNN
F 3 "" H 3250 2550 50 0000 C CNN

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -66,10 +67,10 @@ Wire Wire Line
Wire Wire Line
4750 1850 4850 1850
$Comp
L GND #PWR059
L GND #PWR61
U 1 1 58D66047
P 4850 1250
F 0 "#PWR059" H 4850 1000 50 0001 C CNN
F 0 "#PWR61" H 4850 1000 50 0001 C CNN
F 1 "GND" H 4850 1100 50 0000 C CNN
F 2 "" H 4850 1250 60 0000 C CNN
F 3 "" H 4850 1250 60 0000 C CNN
@ -77,10 +78,10 @@ F 3 "" H 4850 1250 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR060
L +3V3 #PWR62
U 1 1 58D66055
P 5350 1350
F 0 "#PWR060" H 5350 1200 50 0001 C CNN
F 0 "#PWR62" H 5350 1200 50 0001 C CNN
F 1 "+3V3" H 5350 1490 50 0000 C CNN
F 2 "" H 5350 1350 50 0000 C CNN
F 3 "" H 5350 1350 50 0000 C CNN
@ -180,10 +181,10 @@ Wire Wire Line
2750 3150 2850 3150
Connection ~ 2750 3150
$Comp
L +3V3 #PWR061
L +3V3 #PWR59
U 1 1 58D6605C
P 2750 2350
F 0 "#PWR061" H 2750 2200 50 0001 C CNN
F 0 "#PWR59" H 2750 2200 50 0001 C CNN
F 1 "+3V3" H 2750 2490 50 0000 C CNN
F 2 "" H 2750 2350 50 0000 C CNN
F 3 "" H 2750 2350 50 0000 C CNN
@ -345,10 +346,10 @@ Wire Wire Line
4400 2750 4250 2750
Connection ~ 4250 2750
$Comp
L GND #PWR062
L GND #PWR60
U 1 1 58D681C3
P 4250 4100
F 0 "#PWR062" H 4250 3850 50 0001 C CNN
F 0 "#PWR60" H 4250 3850 50 0001 C CNN
F 1 "GND" H 4250 3950 50 0000 C CNN
F 2 "" H 4250 4100 50 0000 C CNN
F 3 "" H 4250 4100 50 0000 C CNN

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -63,10 +64,10 @@ F 3 "" H 2450 2650 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR0105
L +3V3 #PWR93
U 1 1 58D9149E
P 2800 2400
F 0 "#PWR0105" H 2800 2250 50 0001 C CNN
F 0 "#PWR93" H 2800 2250 50 0001 C CNN
F 1 "+3V3" H 2800 2540 50 0000 C CNN
F 2 "" H 2800 2400 50 0000 C CNN
F 3 "" H 2800 2400 50 0000 C CNN
@ -74,10 +75,10 @@ F 3 "" H 2800 2400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR0106
L GND #PWR94
U 1 1 58D914B4
P 2800 3000
F 0 "#PWR0106" H 2800 2750 50 0001 C CNN
F 0 "#PWR94" H 2800 2750 50 0001 C CNN
F 1 "GND" H 2800 2850 50 0000 C CNN
F 2 "" H 2800 3000 50 0000 C CNN
F 3 "" H 2800 3000 50 0000 C CNN

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -103,10 +104,10 @@ Wire Wire Line
Wire Wire Line
1450 1900 1500 1900
$Comp
L GND #PWR063
L GND #PWR63
U 1 1 58D69073
P 1500 1900
F 0 "#PWR063" H 1500 1650 50 0001 C CNN
F 0 "#PWR63" H 1500 1650 50 0001 C CNN
F 1 "GND" H 1500 1750 50 0000 C CNN
F 2 "" H 1500 1900 60 0000 C CNN
F 3 "" H 1500 1900 60 0000 C CNN
@ -114,10 +115,10 @@ F 3 "" H 1500 1900 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR064
L GND #PWR68
U 1 1 58D69074
P 1500 3500
F 0 "#PWR064" H 1500 3250 50 0001 C CNN
F 0 "#PWR68" H 1500 3250 50 0001 C CNN
F 1 "GND" H 1500 3350 50 0000 C CNN
F 2 "" H 1500 3500 60 0000 C CNN
F 3 "" H 1500 3500 60 0000 C CNN
@ -125,10 +126,10 @@ F 3 "" H 1500 3500 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR065
L GND #PWR67
U 1 1 58D69075
P 1500 3200
F 0 "#PWR065" H 1500 2950 50 0001 C CNN
F 0 "#PWR67" H 1500 2950 50 0001 C CNN
F 1 "GND" H 1500 3050 50 0000 C CNN
F 2 "" H 1500 3200 60 0000 C CNN
F 3 "" H 1500 3200 60 0000 C CNN
@ -136,10 +137,10 @@ F 3 "" H 1500 3200 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR066
L GND #PWR66
U 1 1 58D69076
P 1500 2900
F 0 "#PWR066" H 1500 2650 50 0001 C CNN
F 0 "#PWR66" H 1500 2650 50 0001 C CNN
F 1 "GND" H 1500 2750 50 0000 C CNN
F 2 "" H 1500 2900 60 0000 C CNN
F 3 "" H 1500 2900 60 0000 C CNN
@ -147,10 +148,10 @@ F 3 "" H 1500 2900 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR067
L GND #PWR65
U 1 1 58D69077
P 1500 2600
F 0 "#PWR067" H 1500 2350 50 0001 C CNN
F 0 "#PWR65" H 1500 2350 50 0001 C CNN
F 1 "GND" H 1500 2450 50 0000 C CNN
F 2 "" H 1500 2600 60 0000 C CNN
F 3 "" H 1500 2600 60 0000 C CNN
@ -158,10 +159,10 @@ F 3 "" H 1500 2600 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR068
L GND #PWR64
U 1 1 58D69078
P 1500 2300
F 0 "#PWR068" H 1500 2050 50 0001 C CNN
F 0 "#PWR64" H 1500 2050 50 0001 C CNN
F 1 "GND" H 1500 2150 50 0000 C CNN
F 2 "" H 1500 2300 60 0000 C CNN
F 3 "" H 1500 2300 60 0000 C CNN
@ -169,10 +170,10 @@ F 3 "" H 1500 2300 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +5V #PWR069
L +5V #PWR70
U 1 1 58D6907F
P 2250 3800
F 0 "#PWR069" H 2250 3650 50 0001 C CNN
F 0 "#PWR70" H 2250 3650 50 0001 C CNN
F 1 "+5V" H 2250 3940 50 0000 C CNN
F 2 "" H 2250 3800 50 0000 C CNN
F 3 "" H 2250 3800 50 0000 C CNN
@ -290,10 +291,10 @@ Wire Wire Line
Wire Wire Line
3800 5350 3800 4950
$Comp
L +5V #PWR070
L +5V #PWR72
U 1 1 58D92625
P 3600 4400
F 0 "#PWR070" H 3600 4250 50 0001 C CNN
F 0 "#PWR72" H 3600 4250 50 0001 C CNN
F 1 "+5V" H 3600 4540 50 0000 C CNN
F 2 "" H 3600 4400 50 0000 C CNN
F 3 "" H 3600 4400 50 0000 C CNN
@ -315,10 +316,10 @@ Wire Wire Line
4050 4550 4050 4600
Connection ~ 3800 4550
$Comp
L GND #PWR071
L GND #PWR73
U 1 1 58D92889
P 4050 4950
F 0 "#PWR071" H 4050 4700 50 0001 C CNN
F 0 "#PWR73" H 4050 4700 50 0001 C CNN
F 1 "GND" H 4050 4800 50 0000 C CNN
F 2 "" H 4050 4950 50 0000 C CNN
F 3 "" H 4050 4950 50 0000 C CNN
@ -328,10 +329,10 @@ $EndComp
Wire Wire Line
4050 4900 4050 4950
$Comp
L +3V3 #PWR072
L +3V3 #PWR69
U 1 1 58D92A40
P 2000 4700
F 0 "#PWR072" H 2000 4550 50 0001 C CNN
F 0 "#PWR69" H 2000 4550 50 0001 C CNN
F 1 "+3V3" H 2000 4840 50 0000 C CNN
F 2 "" H 2000 4700 50 0000 C CNN
F 3 "" H 2000 4700 50 0000 C CNN
@ -339,10 +340,10 @@ F 3 "" H 2000 4700 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR073
L GND #PWR71
U 1 1 58D92B02
P 2250 5050
F 0 "#PWR073" H 2250 4800 50 0001 C CNN
F 0 "#PWR71" H 2250 4800 50 0001 C CNN
F 1 "GND" H 2250 4900 50 0000 C CNN
F 2 "" H 2250 5050 50 0000 C CNN
F 3 "" H 2250 5050 50 0000 C CNN

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -91,10 +92,10 @@ D7
Text Label 5700 1950 0 60 ~ 0
E7
$Comp
L GND #PWR05
L GND #PWR11
U 1 1 56AC4825
P 1400 950
F 0 "#PWR05" H 1400 700 50 0001 C CNN
F 0 "#PWR11" H 1400 700 50 0001 C CNN
F 1 "GND" H 1400 800 50 0000 C CNN
F 2 "" H 1400 950 60 0000 C CNN
F 3 "" H 1400 950 60 0000 C CNN
@ -102,10 +103,10 @@ F 3 "" H 1400 950 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR06
L GND #PWR17
U 1 1 56AC482D
P 2350 950
F 0 "#PWR06" H 2350 700 50 0001 C CNN
F 0 "#PWR17" H 2350 700 50 0001 C CNN
F 1 "GND" H 2350 800 50 0000 C CNN
F 2 "" H 2350 950 60 0000 C CNN
F 3 "" H 2350 950 60 0000 C CNN
@ -139,10 +140,10 @@ $EndComp
Text Label 2150 5200 0 60 ~ 0
OUT5V
$Comp
L +5V #PWR07
L +5V #PWR5
U 1 1 56AC484F
P 950 5200
F 0 "#PWR07" H 950 5050 50 0001 C CNN
F 0 "#PWR5" H 950 5050 50 0001 C CNN
F 1 "+5V" H 950 5340 50 0000 C CNN
F 2 "" H 950 5200 60 0000 C CNN
F 3 "" H 950 5200 60 0000 C CNN
@ -179,10 +180,10 @@ B20
Text Label 5700 2800 0 60 ~ 0
B19
$Comp
L +3V3 #PWR08
L +3V3 #PWR23
U 1 1 58D5FBBC
P 2500 850
F 0 "#PWR08" H 2500 700 50 0001 C CNN
F 0 "#PWR23" H 2500 700 50 0001 C CNN
F 1 "+3V3" H 2500 990 50 0000 C CNN
F 2 "" H 2500 850 50 0000 C CNN
F 3 "" H 2500 850 50 0000 C CNN
@ -190,10 +191,10 @@ F 3 "" H 2500 850 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR09
L +3V3 #PWR6
U 1 1 58D5FBF3
P 1250 850
F 0 "#PWR09" H 1250 700 50 0001 C CNN
F 0 "#PWR6" H 1250 700 50 0001 C CNN
F 1 "+3V3" H 1250 990 50 0000 C CNN
F 2 "" H 1250 850 50 0000 C CNN
F 3 "" H 1250 850 50 0000 C CNN
@ -236,10 +237,10 @@ F 3 "" H 1850 3300 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR010
L GND #PWR12
U 1 1 58E6D572
P 1400 1850
F 0 "#PWR010" H 1400 1600 50 0001 C CNN
F 0 "#PWR12" H 1400 1600 50 0001 C CNN
F 1 "GND" H 1400 1700 50 0000 C CNN
F 2 "" H 1400 1850 60 0000 C CNN
F 3 "" H 1400 1850 60 0000 C CNN
@ -247,10 +248,10 @@ F 3 "" H 1400 1850 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR011
L +3V3 #PWR7
U 1 1 58E6D578
P 1250 1750
F 0 "#PWR011" H 1250 1600 50 0001 C CNN
F 0 "#PWR7" H 1250 1600 50 0001 C CNN
F 1 "+3V3" H 1250 1890 50 0000 C CNN
F 2 "" H 1250 1750 50 0000 C CNN
F 3 "" H 1250 1750 50 0000 C CNN
@ -258,10 +259,10 @@ F 3 "" H 1250 1750 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR012
L GND #PWR18
U 1 1 58E6D59D
P 2350 1850
F 0 "#PWR012" H 2350 1600 50 0001 C CNN
F 0 "#PWR18" H 2350 1600 50 0001 C CNN
F 1 "GND" H 2350 1700 50 0000 C CNN
F 2 "" H 2350 1850 60 0000 C CNN
F 3 "" H 2350 1850 60 0000 C CNN
@ -269,10 +270,10 @@ F 3 "" H 2350 1850 60 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L +3V3 #PWR013
L +3V3 #PWR24
U 1 1 58E6D5A3
P 2500 1750
F 0 "#PWR013" H 2500 1600 50 0001 C CNN
F 0 "#PWR24" H 2500 1600 50 0001 C CNN
F 1 "+3V3" H 2500 1890 50 0000 C CNN
F 2 "" H 2500 1750 50 0000 C CNN
F 3 "" H 2500 1750 50 0000 C CNN
@ -280,10 +281,10 @@ F 3 "" H 2500 1750 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR014
L GND #PWR13
U 1 1 58E6E4EC
P 1400 2650
F 0 "#PWR014" H 1400 2400 50 0001 C CNN
F 0 "#PWR13" H 1400 2400 50 0001 C CNN
F 1 "GND" H 1400 2500 50 0000 C CNN
F 2 "" H 1400 2650 60 0000 C CNN
F 3 "" H 1400 2650 60 0000 C CNN
@ -291,10 +292,10 @@ F 3 "" H 1400 2650 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR015
L +3V3 #PWR8
U 1 1 58E6E4F2
P 1250 2750
F 0 "#PWR015" H 1250 2600 50 0001 C CNN
F 0 "#PWR8" H 1250 2600 50 0001 C CNN
F 1 "+3V3" H 1250 2890 50 0000 C CNN
F 2 "" H 1250 2750 50 0000 C CNN
F 3 "" H 1250 2750 50 0000 C CNN
@ -302,10 +303,10 @@ F 3 "" H 1250 2750 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR016
L GND #PWR19
U 1 1 58E6E536
P 2350 2650
F 0 "#PWR016" H 2350 2400 50 0001 C CNN
F 0 "#PWR19" H 2350 2400 50 0001 C CNN
F 1 "GND" H 2350 2500 50 0000 C CNN
F 2 "" H 2350 2650 60 0000 C CNN
F 3 "" H 2350 2650 60 0000 C CNN
@ -313,10 +314,10 @@ F 3 "" H 2350 2650 60 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L +3V3 #PWR017
L +3V3 #PWR25
U 1 1 58E6E53C
P 2500 2750
F 0 "#PWR017" H 2500 2600 50 0001 C CNN
F 0 "#PWR25" H 2500 2600 50 0001 C CNN
F 1 "+3V3" H 2500 2890 50 0000 C CNN
F 2 "" H 2500 2750 50 0000 C CNN
F 3 "" H 2500 2750 50 0000 C CNN
@ -394,10 +395,10 @@ Wire Wire Line
Wire Wire Line
1400 2650 1600 2650
$Comp
L GND #PWR018
L GND #PWR14
U 1 1 58E710CC
P 1400 3400
F 0 "#PWR018" H 1400 3150 50 0001 C CNN
F 0 "#PWR14" H 1400 3150 50 0001 C CNN
F 1 "GND" H 1400 3250 50 0000 C CNN
F 2 "" H 1400 3400 60 0000 C CNN
F 3 "" H 1400 3400 60 0000 C CNN
@ -405,10 +406,10 @@ F 3 "" H 1400 3400 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR019
L +3V3 #PWR9
U 1 1 58E710E0
P 1250 3300
F 0 "#PWR019" H 1250 3150 50 0001 C CNN
F 0 "#PWR9" H 1250 3150 50 0001 C CNN
F 1 "+3V3" H 1250 3440 50 0000 C CNN
F 2 "" H 1250 3300 50 0000 C CNN
F 3 "" H 1250 3300 50 0000 C CNN
@ -416,10 +417,10 @@ F 3 "" H 1250 3300 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR020
L GND #PWR15
U 1 1 58E710E6
P 1400 4300
F 0 "#PWR020" H 1400 4050 50 0001 C CNN
F 0 "#PWR15" H 1400 4050 50 0001 C CNN
F 1 "GND" H 1400 4150 50 0000 C CNN
F 2 "" H 1400 4300 60 0000 C CNN
F 3 "" H 1400 4300 60 0000 C CNN
@ -427,10 +428,10 @@ F 3 "" H 1400 4300 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR021
L +3V3 #PWR10
U 1 1 58E710EC
P 1250 4200
F 0 "#PWR021" H 1250 4050 50 0001 C CNN
F 0 "#PWR10" H 1250 4050 50 0001 C CNN
F 1 "+3V3" H 1250 4340 50 0000 C CNN
F 2 "" H 1250 4200 50 0000 C CNN
F 3 "" H 1250 4200 50 0000 C CNN
@ -438,10 +439,10 @@ F 3 "" H 1250 4200 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR022
L GND #PWR16
U 1 1 58E710F2
P 1400 5100
F 0 "#PWR022" H 1400 4850 50 0001 C CNN
F 0 "#PWR16" H 1400 4850 50 0001 C CNN
F 1 "GND" H 1400 4950 50 0000 C CNN
F 2 "" H 1400 5100 60 0000 C CNN
F 3 "" H 1400 5100 60 0000 C CNN
@ -459,10 +460,10 @@ Wire Wire Line
Wire Wire Line
1400 5100 1600 5100
$Comp
L GND #PWR023
L GND #PWR20
U 1 1 58E7117D
P 2350 3400
F 0 "#PWR023" H 2350 3150 50 0001 C CNN
F 0 "#PWR20" H 2350 3150 50 0001 C CNN
F 1 "GND" H 2350 3250 50 0000 C CNN
F 2 "" H 2350 3400 60 0000 C CNN
F 3 "" H 2350 3400 60 0000 C CNN
@ -470,10 +471,10 @@ F 3 "" H 2350 3400 60 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L +3V3 #PWR024
L +3V3 #PWR26
U 1 1 58E71191
P 2500 3300
F 0 "#PWR024" H 2500 3150 50 0001 C CNN
F 0 "#PWR26" H 2500 3150 50 0001 C CNN
F 1 "+3V3" H 2500 3440 50 0000 C CNN
F 2 "" H 2500 3300 50 0000 C CNN
F 3 "" H 2500 3300 50 0000 C CNN
@ -481,10 +482,10 @@ F 3 "" H 2500 3300 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR025
L GND #PWR21
U 1 1 58E71197
P 2350 4300
F 0 "#PWR025" H 2350 4050 50 0001 C CNN
F 0 "#PWR21" H 2350 4050 50 0001 C CNN
F 1 "GND" H 2350 4150 50 0000 C CNN
F 2 "" H 2350 4300 60 0000 C CNN
F 3 "" H 2350 4300 60 0000 C CNN
@ -492,10 +493,10 @@ F 3 "" H 2350 4300 60 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L +3V3 #PWR026
L +3V3 #PWR27
U 1 1 58E7119D
P 2500 4200
F 0 "#PWR026" H 2500 4050 50 0001 C CNN
F 0 "#PWR27" H 2500 4050 50 0001 C CNN
F 1 "+3V3" H 2500 4340 50 0000 C CNN
F 2 "" H 2500 4200 50 0000 C CNN
F 3 "" H 2500 4200 50 0000 C CNN
@ -503,10 +504,10 @@ F 3 "" H 2500 4200 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR027
L GND #PWR22
U 1 1 58E711A3
P 2350 5100
F 0 "#PWR027" H 2350 4850 50 0001 C CNN
F 0 "#PWR22" H 2350 4850 50 0001 C CNN
F 1 "GND" H 2350 4950 50 0000 C CNN
F 2 "" H 2350 5100 60 0000 C CNN
F 3 "" H 2350 5100 60 0000 C CNN
@ -524,10 +525,10 @@ Wire Wire Line
Wire Wire Line
2100 5100 2350 5100
$Comp
L +5V #PWR028
L +5V #PWR28
U 1 1 58E75077
P 2750 5200
F 0 "#PWR028" H 2750 5050 50 0001 C CNN
F 0 "#PWR28" H 2750 5050 50 0001 C CNN
F 1 "+5V" H 2750 5340 50 0000 C CNN
F 2 "" H 2750 5200 50 0000 C CNN
F 3 "" H 2750 5200 50 0000 C CNN

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -1622,7 +1623,7 @@ L CONN_02X01 BTN0
U 1 1 58E83FE0
P 1500 7150
F 0 "BTN0" H 1500 7250 50 0000 C CNN
F 1 "BTN_PWR" H 1500 7050 50 0000 C CNN
F 1 "POWER" H 1500 7050 50 0000 C CNN
F 2 "Buttons_Switches_SMD:SW_SPST_SKQG" H 1500 5950 50 0001 C CNN
F 3 "" H 1500 5950 50 0000 C CNN
1 1500 7150

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -52,10 +53,10 @@ Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR029
L GND #PWR29
U 1 1 58D54769
P 1100 2650
F 0 "#PWR029" H 1100 2400 50 0001 C CNN
F 0 "#PWR29" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
@ -85,10 +86,10 @@ F 3 "" H 8500 3350 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR030
L GND #PWR50
U 1 1 58D58A0B
P 8500 3850
F 0 "#PWR030" H 8500 3600 50 0001 C CNN
F 0 "#PWR50" H 8500 3600 50 0001 C CNN
F 1 "GND" H 8500 3700 50 0000 C CNN
F 2 "" H 8500 3850 50 0000 C CNN
F 3 "" H 8500 3850 50 0000 C CNN
@ -96,10 +97,10 @@ F 3 "" H 8500 3850 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR031
L +5V #PWR44
U 1 1 58D58F93
P 7850 3200
F 0 "#PWR031" H 7850 3050 50 0001 C CNN
F 0 "#PWR44" H 7850 3050 50 0001 C CNN
F 1 "+5V" H 7850 3340 50 0000 C CNN
F 2 "" H 7850 3200 50 0000 C CNN
F 3 "" H 7850 3200 50 0000 C CNN
@ -107,10 +108,10 @@ F 3 "" H 7850 3200 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR032
L GND #PWR45
U 1 1 58D5989A
P 7850 3850
F 0 "#PWR032" H 7850 3600 50 0001 C CNN
F 0 "#PWR45" H 7850 3600 50 0001 C CNN
F 1 "GND" H 7850 3700 50 0000 C CNN
F 2 "" H 7850 3850 50 0000 C CNN
F 3 "" H 7850 3850 50 0000 C CNN
@ -173,10 +174,10 @@ F 3 "" H 10050 3450 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR033
L GND #PWR53
U 1 1 58D5AE5E
P 10250 3550
F 0 "#PWR033" H 10250 3300 50 0001 C CNN
F 0 "#PWR53" H 10250 3300 50 0001 C CNN
F 1 "GND" H 10250 3400 50 0000 C CNN
F 2 "" H 10250 3550 50 0000 C CNN
F 3 "" H 10250 3550 50 0000 C CNN
@ -206,10 +207,10 @@ F 3 "" H 10600 3250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR034
L +3V3 #PWR42
U 1 1 58D5CFDD
P 4750 900
F 0 "#PWR034" H 4750 750 50 0001 C CNN
F 0 "#PWR42" H 4750 750 50 0001 C CNN
F 1 "+3V3" H 4750 1040 50 0000 C CNN
F 2 "" H 4750 900 50 0000 C CNN
F 3 "" H 4750 900 50 0000 C CNN
@ -687,10 +688,10 @@ F 3 "" H 8500 5750 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR035
L GND #PWR52
U 1 1 58D6294C
P 8500 6250
F 0 "#PWR035" H 8500 6000 50 0001 C CNN
F 0 "#PWR52" H 8500 6000 50 0001 C CNN
F 1 "GND" H 8500 6100 50 0000 C CNN
F 2 "" H 8500 6250 50 0000 C CNN
F 3 "" H 8500 6250 50 0000 C CNN
@ -698,10 +699,10 @@ F 3 "" H 8500 6250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR036
L +5V #PWR48
U 1 1 58D62952
P 7850 5600
F 0 "#PWR036" H 7850 5450 50 0001 C CNN
F 0 "#PWR48" H 7850 5450 50 0001 C CNN
F 1 "+5V" H 7850 5740 50 0000 C CNN
F 2 "" H 7850 5600 50 0000 C CNN
F 3 "" H 7850 5600 50 0000 C CNN
@ -709,10 +710,10 @@ F 3 "" H 7850 5600 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR037
L GND #PWR49
U 1 1 58D62958
P 7850 6250
F 0 "#PWR037" H 7850 6000 50 0001 C CNN
F 0 "#PWR49" H 7850 6000 50 0001 C CNN
F 1 "GND" H 7850 6100 50 0000 C CNN
F 2 "" H 7850 6250 50 0000 C CNN
F 3 "" H 7850 6250 50 0000 C CNN
@ -775,10 +776,10 @@ F 3 "" H 10050 5850 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR038
L GND #PWR55
U 1 1 58D62982
P 10250 5950
F 0 "#PWR038" H 10250 5700 50 0001 C CNN
F 0 "#PWR55" H 10250 5700 50 0001 C CNN
F 1 "GND" H 10250 5800 50 0000 C CNN
F 2 "" H 10250 5950 50 0000 C CNN
F 3 "" H 10250 5950 50 0000 C CNN
@ -854,10 +855,10 @@ Wire Wire Line
Wire Wire Line
7900 5850 7400 5850
$Comp
L +3V3 #PWR039
L +3V3 #PWR58
U 1 1 58D62CE2
P 10600 5400
F 0 "#PWR039" H 10600 5250 50 0001 C CNN
F 0 "#PWR58" H 10600 5250 50 0001 C CNN
F 1 "+3V3" H 10600 5540 50 0000 C CNN
F 2 "" H 10600 5400 50 0000 C CNN
F 3 "" H 10600 5400 50 0000 C CNN
@ -865,10 +866,10 @@ F 3 "" H 10600 5400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR040
L +1V2 #PWR56
U 1 1 58D63C77
P 10600 3000
F 0 "#PWR040" H 10600 2850 50 0001 C CNN
F 0 "#PWR56" H 10600 2850 50 0001 C CNN
F 1 "+1V2" H 10600 3140 50 0000 C CNN
F 2 "" H 10600 3000 50 0000 C CNN
F 3 "" H 10600 3000 50 0000 C CNN
@ -876,10 +877,10 @@ F 3 "" H 10600 3000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR041
L +1V2 #PWR34
U 1 1 58D63D96
P 2150 900
F 0 "#PWR041" H 2150 750 50 0001 C CNN
F 0 "#PWR34" H 2150 750 50 0001 C CNN
F 1 "+1V2" H 2150 1040 50 0000 C CNN
F 2 "" H 2150 900 50 0000 C CNN
F 3 "" H 2150 900 50 0000 C CNN
@ -902,10 +903,10 @@ F 3 "" H 8500 4550 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR042
L GND #PWR51
U 1 1 58D67BC0
P 8500 5050
F 0 "#PWR042" H 8500 4800 50 0001 C CNN
F 0 "#PWR51" H 8500 4800 50 0001 C CNN
F 1 "GND" H 8500 4900 50 0000 C CNN
F 2 "" H 8500 5050 50 0000 C CNN
F 3 "" H 8500 5050 50 0000 C CNN
@ -913,10 +914,10 @@ F 3 "" H 8500 5050 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR043
L +5V #PWR46
U 1 1 58D67BC6
P 7850 4400
F 0 "#PWR043" H 7850 4250 50 0001 C CNN
F 0 "#PWR46" H 7850 4250 50 0001 C CNN
F 1 "+5V" H 7850 4540 50 0000 C CNN
F 2 "" H 7850 4400 50 0000 C CNN
F 3 "" H 7850 4400 50 0000 C CNN
@ -924,10 +925,10 @@ F 3 "" H 7850 4400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR044
L GND #PWR47
U 1 1 58D67BCC
P 7850 5050
F 0 "#PWR044" H 7850 4800 50 0001 C CNN
F 0 "#PWR47" H 7850 4800 50 0001 C CNN
F 1 "GND" H 7850 4900 50 0000 C CNN
F 2 "" H 7850 5050 50 0000 C CNN
F 3 "" H 7850 5050 50 0000 C CNN
@ -990,10 +991,10 @@ F 3 "" H 10050 4650 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR045
L GND #PWR54
U 1 1 58D67BF0
P 10250 4750
F 0 "#PWR045" H 10250 4500 50 0001 C CNN
F 0 "#PWR54" H 10250 4500 50 0001 C CNN
F 1 "GND" H 10250 4600 50 0000 C CNN
F 2 "" H 10250 4750 50 0000 C CNN
F 3 "" H 10250 4750 50 0000 C CNN
@ -1082,10 +1083,10 @@ $EndComp
Wire Wire Line
4150 4450 4150 4300
$Comp
L +2V5 #PWR046
L +2V5 #PWR57
U 1 1 58D681D4
P 10600 4200
F 0 "#PWR046" H 10600 4050 50 0001 C CNN
F 0 "#PWR57" H 10600 4050 50 0001 C CNN
F 1 "+2V5" H 10600 4340 50 0000 C CNN
F 2 "" H 10600 4200 50 0000 C CNN
F 3 "" H 10600 4200 50 0000 C CNN
@ -1123,10 +1124,10 @@ Wire Wire Line
Wire Wire Line
4650 1000 4650 1100
$Comp
L +2V5 #PWR047
L +2V5 #PWR40
U 1 1 58D68750
P 4250 900
F 0 "#PWR047" H 4250 750 50 0001 C CNN
F 0 "#PWR40" H 4250 750 50 0001 C CNN
F 1 "+2V5" H 4250 1040 50 0000 C CNN
F 2 "" H 4250 900 50 0000 C CNN
F 3 "" H 4250 900 50 0000 C CNN
@ -1136,10 +1137,10 @@ $EndComp
Wire Wire Line
6250 1000 7150 1000
$Comp
L +3V3 #PWR048
L +3V3 #PWR43
U 1 1 58D69259
P 6350 900
F 0 "#PWR048" H 6350 750 50 0001 C CNN
F 0 "#PWR43" H 6350 750 50 0001 C CNN
F 1 "+3V3" H 6350 1040 50 0000 C CNN
F 2 "" H 6350 900 50 0000 C CNN
F 3 "" H 6350 900 50 0000 C CNN
@ -1158,10 +1159,10 @@ F 3 "" H 2100 3550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR049
L GND #PWR33
U 1 1 58D7085C
P 2100 4050
F 0 "#PWR049" H 2100 3800 50 0001 C CNN
F 0 "#PWR33" H 2100 3800 50 0001 C CNN
F 1 "GND" H 2100 3900 50 0000 C CNN
F 2 "" H 2100 4050 50 0000 C CNN
F 3 "" H 2100 4050 50 0000 C CNN
@ -1198,10 +1199,10 @@ Wire Wire Line
Wire Wire Line
2800 3750 2800 3800
$Comp
L GND #PWR050
L GND #PWR35
U 1 1 58D72406
P 2800 4150
F 0 "#PWR050" H 2800 3900 50 0001 C CNN
F 0 "#PWR35" H 2800 3900 50 0001 C CNN
F 1 "GND" H 2800 4000 50 0000 C CNN
F 2 "" H 2800 4150 50 0000 C CNN
F 3 "" H 2800 4150 50 0000 C CNN
@ -1217,10 +1218,10 @@ Wire Wire Line
Wire Wire Line
2100 3150 2100 3050
$Comp
L +2V5 #PWR051
L +2V5 #PWR32
U 1 1 58D73C82
P 2100 3050
F 0 "#PWR051" H 2100 2900 50 0001 C CNN
F 0 "#PWR32" H 2100 2900 50 0001 C CNN
F 1 "+2V5" H 2100 3190 50 0000 C CNN
F 2 "" H 2100 3050 50 0000 C CNN
F 3 "" H 2100 3050 50 0000 C CNN
@ -1274,10 +1275,10 @@ Connection ~ 4150 4850
Wire Wire Line
4150 5650 4150 6100
$Comp
L GND #PWR052
L GND #PWR39
U 1 1 58D7C223
P 4150 6100
F 0 "#PWR052" H 4150 5850 50 0001 C CNN
F 0 "#PWR39" H 4150 5850 50 0001 C CNN
F 1 "GND" H 4150 5950 50 0000 C CNN
F 2 "" H 4150 6100 50 0000 C CNN
F 3 "" H 4150 6100 50 0000 C CNN
@ -1309,10 +1310,10 @@ F 3 "" H 4700 4150 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR053
L +3V3 #PWR41
U 1 1 58D7CCF7
P 4700 3900
F 0 "#PWR053" H 4700 3750 50 0001 C CNN
F 0 "#PWR41" H 4700 3750 50 0001 C CNN
F 1 "+3V3" H 4700 4040 50 0000 C CNN
F 2 "" H 4700 3900 50 0000 C CNN
F 3 "" H 4700 3900 50 0000 C CNN
@ -1503,10 +1504,10 @@ F 3 "" H 3900 4150 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR054
L GND #PWR37
U 1 1 58DA2E46
P 3900 4300
F 0 "#PWR054" H 3900 4050 50 0001 C CNN
F 0 "#PWR37" H 3900 4050 50 0001 C CNN
F 1 "GND" H 3900 4150 50 0000 C CNN
F 2 "" H 3900 4300 50 0000 C CNN
F 3 "" H 3900 4300 50 0000 C CNN
@ -1514,10 +1515,10 @@ F 3 "" H 3900 4300 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR055
L +5V #PWR38
U 1 1 58D67C25
P 4150 3300
F 0 "#PWR055" H 4150 3150 50 0001 C CNN
F 0 "#PWR38" H 4150 3150 50 0001 C CNN
F 1 "+5V" H 4150 3440 50 0000 C CNN
F 2 "" H 4150 3300 50 0000 C CNN
F 3 "" H 4150 3300 50 0000 C CNN
@ -1547,10 +1548,10 @@ F 3 "" H 3250 5300 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR056
L +3V3 #PWR36
U 1 1 58DA569C
P 3250 5150
F 0 "#PWR056" H 3250 5000 50 0001 C CNN
F 0 "#PWR36" H 3250 5000 50 0001 C CNN
F 1 "+3V3" H 3250 5290 50 0000 C CNN
F 2 "" H 3250 5150 50 0000 C CNN
F 3 "" H 3250 5150 50 0000 C CNN
@ -1594,10 +1595,10 @@ Wire Wire Line
Text Label 750 6850 0 60 ~ 0
WAKEUPn
$Comp
L +5V #PWR057
L +5V #PWR30
U 1 1 58E82A7E
P 1500 6500
F 0 "#PWR057" H 1500 6350 50 0001 C CNN
F 0 "#PWR30" H 1500 6350 50 0001 C CNN
F 1 "+5V" H 1500 6640 50 0000 C CNN
F 2 "" H 1500 6500 50 0000 C CNN
F 3 "" H 1500 6500 50 0000 C CNN
@ -1629,10 +1630,10 @@ F 3 "" H 1500 5950 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR058
L GND #PWR31
U 1 1 58E840F5
P 1500 7400
F 0 "#PWR058" H 1500 7150 50 0001 C CNN
F 0 "#PWR31" H 1500 7150 50 0001 C CNN
F 1 "GND" H 1500 7250 50 0000 C CNN
F 2 "" H 1500 7400 50 0000 C CNN
F 3 "" H 1500 7400 50 0000 C CNN

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -84,10 +85,10 @@ Wire Wire Line
5250 2000 5250 2200
Connection ~ 5250 2100
$Comp
L +3V3 #PWR096
L +3V3 #PWR84
U 1 1 58D928FF
P 5250 2000
F 0 "#PWR096" H 5250 1850 50 0001 C CNN
F 0 "#PWR84" H 5250 1850 50 0001 C CNN
F 1 "+3V3" H 5250 2140 50 0000 C CNN
F 2 "" H 5250 2000 50 0000 C CNN
F 3 "" H 5250 2000 50 0000 C CNN
@ -95,10 +96,10 @@ F 3 "" H 5250 2000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR097
L +3V3 #PWR86
U 1 1 58D92915
P 5550 2000
F 0 "#PWR097" H 5550 1850 50 0001 C CNN
F 0 "#PWR86" H 5550 1850 50 0001 C CNN
F 1 "+3V3" H 5550 2140 50 0000 C CNN
F 2 "" H 5550 2000 50 0000 C CNN
F 3 "" H 5550 2000 50 0000 C CNN
@ -127,10 +128,10 @@ Wire Wire Line
5650 4800 5650 4900
Connection ~ 5650 4900
$Comp
L GND #PWR098
L GND #PWR85
U 1 1 58D929C8
P 5250 5000
F 0 "#PWR098" H 5250 4750 50 0001 C CNN
F 0 "#PWR85" H 5250 4750 50 0001 C CNN
F 1 "GND" H 5250 4850 50 0000 C CNN
F 2 "" H 5250 5000 50 0000 C CNN
F 3 "" H 5250 5000 50 0000 C CNN
@ -138,10 +139,10 @@ F 3 "" H 5250 5000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR099
L GND #PWR87
U 1 1 58D929DE
P 5550 5000
F 0 "#PWR099" H 5550 4750 50 0001 C CNN
F 0 "#PWR87" H 5550 4750 50 0001 C CNN
F 1 "GND" H 5550 4850 50 0000 C CNN
F 2 "" H 5550 5000 50 0000 C CNN
F 3 "" H 5550 5000 50 0000 C CNN

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -81,10 +82,10 @@ Wire Wire Line
Wire Wire Line
1850 6450 2400 6450
$Comp
L GND #PWR0101
L GND #PWR90
U 1 1 58DA7C6F
P 1850 6150
F 0 "#PWR0101" H 1850 5900 50 0001 C CNN
F 0 "#PWR90" H 1850 5900 50 0001 C CNN
F 1 "GND" H 1850 6000 50 0000 C CNN
F 2 "" H 1850 6150 50 0000 C CNN
F 3 "" H 1850 6150 50 0000 C CNN
@ -92,10 +93,10 @@ F 3 "" H 1850 6150 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR0102
L GND #PWR91
U 1 1 58DA7C70
P 1850 6450
F 0 "#PWR0102" H 1850 6200 50 0001 C CNN
F 0 "#PWR91" H 1850 6200 50 0001 C CNN
F 1 "GND" H 1850 6300 50 0000 C CNN
F 2 "" H 1850 6450 50 0000 C CNN
F 3 "" H 1850 6450 50 0000 C CNN
@ -111,10 +112,10 @@ Wire Wire Line
Text Label 4250 6150 0 60 ~ 0
SD_WP
$Comp
L GND #PWR0103
L GND #PWR92
U 1 1 58DA7C71
P 4300 6550
F 0 "#PWR0103" H 4300 6300 50 0001 C CNN
F 0 "#PWR92" H 4300 6300 50 0001 C CNN
F 1 "GND" H 4300 6400 50 0000 C CNN
F 2 "" H 4300 6550 50 0000 C CNN
F 3 "" H 4300 6550 50 0000 C CNN
@ -129,10 +130,10 @@ Wire Wire Line
4200 6450 4300 6450
Connection ~ 4300 6450
$Comp
L +3V3 #PWR0104
L +3V3 #PWR89
U 1 1 58DA7C72
P 1700 6250
F 0 "#PWR0104" H 1700 6100 50 0001 C CNN
F 0 "#PWR89" H 1700 6100 50 0001 C CNN
F 1 "+3V3" H 1700 6390 50 0000 C CNN
F 2 "" H 1700 6250 50 0000 C CNN
F 3 "" H 1700 6250 50 0000 C CNN

@ -16,21 +16,6 @@ X +1V2 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# +1V8
#
DEF +1V8 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+1V8" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +1V8 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# +2V5
#
DEF +2V5 #PWR 0 0 Y Y 1 F P
@ -77,26 +62,6 @@ X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# 93CxxC
#
DEF 93CxxC U 0 40 Y Y 1 F N
F0 "U" -150 350 50 H V C CNN
F1 "93CxxC" -200 -250 50 H V L CNN
F2 "" -100 0 50 H V C CNN
F3 "" 0 100 50 H V C CNN
DRAW
S -200 -200 200 300 0 1 10 f
X CS 1 -300 100 100 R 50 50 1 1 I
X SCLK 2 -300 0 100 R 50 50 1 1 I
X DI 3 300 200 100 L 50 50 1 1 I
X DO 4 300 100 100 L 50 50 1 1 T
X GND 5 -300 -100 100 R 50 50 1 1 W
X ORG 6 300 -100 100 L 50 50 1 1 I
X NC 7 300 0 100 L 50 50 1 1 I
X VCC 8 -300 200 100 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# AP3429A
#
DEF AP3429A U 0 40 Y Y 1 F N
@ -327,27 +292,6 @@ X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Crystal
#
DEF Crystal Y 0 40 N N 1 F N
F0 "Y" 0 150 50 H V C CNN
F1 "Crystal" 0 -150 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
Crystal*
$ENDFPLIST
DRAW
S -45 100 45 -100 0 1 12 N
P 2 0 1 0 -100 0 -75 0 N
P 2 0 1 20 -75 -50 -75 50 N
P 2 0 1 20 75 -50 75 50 N
P 2 0 1 0 100 0 75 0 N
X 1 1 -150 0 50 R 50 50 1 1 P
X 2 2 150 0 50 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Crystal_GND23
#
DEF Crystal_GND23 Y 0 40 Y N 1 F N
@ -521,72 +465,38 @@ X GND-PAD 39 900 750 200 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
# FT2232H-56Q
# FT231XS
#
DEF FT2232H-56Q U 0 40 Y Y 1 F N
F0 "U" 0 50 60 H V C CNN
F1 "FT2232H-56Q" 0 -50 60 H V C CNN
F2 "" 0 0 60 H I C CNN
F3 "" 0 0 60 H I C CNN
DEF FT231XS U 0 40 Y Y 1 F N
F0 "U" -550 800 50 H V L CNN
F1 "FT231XS" 300 800 50 H V L CNN
F2 "SSOP-20" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SSOP*
$ENDFPLIST
DRAW
S -1000 -1850 1000 1850 0 1 0 N
X EECS 1 -1300 -900 300 R 50 50 1 1 B
X VCORE 2 -150 2150 300 D 50 50 1 1 W
X OSCI 3 -1300 -1300 300 R 50 50 1 1 I
X OSCO 4 -1300 -1600 300 R 50 50 1 1 O
X VPHY 5 -500 2150 300 D 50 50 1 1 W
X REF 6 -1300 -150 300 R 50 50 1 1 I
X DM 7 -1300 200 300 R 50 50 1 1 B
X DP 8 -1300 100 300 R 50 50 1 1 B
X VPLL 9 -400 2150 300 D 50 50 1 1 W
X TEST 10 -1300 -1750 300 R 50 50 1 1 I
X ADBUS7 20 1300 1050 300 L 50 50 1 1 B
X ~SUSPEND 30 1300 -1750 300 L 50 50 1 1 O
X BDBUS7 40 1300 -650 300 L 50 50 1 1 O
X VCCIO 50 300 2150 300 D 50 50 1 1 W
X ~RESET 11 -1300 -300 300 R 50 50 1 1 I
X GND 21 -100 -2150 300 U 50 50 1 1 W
X VCORE 31 -50 2150 300 D 50 50 1 1 W
X GND 41 0 -2150 300 U 50 50 1 1 W
X BCBUS5 51 1300 -1300 300 L 50 50 1 1 O
X ADBUS0 12 1300 1750 300 L 50 50 1 1 B
X ACBUS0 22 1300 900 300 L 50 50 1 1 B
X BDBUS0 32 1300 50 300 L 50 50 1 1 O
X BCBUS0 42 1300 -800 300 L 50 50 1 1 O
X VREGOUT 42 -1300 1600 300 R 50 50 1 1 w
X BCBUS6 52 1300 -1400 300 L 50 50 1 1 O
X ADBUS1 13 1300 1650 300 L 50 50 1 1 B
X ACBUS1 23 1300 800 300 L 50 50 1 1 B
X BDBUS1 33 1300 -50 300 L 50 50 1 1 O
X BCBUS7 53 1300 -1500 300 L 50 50 1 1 O
X ADBUS2 14 1300 1550 300 L 50 50 1 1 B
X ACBUS2 24 1300 700 300 L 50 50 1 1 B
X BDBUS2 34 1300 -150 300 L 50 50 1 1 O
X VREGIN 44 -1300 1750 300 R 50 50 1 1 W
X ~PWREN 54 1300 -1650 300 L 50 50 1 1 O
X ADBUS3 15 1300 1450 300 L 50 50 1 1 B
X ACBUS3 25 1300 600 300 L 50 50 1 1 B
X BDBUS3 35 1300 -250 300 L 50 50 1 1 O
X GND 45 100 -2150 300 U 50 50 1 1 W
X EEDATA 55 -1300 -1100 300 R 50 50 1 1 B
X VCCIO 16 100 2150 300 D 50 50 1 1 W
X ACBUS4 26 1300 500 300 L 50 50 1 1 B
X VCCIO 36 200 2150 300 D 50 50 1 1 W
X BCBUS1 46 1300 -900 300 L 50 50 1 1 O
X EECLK 56 -1300 -1000 300 R 50 50 1 1 O
X ADBUS4 17 1300 1350 300 L 50 50 1 1 B
X ACBUS5 27 1300 400 300 L 50 50 1 1 B
X BDBUS4 37 1300 -350 300 L 50 50 1 1 O
X BCBUS2 47 1300 -1000 300 L 50 50 1 1 O
X PAD 57 300 -2150 300 U 50 50 1 1 W
X ADBUS5 18 1300 1250 300 L 50 50 1 1 B
X ACBUS6 28 1300 300 300 L 50 50 1 1 B
X BDBUS5 38 1300 -450 300 L 50 50 1 1 O
X BCBUS3 48 1300 -1100 300 L 50 50 1 1 O
X ADBUS6 19 1300 1150 300 L 50 50 1 1 B
X ACBUS7 29 1300 200 300 L 50 50 1 1 B
X BDBUS6 39 1300 -550 300 L 50 50 1 1 O
X BCBUS4 49 1300 -1200 300 L 50 50 1 1 O
S -550 750 550 -750 0 1 10 f
X ~DTR 1 700 200 150 L 50 50 1 1 O
X ~RTS 2 700 400 150 L 50 50 1 1 I
X VCCIO 3 100 900 150 D 50 50 1 1 W
X RXD 4 700 500 150 L 50 50 1 1 I
X ~RI 5 700 -100 150 L 50 50 1 1 I
X GND 6 -100 -900 150 U 50 50 1 1 W
X ~DSR 7 700 100 150 L 50 50 1 1 O
X ~DCD 8 700 0 150 L 50 50 1 1 I
X ~CTS 9 700 300 150 L 50 50 1 1 I
X CBUS2 10 700 -500 150 L 50 50 1 1 B
X TXD 20 700 600 150 L 50 50 1 1 O
X USBDP 11 -700 200 150 R 50 50 1 1 B
X USBDM 12 -700 300 150 R 50 50 1 1 B
X 3V3OUT 13 -700 600 150 R 50 50 1 1 w
X ~RESET 14 -700 0 150 R 50 50 1 1 I
X VCC 15 -100 900 150 D 50 50 1 1 W
X GND 16 100 -900 150 U 50 50 1 1 W
X CBUS1 17 700 -400 150 L 50 50 1 1 B
X CBUS0 18 700 -300 150 L 50 50 1 1 B
X CBUS3 19 700 -600 150 L 50 50 1 1 B
ENDDRAW
ENDDEF
#

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -1,15 +1,15 @@
(kicad_pcb (version 4) (host pcbnew 4.0.5+dfsg1-4)
(general
(links 505)
(no_connects 505)
(links 462)
(no_connects 462)
(area 93.949999 61.269999 178.070001 109.830001)
(thickness 1.6)
(drawings 6)
(tracks 14)
(zones 0)
(modules 108)
(nets 138)
(modules 101)
(nets 134)
)
(page A4)
@ -129,108 +129,104 @@
(net 33 /power/WKn)
(net 34 /power/OSCI_32k)
(net 35 /power/OSCO_32k)
(net 36 /usb/OSCI_12M)
(net 37 +1V8)
(net 38 FTDI_nSUSPEND)
(net 39 USB_FTDI_DM)
(net 40 USB_FTDI_DP)
(net 41 /usb/OSCO_12M)
(net 42 "Net-(Q2-Pad3)")
(net 43 /usb/EECLK)
(net 44 /usb/EECS)
(net 45 "Net-(R11-Pad2)")
(net 46 /usb/EEDATA)
(net 47 SHUTDOWN)
(net 48 /analog/AUDIO_L)
(net 49 /analog/AUDIO_R)
(net 50 GPDI_5V_SCL)
(net 51 GPDI_5V_SDA)
(net 52 GPDI_SDA)
(net 53 GPDI_SCL)
(net 54 /gpdi/VREF2)
(net 55 /blinkey/BTNPU)
(net 56 SD_CMD)
(net 57 SD_CLK)
(net 58 SD_D0)
(net 59 SD_D1)
(net 60 USB5V)
(net 61 /gpio/B11)
(net 62 /gpio/C11)
(net 63 /gpio/A10)
(net 64 /gpio/A11)
(net 65 /gpio/B10)
(net 66 /gpio/A9)
(net 67 /gpio/C10)
(net 68 /gpio/B9)
(net 69 /gpio/E9)
(net 70 /gpio/D9)
(net 71 /gpio/A8)
(net 72 /gpio/A7)
(net 73 /gpio/B8)
(net 74 /gpio/C8)
(net 75 /gpio/D8)
(net 76 /gpio/E8)
(net 77 /gpio/C7)
(net 78 /gpio/C6)
(net 79 /gpio/D7)
(net 80 /gpio/E7)
(net 81 /gpio/D6)
(net 82 /gpio/E6)
(net 83 /gpio/B6)
(net 84 /gpio/A6)
(net 85 /gpio/A19)
(net 86 /gpio/B20)
(net 87 /gpio/A18)
(net 88 /gpio/B19)
(net 89 /gpio/A17)
(net 90 /gpio/B18)
(net 91 /gpio/B17)
(net 92 /gpio/C17)
(net 93 /gpio/C16)
(net 94 /gpio/D16)
(net 95 /gpio/A16)
(net 96 /gpio/B16)
(net 97 /gpio/D15)
(net 98 /gpio/E15)
(net 99 /gpio/B15)
(net 100 /gpio/C15)
(net 101 /gpio/D14)
(net 102 /gpio/E14)
(net 103 /gpio/A14)
(net 104 /gpio/C14)
(net 105 /gpio/D13)
(net 106 /gpio/E13)
(net 107 /gpio/B13)
(net 108 /gpio/C13)
(net 109 /gpio/A12)
(net 110 /gpio/A13)
(net 111 /gpio/D12)
(net 112 /gpio/E12)
(net 113 /gpio/B12)
(net 114 /gpio/C12)
(net 115 /gpio/D11)
(net 116 /gpio/E11)
(net 117 "Net-(BTN0-Pad1)")
(net 118 LED0)
(net 119 LED1)
(net 120 LED2)
(net 121 LED3)
(net 122 LED4)
(net 123 LED5)
(net 124 LED6)
(net 125 LED7)
(net 126 BTN_PWRn)
(net 127 GPDI_ETH_N)
(net 128 GPDI_ETH_P)
(net 129 GPDI_D2_P)
(net 130 GPDI_D2_N)
(net 131 GPDI_D1_P)
(net 132 GPDI_D1_N)
(net 133 GPDI_D0_P)
(net 134 GPDI_D0_N)
(net 135 GPDI_CLK_P)
(net 136 GPDI_CLK_N)
(net 137 GPDI_CEC)
(net 36 FTDI_nSUSPEND)
(net 37 USB_FTDI_DM)
(net 38 USB_FTDI_DP)
(net 39 "Net-(Q2-Pad3)")
(net 40 SHUTDOWN)
(net 41 /analog/AUDIO_L)
(net 42 /analog/AUDIO_R)
(net 43 GPDI_5V_SCL)
(net 44 GPDI_5V_SDA)
(net 45 GPDI_SDA)
(net 46 GPDI_SCL)
(net 47 /gpdi/VREF2)
(net 48 /blinkey/BTNPU)
(net 49 SD_CMD)
(net 50 SD_CLK)
(net 51 SD_D0)
(net 52 SD_D1)
(net 53 USB5V)
(net 54 /gpio/B11)
(net 55 /gpio/C11)
(net 56 /gpio/A10)
(net 57 /gpio/A11)
(net 58 /gpio/B10)
(net 59 /gpio/A9)
(net 60 /gpio/C10)
(net 61 /gpio/B9)
(net 62 /gpio/E9)
(net 63 /gpio/D9)
(net 64 /gpio/A8)
(net 65 /gpio/A7)
(net 66 /gpio/B8)
(net 67 /gpio/C8)
(net 68 /gpio/D8)
(net 69 /gpio/E8)
(net 70 /gpio/C7)
(net 71 /gpio/C6)
(net 72 /gpio/D7)
(net 73 /gpio/E7)
(net 74 /gpio/D6)
(net 75 /gpio/E6)
(net 76 /gpio/B6)
(net 77 /gpio/A6)
(net 78 /gpio/A19)
(net 79 /gpio/B20)
(net 80 /gpio/A18)
(net 81 /gpio/B19)
(net 82 /gpio/A17)
(net 83 /gpio/B18)
(net 84 /gpio/B17)
(net 85 /gpio/C17)
(net 86 /gpio/C16)
(net 87 /gpio/D16)
(net 88 /gpio/A16)
(net 89 /gpio/B16)
(net 90 /gpio/D15)
(net 91 /gpio/E15)
(net 92 /gpio/B15)
(net 93 /gpio/C15)
(net 94 /gpio/D14)
(net 95 /gpio/E14)
(net 96 /gpio/A14)
(net 97 /gpio/C14)
(net 98 /gpio/D13)
(net 99 /gpio/E13)
(net 100 /gpio/B13)
(net 101 /gpio/C13)
(net 102 /gpio/A12)
(net 103 /gpio/A13)
(net 104 /gpio/D12)
(net 105 /gpio/E12)
(net 106 /gpio/B12)
(net 107 /gpio/C12)
(net 108 /gpio/D11)
(net 109 /gpio/E11)
(net 110 "Net-(BTN0-Pad1)")
(net 111 LED0)
(net 112 LED1)
(net 113 LED2)
(net 114 LED3)
(net 115 LED4)
(net 116 LED5)
(net 117 LED6)
(net 118 LED7)
(net 119 BTN_PWRn)
(net 120 GPDI_ETH_N)
(net 121 GPDI_ETH_P)
(net 122 GPDI_D2_P)
(net 123 GPDI_D2_N)
(net 124 GPDI_D1_P)
(net 125 GPDI_D1_N)
(net 126 GPDI_D0_P)
(net 127 GPDI_D0_N)
(net 128 GPDI_CLK_P)
(net 129 GPDI_CLK_N)
(net 130 GPDI_CEC)
(net 131 nRESET)
(net 132 /usb/FT3V3)
(net 133 FTDI_nDTR)
(net_class Default "This is the default net class."
(clearance 0.2)
@ -240,7 +236,6 @@
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net +1V2)
(add_net +1V8)
(add_net +2V5)
(add_net +3V3)
(add_net +5V)
@ -319,11 +314,7 @@
(add_net /power/WAKEUPn)
(add_net /power/WKUP)
(add_net /power/WKn)
(add_net /usb/EECLK)
(add_net /usb/EECS)
(add_net /usb/EEDATA)
(add_net /usb/OSCI_12M)
(add_net /usb/OSCO_12M)
(add_net /usb/FT3V3)
(add_net BTN_D)
(add_net BTN_F1)
(add_net BTN_F2)
@ -331,6 +322,7 @@
(add_net BTN_PWRn)
(add_net BTN_R)
(add_net BTN_U)
(add_net FTDI_nDTR)
(add_net FTDI_nSUSPEND)
(add_net GPDI_5V_SCL)
(add_net GPDI_5V_SDA)
@ -361,7 +353,6 @@
(add_net "Net-(L2-Pad1)")
(add_net "Net-(L3-Pad1)")
(add_net "Net-(Q2-Pad3)")
(add_net "Net-(R11-Pad2)")
(add_net SD_3)
(add_net SD_CLK)
(add_net SD_CMD)
@ -371,6 +362,7 @@
(add_net USB5V)
(add_net USB_FTDI_DM)
(add_net USB_FTDI_DP)
(add_net nRESET)
)
(net_class BGA ""
@ -541,19 +533,19 @@
(pad 1 smd rect (at 1.94 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 23 SD_3))
(pad 2 smd rect (at 0.84 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 56 SD_CMD))
(net 49 SD_CMD))
(pad 3 smd rect (at -0.26 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(pad 4 smd rect (at -1.36 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 5 +3V3))
(pad 5 smd rect (at -2.46 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 57 SD_CLK))
(net 50 SD_CLK))
(pad 6 smd rect (at -3.56 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(pad 7 smd rect (at -4.66 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 58 SD_D0))
(net 51 SD_D0))
(pad 8 smd rect (at -5.76 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 59 SD_D1))
(net 52 SD_D1))
(pad S smd rect (at -5.05 0.4 90) (size 1.6 1.4) (layers F.Cu F.Paste F.Mask))
(pad S smd rect (at 0.75 0.4 90) (size 1.8 1.4) (layers F.Cu F.Paste F.Mask))
(pad G smd rect (at -7.45 13.55 90) (size 1.4 1.9) (layers F.Cu F.Paste F.Mask))
@ -712,9 +704,9 @@
(fp_line (start 2.7 -1) (end 2.7 1) (layer F.SilkS) (width 0.15))
(fp_line (start 1.45 -2.7) (end 1.9 -2.25) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 1 smd rect (at 3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 2 smd rect (at -3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 10 BTN_F1))
(pad 2 smd rect (at 3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
@ -755,9 +747,9 @@
(fp_line (start 2.7 -1) (end 2.7 1) (layer F.SilkS) (width 0.15))
(fp_line (start 1.45 -2.7) (end 1.9 -2.25) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 1 smd rect (at 3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 2 smd rect (at -3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 11 BTN_F2))
(pad 2 smd rect (at 3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
@ -798,9 +790,9 @@
(fp_line (start 2.7 -1) (end 2.7 1) (layer F.SilkS) (width 0.15))
(fp_line (start 1.45 -2.7) (end 1.9 -2.25) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 1 smd rect (at 3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 2 smd rect (at -3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 14 BTN_U))
(pad 2 smd rect (at 3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
@ -841,9 +833,9 @@
(fp_line (start 2.7 -1) (end 2.7 1) (layer F.SilkS) (width 0.15))
(fp_line (start 1.45 -2.7) (end 1.9 -2.25) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 1 smd rect (at 3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 2 smd rect (at -3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 9 BTN_D))
(pad 2 smd rect (at 3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
@ -884,9 +876,9 @@
(fp_line (start 2.7 -1) (end 2.7 1) (layer F.SilkS) (width 0.15))
(fp_line (start 1.45 -2.7) (end 1.9 -2.25) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 1 smd rect (at 3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 2 smd rect (at -3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 12 BTN_L))
(pad 2 smd rect (at 3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
@ -927,9 +919,9 @@
(fp_line (start 2.7 -1) (end 2.7 1) (layer F.SilkS) (width 0.15))
(fp_line (start 1.45 -2.7) (end 1.9 -2.25) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 1 smd rect (at 3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(pad 2 smd rect (at -3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 13 BTN_R))
(pad 2 smd rect (at 3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
@ -970,7 +962,7 @@
(fp_line (start -1.9 0.95) (end -1.9 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -0.95) (end 1.9 -0.95) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 118 LED0))
(net 111 LED0))
(pad 1 smd rect (at -1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model LEDs.3dshapes/LED_0805.wrl
@ -1014,7 +1006,7 @@
(fp_line (start -1.9 0.95) (end -1.9 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -0.95) (end 1.9 -0.95) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 119 LED1))
(net 112 LED1))
(pad 1 smd rect (at -1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model LEDs.3dshapes/LED_0805.wrl
@ -1058,7 +1050,7 @@
(fp_line (start -1.9 0.95) (end -1.9 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -0.95) (end 1.9 -0.95) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 120 LED2))
(net 113 LED2))
(pad 1 smd rect (at -1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model LEDs.3dshapes/LED_0805.wrl
@ -1102,7 +1094,7 @@
(fp_line (start -1.9 0.95) (end -1.9 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -0.95) (end 1.9 -0.95) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 121 LED3))
(net 114 LED3))
(pad 1 smd rect (at -1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model LEDs.3dshapes/LED_0805.wrl
@ -1146,7 +1138,7 @@
(fp_line (start -1.9 0.95) (end -1.9 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -0.95) (end 1.9 -0.95) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 122 LED4))
(net 115 LED4))
(pad 1 smd rect (at -1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model LEDs.3dshapes/LED_0805.wrl
@ -1190,7 +1182,7 @@
(fp_line (start -1.9 0.95) (end -1.9 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -0.95) (end 1.9 -0.95) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 123 LED5))
(net 116 LED5))
(pad 1 smd rect (at -1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model LEDs.3dshapes/LED_0805.wrl
@ -1234,7 +1226,7 @@
(fp_line (start -1.9 0.95) (end -1.9 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -0.95) (end 1.9 -0.95) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 124 LED6))
(net 117 LED6))
(pad 1 smd rect (at -1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model LEDs.3dshapes/LED_0805.wrl
@ -1278,7 +1270,7 @@
(fp_line (start -1.9 0.95) (end -1.9 -0.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.9 -0.95) (end 1.9 -0.95) (layer F.CrtYd) (width 0.05))
(pad 2 smd rect (at 1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 125 LED7))
(net 118 LED7))
(pad 1 smd rect (at -1.04902 0) (size 1.19888 1.19888) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model LEDs.3dshapes/LED_0805.wrl
@ -1666,7 +1658,7 @@
(pad 1 smd rect (at -1.651 0) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 2 +5V))
(pad 2 smd rect (at 1.651 0) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 60 USB5V))
(net 53 USB5V))
(model SMD_Packages.3dshapes/SMD-1206_Pol.wrl
(at (xyz 0 0 0))
(scale (xyz 0.17 0.16 0.16))
@ -1869,7 +1861,7 @@
(pad 1 smd rect (at -1.05 0 270) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 30 /power/SHUT))
(pad 2 smd rect (at 1.05 0 270) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 47 SHUTDOWN))
(net 40 SHUTDOWN))
)
(module Diodes_SMD:D_0805 (layer B.Cu) (tedit 574BBB4C) (tstamp 58D7A84D)
@ -1903,7 +1895,7 @@
(pad 1 smd rect (at -1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 18 /power/PWREN))
(pad 2 smd rect (at 1.05 0 90) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 38 FTDI_nSUSPEND))
(net 36 FTDI_nSUSPEND))
)
(module usb_otg:USB-MICRO-B-FCI-10118192-0001LF (layer B.Cu) (tedit 55D9BD68) (tstamp 58D81F93)
@ -1943,11 +1935,11 @@
(pad "" smd rect (at -1.2 0) (size 1.9 1.9) (layers B.Cu B.Paste B.Mask))
(pad "" smd rect (at 1.2 0) (size 1.9 1.9) (layers B.Cu B.Paste B.Mask))
(pad 1 smd rect (at -1.3 2.675) (size 0.4 1.35) (layers B.Cu B.Paste B.Mask)
(net 60 USB5V))
(net 53 USB5V))
(pad 2 smd rect (at -0.65 2.675) (size 0.4 1.35) (layers B.Cu B.Paste B.Mask)
(net 39 USB_FTDI_DM))
(net 37 USB_FTDI_DM))
(pad 3 smd rect (at 0 2.675) (size 0.4 1.35) (layers B.Cu B.Paste B.Mask)
(net 40 USB_FTDI_DP))
(net 38 USB_FTDI_DP))
(pad 4 smd rect (at 0.65 2.675) (size 0.4 1.35) (layers B.Cu B.Paste B.Mask))
(pad 5 smd rect (at 1.3 2.675) (size 0.4 1.35) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
@ -2022,13 +2014,13 @@
(fp_line (start -8.5 2.5) (end -8.5 -2.5) (layer B.SilkS) (width 0.1524))
(fp_line (start -8.5 -2.5) (end -7 -2.5) (layer B.SilkS) (width 0.1524))
(pad 1 smd rect (at -6.4 -3.7 270) (size 2.2 2.8) (layers B.Cu B.Paste B.Mask)
(net 48 /analog/AUDIO_L))
(net 41 /analog/AUDIO_L))
(pad 4 smd rect (at -3.6 -3.7 270) (size 2.2 2.8) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 5.8 -3.7 270) (size 2.8 2.8) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 5 smd rect (at 9.9 0.75 270) (size 2.8 2.8) (layers B.Cu B.Paste B.Mask))
(pad 3 smd rect (at -1.7 3.7 270) (size 2 2.8) (layers B.Cu B.Paste B.Mask)
(net 49 /analog/AUDIO_R))
(net 42 /analog/AUDIO_R))
(pad 6 smd rect (at -4.5 3.7 270) (size 2 2.8) (layers B.Cu B.Paste B.Mask))
(pad "" np_thru_hole circle (at -2.5 0 270) (size 1.7 1.7) (drill 1.7) (layers *.Cu *.Mask B.SilkS)
(clearance 0.4))
@ -2167,208 +2159,6 @@
)
)
(module Capacitors_SMD:C_0805_HandSoldering (layer B.Cu) (tedit 541A9B8D) (tstamp 58D85C29)
(at 149.98 75.39 270)
(descr "Capacitor SMD 0805, hand soldering")
(tags "capacitor 0805")
(path /58D6BF46/58D88DB5)
(attr smd)
(fp_text reference C17 (at 0 2.1 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 3.3uF (at 0 -2.1 270) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1 -0.625) (end -1 0.625) (layer B.Fab) (width 0.15))
(fp_line (start 1 -0.625) (end -1 -0.625) (layer B.Fab) (width 0.15))
(fp_line (start 1 0.625) (end 1 -0.625) (layer B.Fab) (width 0.15))
(fp_line (start -1 0.625) (end 1 0.625) (layer B.Fab) (width 0.15))
(fp_line (start -2.3 1) (end 2.3 1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.3 -1) (end 2.3 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.3 1) (end -2.3 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.3 1) (end 2.3 -1) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.5 0.85) (end -0.5 0.85) (layer B.SilkS) (width 0.15))
(fp_line (start -0.5 -0.85) (end 0.5 -0.85) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.25 0 270) (size 1.5 1.25) (layers B.Cu B.Paste B.Mask)
(net 37 +1V8))
(pad 2 smd rect (at 1.25 0 270) (size 1.5 1.25) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model Capacitors_SMD.3dshapes/C_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Housings_DFN_QFN:QFN-56-1EP_7x7mm_Pitch0.4mm (layer B.Cu) (tedit 57BCC773) (tstamp 58D85C75)
(at 156.584 74.628 90)
(descr "56-Lead Plastic Ultra Thin Quad Flat, No Lead Package (MV) - 7x7x0.4 mm Body [UQFN]; (see Cypress Package Package Output Drawing 001-58740)")
(tags "QFN 0.4")
(path /58D6BF46/58D86757)
(attr smd)
(fp_text reference U6 (at 0.3 4.75 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value FT2232H-56Q (at 0 -5.5 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -2.5 3.5) (end 3.5 3.5) (layer B.Fab) (width 0.15))
(fp_line (start 3.5 3.5) (end 3.5 -3.5) (layer B.Fab) (width 0.15))
(fp_line (start 3.5 -3.5) (end -3.5 -3.5) (layer B.Fab) (width 0.15))
(fp_line (start -3.5 -3.5) (end -3.5 2.5) (layer B.Fab) (width 0.15))
(fp_line (start -3.5 2.5) (end -2.5 3.5) (layer B.Fab) (width 0.15))
(fp_line (start -4.15 4.15) (end -4.15 -4.15) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.15 4.15) (end 4.15 -4.15) (layer B.CrtYd) (width 0.05))
(fp_line (start -4.15 4.15) (end 4.15 4.15) (layer B.CrtYd) (width 0.05))
(fp_line (start -4.15 -4.15) (end 4.15 -4.15) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.65 -2.9) (end -3.65 -3.65) (layer B.SilkS) (width 0.15))
(fp_line (start -3.5 3.65) (end -2.9 3.65) (layer B.SilkS) (width 0.15))
(fp_line (start -3.65 -3.65) (end -2.9 -3.65) (layer B.SilkS) (width 0.15))
(fp_line (start 3.65 -3.65) (end 2.95 -3.65) (layer B.SilkS) (width 0.15))
(fp_line (start 3.65 3.65) (end 2.9 3.65) (layer B.SilkS) (width 0.15))
(fp_line (start 3.65 -2.9) (end 3.65 -3.65) (layer B.SilkS) (width 0.15))
(fp_line (start 3.65 3.65) (end 3.65 2.95) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -3.5 2.6 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 44 /usb/EECS))
(pad 2 smd rect (at -3.5 2.2 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 37 +1V8))
(pad 3 smd rect (at -3.5 1.8 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 36 /usb/OSCI_12M))
(pad 4 smd rect (at -3.5 1.4 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 41 /usb/OSCO_12M))
(pad 5 smd rect (at -3.5 1 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 6 smd rect (at -3.5 0.6 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 7 smd rect (at -3.5 0.2 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 39 USB_FTDI_DM))
(pad 8 smd rect (at -3.5 -0.2 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 40 USB_FTDI_DP))
(pad 9 smd rect (at -3.5 -0.6 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 10 smd rect (at -3.5 -1 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 11 smd rect (at -3.5 -1.4 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 12 smd rect (at -3.5 -1.8 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 25 JTAG_TCK))
(pad 15 smd rect (at -2.6 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 26 JTAG_TMS))
(pad 16 smd rect (at -2.2 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 17 smd rect (at -1.8 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 18 smd rect (at -1.4 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 19 smd rect (at -1 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 20 smd rect (at -0.6 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 21 smd rect (at -0.2 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 22 smd rect (at 0.2 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 23 smd rect (at 0.6 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 24 smd rect (at 1 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 57 smd rect (at -2.1 2.1 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 13 smd rect (at -3.5 -2.2 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 24 JTAG_TDI))
(pad 14 smd rect (at -3.5 -2.6 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 27 JTAG_TDO))
(pad 25 smd rect (at 1.4 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 26 smd rect (at 1.8 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 27 smd rect (at 2.2 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 28 smd rect (at 2.6 -3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 42 smd rect (at 3.5 2.6 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 37 +1V8))
(pad 41 smd rect (at 3.5 2.2 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 39 smd rect (at 3.5 1.4 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 38 smd rect (at 3.5 1 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 40 smd rect (at 3.5 1.8 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 35 smd rect (at 3.5 -0.2 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 34 smd rect (at 3.5 -0.6 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 33 smd rect (at 3.5 -1 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 36 smd rect (at 3.5 0.2 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 37 smd rect (at 3.5 0.6 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 30 smd rect (at 3.5 -2.2 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 38 FTDI_nSUSPEND))
(pad 31 smd rect (at 3.5 -1.8 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 37 +1V8))
(pad 32 smd rect (at 3.5 -1.4 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 29 smd rect (at 3.5 -2.6 90) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 55 smd rect (at -2.2 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 46 /usb/EEDATA))
(pad 56 smd rect (at -2.6 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 43 /usb/EECLK))
(pad 51 smd rect (at -0.6 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 49 smd rect (at 0.2 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 52 smd rect (at -1 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 53 smd rect (at -1.4 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 50 smd rect (at -0.2 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 54 smd rect (at -1.8 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 45 smd rect (at 1.8 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 47 smd rect (at 1 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 46 smd rect (at 1.4 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 44 smd rect (at 2.2 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 43 smd rect (at 2.6 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 48 smd rect (at 0.6 3.5) (size 0.8 0.2) (layers B.Cu B.Paste B.Mask))
(pad 57 smd rect (at -0.7 2.1 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at 0.7 2.1 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at 2.1 2.1 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at 2.1 0.7 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at 0.7 0.7 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at -2.1 0.7 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at -0.7 0.7 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at 2.1 -0.7 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at 0.7 -0.7 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at -2.1 -0.7 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at -0.7 -0.7 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at 2.1 -2.1 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at 0.7 -2.1 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at -2.1 -2.1 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
(pad 57 smd rect (at -0.7 -2.1 90) (size 1.4 1.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_paste_margin_ratio -0.2))
)
(module Crystals:Crystal_HC49-SD_SMD (layer F.Cu) (tedit 0) (tstamp 58D85C7B)
(at 162.172 68.532)
(descr "Crystal Quarz HC49-SD SMD")
(tags "Crystal Quarz HC49-SD SMD")
(path /58D6BF46/58D8A211)
(attr smd)
(fp_text reference Y2 (at 0 -5.08) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 12MHz (at 2.54 5.08) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 0.8509 0) (layer F.Adhes) (width 0.381))
(fp_circle (center 0 0) (end 0.50038 0) (layer F.Adhes) (width 0.381))
(fp_circle (center 0 0) (end 0.14986 0.0508) (layer F.Adhes) (width 0.381))
(fp_line (start -5.84962 2.49936) (end 5.84962 2.49936) (layer F.SilkS) (width 0.15))
(fp_line (start 5.84962 -2.49936) (end -5.84962 -2.49936) (layer F.SilkS) (width 0.15))
(fp_line (start 5.84962 2.49936) (end 5.84962 1.651) (layer F.SilkS) (width 0.15))
(fp_line (start 5.84962 -2.49936) (end 5.84962 -1.651) (layer F.SilkS) (width 0.15))
(fp_line (start -5.84962 2.49936) (end -5.84962 1.651) (layer F.SilkS) (width 0.15))
(fp_line (start -5.84962 -2.49936) (end -5.84962 -1.651) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -4.84886 0) (size 5.6007 2.10058) (layers F.Cu F.Paste F.Mask)
(net 36 /usb/OSCI_12M))
(pad 2 smd rect (at 4.84886 0) (size 5.6007 2.10058) (layers F.Cu F.Paste F.Mask)
(net 41 /usb/OSCO_12M))
)
(module TO_SOT_Packages_SMD:SOT-23_Handsoldering (layer B.Cu) (tedit 583F3954) (tstamp 58D86548)
(at 156.33 89.36 180)
(descr "SOT-23, Handsoldering")
@ -2435,7 +2225,7 @@
(pad 2 smd rect (at -1.5 -0.95 90) (size 1.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 3 smd rect (at 1.5 0 90) (size 1.9 0.8) (layers B.Cu B.Paste B.Mask)
(net 42 "Net-(Q2-Pad3)"))
(net 39 "Net-(Q2-Pad3)"))
(model TO_SOT_Packages_SMD.3dshapes/SOT-23.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -2443,57 +2233,6 @@
)
)
(module Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm (layer B.Cu) (tedit 54130A77) (tstamp 58D8D462)
(at 165.22 74.12)
(descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
(tags "SOIC 1.27")
(path /58D6BF46/58D8D21C)
(attr smd)
(fp_text reference U8 (at 0 3.5) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 93CxxC (at 0 -3.5) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.95 2.45) (end 1.95 2.45) (layer B.Fab) (width 0.15))
(fp_line (start 1.95 2.45) (end 1.95 -2.45) (layer B.Fab) (width 0.15))
(fp_line (start 1.95 -2.45) (end -1.95 -2.45) (layer B.Fab) (width 0.15))
(fp_line (start -1.95 -2.45) (end -1.95 1.45) (layer B.Fab) (width 0.15))
(fp_line (start -1.95 1.45) (end -0.95 2.45) (layer B.Fab) (width 0.15))
(fp_line (start -3.75 2.75) (end -3.75 -2.75) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.75 2.75) (end 3.75 -2.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.75 2.75) (end 3.75 2.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.75 -2.75) (end 3.75 -2.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -2.075 2.575) (end -2.075 2.525) (layer B.SilkS) (width 0.15))
(fp_line (start 2.075 2.575) (end 2.075 2.43) (layer B.SilkS) (width 0.15))
(fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer B.SilkS) (width 0.15))
(fp_line (start -2.075 -2.575) (end -2.075 -2.43) (layer B.SilkS) (width 0.15))
(fp_line (start -2.075 2.575) (end 2.075 2.575) (layer B.SilkS) (width 0.15))
(fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer B.SilkS) (width 0.15))
(fp_line (start -2.075 2.525) (end -3.475 2.525) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -2.7 1.905) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 44 /usb/EECS))
(pad 2 smd rect (at -2.7 0.635) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 43 /usb/EECLK))
(pad 3 smd rect (at -2.7 -0.635) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 46 /usb/EEDATA))
(pad 4 smd rect (at -2.7 -1.905) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 45 "Net-(R11-Pad2)"))
(pad 5 smd rect (at 2.7 -1.905) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 6 smd rect (at 2.7 -0.635) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 7 smd rect (at 2.7 0.635) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 8 smd rect (at 2.7 1.905) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(model Housings_SOIC.3dshapes/SOIC-8_3.9x4.9mm_Pitch1.27mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_SMD:C_0603_HandSoldering (layer B.Cu) (tedit 541A9B4D) (tstamp 58D8EBBE)
(at 135.375 73.485 90)
(descr "Capacitor SMD 0603, hand soldering")
@ -2626,72 +2365,6 @@
)
)
(module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 541A9B4D) (tstamp 58D8EBD2)
(at 160.14 64.976)
(descr "Capacitor SMD 0603, hand soldering")
(tags "capacitor 0603")
(path /58D6BF46/58D8C14C)
(attr smd)
(fp_text reference C15 (at 0 -1.9) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 27pF (at 0 1.9) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.15))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.15))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.15))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.15))
(fp_line (start -1.85 -0.75) (end 1.85 -0.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.85 0.75) (end 1.85 0.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.85 -0.75) (end -1.85 0.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.85 -0.75) (end 1.85 0.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15))
(fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
(net 36 /usb/OSCI_12M))
(pad 2 smd rect (at 0.95 0) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model Capacitors_SMD.3dshapes/C_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_SMD:C_0603_HandSoldering (layer F.Cu) (tedit 541A9B4D) (tstamp 58D8EBD7)
(at 164.204 64.976 180)
(descr "Capacitor SMD 0603, hand soldering")
(tags "capacitor 0603")
(path /58D6BF46/58D8C189)
(attr smd)
(fp_text reference C16 (at 0 -1.9 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 27pF (at 0 1.9 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.15))
(fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.15))
(fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.15))
(fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.15))
(fp_line (start -1.85 -0.75) (end 1.85 -0.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.85 0.75) (end 1.85 0.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.85 -0.75) (end -1.85 0.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.85 -0.75) (end 1.85 0.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -0.35 -0.6) (end 0.35 -0.6) (layer F.SilkS) (width 0.15))
(fp_line (start 0.35 0.6) (end -0.35 0.6) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
(net 41 /usb/OSCO_12M))
(pad 2 smd rect (at 0.95 0 180) (size 1.2 0.75) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model Capacitors_SMD.3dshapes/C_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58307AEF) (tstamp 58D8ED64)
(at 150.996 88.852 180)
(descr "Resistor SMD 0603, hand soldering")
@ -2882,7 +2555,7 @@
(pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 55 /blinkey/BTNPU))
(net 48 /blinkey/BTNPU))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -2915,7 +2588,7 @@
(pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 18 /power/PWREN))
(pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 42 "Net-(Q2-Pad3)"))
(net 39 "Net-(Q2-Pad3)"))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -2927,12 +2600,12 @@
(at 170.3 70.31 90)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /58D6BF46/58D8DD25)
(path /58D6BF46/58EB9CB5)
(attr smd)
(fp_text reference R9 (at 0 1.9 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10k (at 0 -1.9 90) (layer B.Fab)
(fp_text value 15k (at 0 -1.9 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
@ -2946,9 +2619,9 @@
(fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15))
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(net 131 nRESET))
(pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 43 /usb/EECLK))
(net 132 /usb/FT3V3))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -2960,78 +2633,12 @@
(at 165.22 77.93 180)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /58D6BF46/58D8DCBE)
(path /58D6BF46/58EBA6BD)
(attr smd)
(fp_text reference R10 (at 0 1.9 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10k (at 0 -1.9 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start -2 0.8) (end 2 0.8) (layer B.CrtYd) (width 0.05))
(fp_line (start -2 -0.8) (end 2 -0.8) (layer B.CrtYd) (width 0.05))
(fp_line (start -2 0.8) (end -2 -0.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 2 0.8) (end 2 -0.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15))
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 44 /usb/EECS))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58307AEF) (tstamp 58D8ED96)
(at 157.6 67.77)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /58D6BF46/58D8DC49)
(attr smd)
(fp_text reference R11 (at 0 1.9) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10k (at 0 -1.9) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1))
(fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1))
(fp_line (start -2 0.8) (end 2 0.8) (layer B.CrtYd) (width 0.05))
(fp_line (start -2 -0.8) (end 2 -0.8) (layer B.CrtYd) (width 0.05))
(fp_line (start -2 0.8) (end -2 -0.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 2 0.8) (end 2 -0.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15))
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 45 "Net-(R11-Pad2)"))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58307AEF) (tstamp 58D8ED9B)
(at 163.95 69.04 180)
(descr "Resistor SMD 0603, hand soldering")
(tags "resistor 0603")
(path /58D6BF46/58D8D814)
(attr smd)
(fp_text reference R12 (at 0 1.9 180) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 2.2k (at 0 -1.9 180) (layer B.Fab)
(fp_text value 220 (at 0 -1.9 180) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1))
@ -3045,9 +2652,9 @@
(fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15))
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 46 /usb/EEDATA))
(net 36 FTDI_nSUSPEND))
(pad 2 smd rect (at 1.1 0 180) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 45 "Net-(R11-Pad2)"))
(net 133 FTDI_nDTR))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3078,7 +2685,7 @@
(fp_line (start 0.5 -0.675) (end -0.5 -0.675) (layer B.SilkS) (width 0.15))
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 47 SHUTDOWN))
(net 40 SHUTDOWN))
(pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
@ -3310,7 +2917,7 @@
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 48 /analog/AUDIO_L))
(net 41 /analog/AUDIO_L))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3342,7 +2949,7 @@
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 48 /analog/AUDIO_L))
(net 41 /analog/AUDIO_L))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3374,7 +2981,7 @@
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 48 /analog/AUDIO_L))
(net 41 /analog/AUDIO_L))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3406,7 +3013,7 @@
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 48 /analog/AUDIO_L))
(net 41 /analog/AUDIO_L))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3438,7 +3045,7 @@
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 49 /analog/AUDIO_R))
(net 42 /analog/AUDIO_R))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3470,7 +3077,7 @@
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 49 /analog/AUDIO_R))
(net 42 /analog/AUDIO_R))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3502,7 +3109,7 @@
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 49 /analog/AUDIO_R))
(net 42 /analog/AUDIO_R))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3534,7 +3141,7 @@
(fp_line (start -0.5 0.675) (end 0.5 0.675) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask))
(pad 2 smd rect (at 1.1 0) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 49 /analog/AUDIO_R))
(net 42 /analog/AUDIO_R))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3560,113 +3167,113 @@
(pad A2 smd circle (at -6.8 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 12 BTN_L) (solder_mask_margin 0.04))
(pad A3 smd circle (at -6 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 129 GPDI_D2_P) (solder_mask_margin 0.04))
(net 122 GPDI_D2_P) (solder_mask_margin 0.04))
(pad A4 smd circle (at -5.2 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 131 GPDI_D1_P) (solder_mask_margin 0.04))
(net 124 GPDI_D1_P) (solder_mask_margin 0.04))
(pad A5 smd circle (at -4.4 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 132 GPDI_D1_N) (solder_mask_margin 0.04))
(net 125 GPDI_D1_N) (solder_mask_margin 0.04))
(pad A6 smd circle (at -3.6 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 83 /gpio/B6) (solder_mask_margin 0.04))
(net 76 /gpio/B6) (solder_mask_margin 0.04))
(pad A7 smd circle (at -2.8 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 71 /gpio/A8) (solder_mask_margin 0.04))
(net 64 /gpio/A8) (solder_mask_margin 0.04))
(pad A8 smd circle (at -2 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 72 /gpio/A7) (solder_mask_margin 0.04))
(net 65 /gpio/A7) (solder_mask_margin 0.04))
(pad A9 smd circle (at -1.2 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 66 /gpio/A9) (solder_mask_margin 0.04))
(net 59 /gpio/A9) (solder_mask_margin 0.04))
(pad A10 smd circle (at -0.4 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 63 /gpio/A10) (solder_mask_margin 0.04))
(net 56 /gpio/A10) (solder_mask_margin 0.04))
(pad A11 smd circle (at 0.4 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 64 /gpio/A11) (solder_mask_margin 0.04))
(net 57 /gpio/A11) (solder_mask_margin 0.04))
(pad A12 smd circle (at 1.2 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 109 /gpio/A12) (solder_mask_margin 0.04))
(net 102 /gpio/A12) (solder_mask_margin 0.04))
(pad A13 smd circle (at 2 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 110 /gpio/A13) (solder_mask_margin 0.04))
(net 103 /gpio/A13) (solder_mask_margin 0.04))
(pad A14 smd circle (at 2.8 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 103 /gpio/A14) (solder_mask_margin 0.04))
(net 96 /gpio/A14) (solder_mask_margin 0.04))
(pad A15 smd circle (at 3.6 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad A16 smd circle (at 4.4 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 95 /gpio/A16) (solder_mask_margin 0.04))
(net 88 /gpio/A16) (solder_mask_margin 0.04))
(pad A17 smd circle (at 5.2 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 89 /gpio/A17) (solder_mask_margin 0.04))
(net 82 /gpio/A17) (solder_mask_margin 0.04))
(pad A18 smd circle (at 6 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 87 /gpio/A18) (solder_mask_margin 0.04))
(net 80 /gpio/A18) (solder_mask_margin 0.04))
(pad A19 smd circle (at 6.8 -7.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 85 /gpio/A19) (solder_mask_margin 0.04))
(net 78 /gpio/A19) (solder_mask_margin 0.04))
(pad B1 smd circle (at -7.6 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 121 LED3) (solder_mask_margin 0.04))
(net 114 LED3) (solder_mask_margin 0.04))
(pad B2 smd circle (at -6.8 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 9 BTN_D) (solder_mask_margin 0.04))
(pad B3 smd circle (at -6 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 130 GPDI_D2_N) (solder_mask_margin 0.04))
(net 123 GPDI_D2_N) (solder_mask_margin 0.04))
(pad B4 smd circle (at -5.2 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 136 GPDI_CLK_N) (solder_mask_margin 0.04))
(net 129 GPDI_CLK_N) (solder_mask_margin 0.04))
(pad B5 smd circle (at -4.4 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 133 GPDI_D0_P) (solder_mask_margin 0.04))
(net 126 GPDI_D0_P) (solder_mask_margin 0.04))
(pad B6 smd circle (at -3.6 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 84 /gpio/A6) (solder_mask_margin 0.04))
(net 77 /gpio/A6) (solder_mask_margin 0.04))
(pad B7 smd circle (at -2.8 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 1 GND) (solder_mask_margin 0.04))
(pad B8 smd circle (at -2 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 74 /gpio/C8) (solder_mask_margin 0.04))
(net 67 /gpio/C8) (solder_mask_margin 0.04))
(pad B9 smd circle (at -1.2 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 67 /gpio/C10) (solder_mask_margin 0.04))
(net 60 /gpio/C10) (solder_mask_margin 0.04))
(pad B10 smd circle (at -0.4 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 65 /gpio/B10) (solder_mask_margin 0.04))
(net 58 /gpio/B10) (solder_mask_margin 0.04))
(pad B11 smd circle (at 0.4 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 61 /gpio/B11) (solder_mask_margin 0.04))
(net 54 /gpio/B11) (solder_mask_margin 0.04))
(pad B12 smd circle (at 1.2 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 113 /gpio/B12) (solder_mask_margin 0.04))
(net 106 /gpio/B12) (solder_mask_margin 0.04))
(pad B13 smd circle (at 2 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 107 /gpio/B13) (solder_mask_margin 0.04))
(net 100 /gpio/B13) (solder_mask_margin 0.04))
(pad B14 smd circle (at 2.8 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 1 GND) (solder_mask_margin 0.04))
(pad B15 smd circle (at 3.6 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 99 /gpio/B15) (solder_mask_margin 0.04))
(net 92 /gpio/B15) (solder_mask_margin 0.04))
(pad B16 smd circle (at 4.4 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 96 /gpio/B16) (solder_mask_margin 0.04))
(net 89 /gpio/B16) (solder_mask_margin 0.04))
(pad B17 smd circle (at 5.2 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 91 /gpio/B17) (solder_mask_margin 0.04))
(net 84 /gpio/B17) (solder_mask_margin 0.04))
(pad B18 smd circle (at 6 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 90 /gpio/B18) (solder_mask_margin 0.04))
(net 83 /gpio/B18) (solder_mask_margin 0.04))
(pad B19 smd circle (at 6.8 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 88 /gpio/B19) (solder_mask_margin 0.04))
(net 81 /gpio/B19) (solder_mask_margin 0.04))
(pad B20 smd circle (at 7.6 -6.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 86 /gpio/B20) (solder_mask_margin 0.04))
(net 79 /gpio/B20) (solder_mask_margin 0.04))
(pad C1 smd circle (at -7.6 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 10 BTN_F1) (solder_mask_margin 0.04))
(pad C2 smd circle (at -6.8 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 120 LED2) (solder_mask_margin 0.04))
(net 113 LED2) (solder_mask_margin 0.04))
(pad C3 smd circle (at -6 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 128 GPDI_ETH_P) (solder_mask_margin 0.04))
(net 121 GPDI_ETH_P) (solder_mask_margin 0.04))
(pad C4 smd circle (at -5.2 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 135 GPDI_CLK_P) (solder_mask_margin 0.04))
(net 128 GPDI_CLK_P) (solder_mask_margin 0.04))
(pad C5 smd circle (at -4.4 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 134 GPDI_D0_N) (solder_mask_margin 0.04))
(net 127 GPDI_D0_N) (solder_mask_margin 0.04))
(pad C6 smd circle (at -3.6 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 77 /gpio/C7) (solder_mask_margin 0.04))
(net 70 /gpio/C7) (solder_mask_margin 0.04))
(pad C7 smd circle (at -2.8 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 78 /gpio/C6) (solder_mask_margin 0.04))
(net 71 /gpio/C6) (solder_mask_margin 0.04))
(pad C8 smd circle (at -2 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 73 /gpio/B8) (solder_mask_margin 0.04))
(net 66 /gpio/B8) (solder_mask_margin 0.04))
(pad C9 smd circle (at -1.2 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad C10 smd circle (at -0.4 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 68 /gpio/B9) (solder_mask_margin 0.04))
(net 61 /gpio/B9) (solder_mask_margin 0.04))
(pad C11 smd circle (at 0.4 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 62 /gpio/C11) (solder_mask_margin 0.04))
(net 55 /gpio/C11) (solder_mask_margin 0.04))
(pad C12 smd circle (at 1.2 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 114 /gpio/C12) (solder_mask_margin 0.04))
(net 107 /gpio/C12) (solder_mask_margin 0.04))
(pad C13 smd circle (at 2 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 108 /gpio/C13) (solder_mask_margin 0.04))
(net 101 /gpio/C13) (solder_mask_margin 0.04))
(pad C14 smd circle (at 2.8 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 104 /gpio/C14) (solder_mask_margin 0.04))
(net 97 /gpio/C14) (solder_mask_margin 0.04))
(pad C15 smd circle (at 3.6 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 100 /gpio/C15) (solder_mask_margin 0.04))
(net 93 /gpio/C15) (solder_mask_margin 0.04))
(pad C16 smd circle (at 4.4 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 93 /gpio/C16) (solder_mask_margin 0.04))
(net 86 /gpio/C16) (solder_mask_margin 0.04))
(pad C17 smd circle (at 5.2 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 92 /gpio/C17) (solder_mask_margin 0.04))
(net 85 /gpio/C17) (solder_mask_margin 0.04))
(pad C18 smd circle (at 6 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad C19 smd circle (at 6.8 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
@ -3674,37 +3281,37 @@
(pad C20 smd circle (at 7.6 -6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad D1 smd circle (at -7.6 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 123 LED5) (solder_mask_margin 0.04))
(net 116 LED5) (solder_mask_margin 0.04))
(pad D2 smd circle (at -6.8 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 13 BTN_R) (solder_mask_margin 0.04))
(pad D3 smd circle (at -6 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 127 GPDI_ETH_N) (solder_mask_margin 0.04))
(net 120 GPDI_ETH_N) (solder_mask_margin 0.04))
(pad D4 smd circle (at -5.2 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 1 GND) (solder_mask_margin 0.04))
(pad D5 smd circle (at -4.4 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 52 GPDI_SDA) (solder_mask_margin 0.04))
(net 45 GPDI_SDA) (solder_mask_margin 0.04))
(pad D6 smd circle (at -3.6 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 82 /gpio/E6) (solder_mask_margin 0.04))
(net 75 /gpio/E6) (solder_mask_margin 0.04))
(pad D7 smd circle (at -2.8 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 80 /gpio/E7) (solder_mask_margin 0.04))
(net 73 /gpio/E7) (solder_mask_margin 0.04))
(pad D8 smd circle (at -2 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 76 /gpio/E8) (solder_mask_margin 0.04))
(net 69 /gpio/E8) (solder_mask_margin 0.04))
(pad D9 smd circle (at -1.2 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 69 /gpio/E9) (solder_mask_margin 0.04))
(net 62 /gpio/E9) (solder_mask_margin 0.04))
(pad D10 smd circle (at -0.4 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad D11 smd circle (at 0.4 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 115 /gpio/D11) (solder_mask_margin 0.04))
(net 108 /gpio/D11) (solder_mask_margin 0.04))
(pad D12 smd circle (at 1.2 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 111 /gpio/D12) (solder_mask_margin 0.04))
(net 104 /gpio/D12) (solder_mask_margin 0.04))
(pad D13 smd circle (at 2 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 105 /gpio/D13) (solder_mask_margin 0.04))
(net 98 /gpio/D13) (solder_mask_margin 0.04))
(pad D14 smd circle (at 2.8 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 101 /gpio/D14) (solder_mask_margin 0.04))
(net 94 /gpio/D14) (solder_mask_margin 0.04))
(pad D15 smd circle (at 3.6 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 97 /gpio/D15) (solder_mask_margin 0.04))
(net 90 /gpio/D15) (solder_mask_margin 0.04))
(pad D16 smd circle (at 4.4 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 94 /gpio/D16) (solder_mask_margin 0.04))
(net 87 /gpio/D16) (solder_mask_margin 0.04))
(pad D17 smd circle (at 5.2 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad D18 smd circle (at 6 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
@ -3714,35 +3321,35 @@
(pad D20 smd circle (at 7.6 -5.2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad E1 smd circle (at -7.6 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 122 LED4) (solder_mask_margin 0.04))
(net 115 LED4) (solder_mask_margin 0.04))
(pad E2 smd circle (at -6.8 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad E3 smd circle (at -6 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 119 LED1) (solder_mask_margin 0.04))
(net 112 LED1) (solder_mask_margin 0.04))
(pad E4 smd circle (at -5.2 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 53 GPDI_SCL) (solder_mask_margin 0.04))
(net 46 GPDI_SCL) (solder_mask_margin 0.04))
(pad E5 smd circle (at -4.4 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 137 GPDI_CEC) (solder_mask_margin 0.04))
(net 130 GPDI_CEC) (solder_mask_margin 0.04))
(pad E6 smd circle (at -3.6 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 81 /gpio/D6) (solder_mask_margin 0.04))
(net 74 /gpio/D6) (solder_mask_margin 0.04))
(pad E7 smd circle (at -2.8 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 79 /gpio/D7) (solder_mask_margin 0.04))
(net 72 /gpio/D7) (solder_mask_margin 0.04))
(pad E8 smd circle (at -2 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 75 /gpio/D8) (solder_mask_margin 0.04))
(net 68 /gpio/D8) (solder_mask_margin 0.04))
(pad E9 smd circle (at -1.2 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 70 /gpio/D9) (solder_mask_margin 0.04))
(net 63 /gpio/D9) (solder_mask_margin 0.04))
(pad E10 smd circle (at -0.4 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad E11 smd circle (at 0.4 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 116 /gpio/E11) (solder_mask_margin 0.04))
(net 109 /gpio/E11) (solder_mask_margin 0.04))
(pad E12 smd circle (at 1.2 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 112 /gpio/E12) (solder_mask_margin 0.04))
(net 105 /gpio/E12) (solder_mask_margin 0.04))
(pad E13 smd circle (at 2 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 106 /gpio/E13) (solder_mask_margin 0.04))
(net 99 /gpio/E13) (solder_mask_margin 0.04))
(pad E14 smd circle (at 2.8 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 102 /gpio/E14) (solder_mask_margin 0.04))
(net 95 /gpio/E14) (solder_mask_margin 0.04))
(pad E15 smd circle (at 3.6 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 98 /gpio/E15) (solder_mask_margin 0.04))
(net 91 /gpio/E15) (solder_mask_margin 0.04))
(pad E16 smd circle (at 4.4 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad E17 smd circle (at 5.2 -4.4) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
@ -3762,7 +3369,7 @@
(pad F4 smd circle (at -5.2 -3.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 14 BTN_U) (solder_mask_margin 0.04))
(pad F5 smd circle (at -4.4 -3.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 118 LED0) (solder_mask_margin 0.04))
(net 111 LED0) (solder_mask_margin 0.04))
(pad F6 smd circle (at -3.6 -3.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 16 +2V5) (solder_mask_margin 0.04))
(pad F7 smd circle (at -2.8 -3.6) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
@ -3802,7 +3409,7 @@
(pad G4 smd circle (at -5.2 -2.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 1 GND) (solder_mask_margin 0.04))
(pad G5 smd circle (at -4.4 -2.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 125 LED7) (solder_mask_margin 0.04))
(net 118 LED7) (solder_mask_margin 0.04))
(pad G6 smd circle (at -3.6 -2.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 1 GND) (solder_mask_margin 0.04))
(pad G7 smd circle (at -2.8 -2.8) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
@ -3838,9 +3445,9 @@
(pad H2 smd circle (at -6.8 -2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(solder_mask_margin 0.04))
(pad H3 smd circle (at -6 -2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 124 LED6) (solder_mask_margin 0.04))
(net 117 LED6) (solder_mask_margin 0.04))
(pad H4 smd circle (at -5.2 -2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 126 BTN_PWRn) (solder_mask_margin 0.04))
(net 119 BTN_PWRn) (solder_mask_margin 0.04))
(pad H5 smd circle (at -4.4 -2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
(net 11 BTN_F2) (solder_mask_margin 0.04))
(pad H6 smd circle (at -3.6 -2) (size 0.35 0.35) (layers F.Cu F.Paste F.Mask)
@ -4365,41 +3972,41 @@
(pad 0 thru_hole oval (at -7.3 1.45 180) (size 1.3 2.3) (drill oval 0.8 1.8) (layers *.Cu *.Mask B.SilkS)
(net 1 GND))
(pad 1 smd rect (at -4.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 127 GPDI_ETH_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 120 GPDI_ETH_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 2 smd rect (at -4 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 128 GPDI_ETH_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 121 GPDI_ETH_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 3 smd rect (at -3.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 129 GPDI_D2_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 122 GPDI_D2_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 4 smd rect (at -3 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 5 smd rect (at -2.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 130 GPDI_D2_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 123 GPDI_D2_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 6 smd rect (at -2 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 131 GPDI_D1_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 124 GPDI_D1_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 7 smd rect (at -1.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 8 smd rect (at -1 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 132 GPDI_D1_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 125 GPDI_D1_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 9 smd rect (at -0.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 133 GPDI_D0_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 126 GPDI_D0_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 10 smd rect (at 0 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 11 smd rect (at 0.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 134 GPDI_D0_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 127 GPDI_D0_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 12 smd rect (at 1 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 135 GPDI_CLK_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 128 GPDI_CLK_P) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 13 smd rect (at 1.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 14 smd rect (at 2 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 136 GPDI_CLK_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 129 GPDI_CLK_N) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 15 smd rect (at 2.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 137 GPDI_CEC) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 130 GPDI_CEC) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 16 smd rect (at 3 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 1 GND) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 17 smd rect (at 3.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 50 GPDI_5V_SCL) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 43 GPDI_5V_SCL) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 18 smd rect (at 4 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 51 GPDI_5V_SDA) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(net 44 GPDI_5V_SDA) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 19 smd rect (at 4.5 -5.55 90) (size 1.6 0.25) (layers B.Cu B.Paste B.Mask)
(net 2 +5V) (solder_mask_margin 0.05) (solder_paste_margin -0.01))
(pad 0 thru_hole oval (at 7.3 1.45 180) (size 1.3 2.3) (drill oval 0.8 1.8) (layers *.Cu *.Mask B.SilkS)
@ -4517,17 +4124,17 @@
(pad 2 smd rect (at -2.7 0.635) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 3 smd rect (at -2.7 -0.635) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 53 GPDI_SCL))
(net 46 GPDI_SCL))
(pad 4 smd rect (at -2.7 -1.905) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 52 GPDI_SDA))
(net 45 GPDI_SDA))
(pad 5 smd rect (at 2.7 -1.905) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 51 GPDI_5V_SDA))
(net 44 GPDI_5V_SDA))
(pad 6 smd rect (at 2.7 -0.635) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 50 GPDI_5V_SCL))
(net 43 GPDI_5V_SCL))
(pad 7 smd rect (at 2.7 0.635) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 54 /gpdi/VREF2))
(net 47 /gpdi/VREF2))
(pad 8 smd rect (at 2.7 1.905) (size 1.55 0.6) (layers B.Cu B.Paste B.Mask)
(net 54 /gpdi/VREF2))
(net 47 /gpdi/VREF2))
(model Housings_SOIC.3dshapes/SOIC-8_3.9x4.9mm_Pitch1.27mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -4645,13 +4252,13 @@
(pad 24 smd oval (at 5.662434 -8.482662 90) (size 0.9 2.5) (layers B.Cu B.Paste B.Mask))
(pad 23 smd oval (at 4.392434 -8.482662 90) (size 0.9 2.5) (layers B.Cu B.Paste B.Mask))
(pad 22 smd oval (at 3.122434 -8.482662 90) (size 0.9 2.5) (layers B.Cu B.Paste B.Mask)
(net 59 SD_D1))
(net 52 SD_D1))
(pad 21 smd oval (at 1.852434 -8.482662 90) (size 0.9 2.5) (layers B.Cu B.Paste B.Mask)
(net 58 SD_D0))
(net 51 SD_D0))
(pad 20 smd oval (at 0.582434 -8.482662 90) (size 0.9 2.5) (layers B.Cu B.Paste B.Mask)
(net 57 SD_CLK))
(net 50 SD_CLK))
(pad 19 smd oval (at -0.687566 -8.482662 90) (size 0.9 2.5) (layers B.Cu B.Paste B.Mask)
(net 56 SD_CMD))
(net 49 SD_CMD))
(pad 18 smd oval (at -1.957566 -8.482662 90) (size 0.9 2.5) (layers B.Cu B.Paste B.Mask)
(net 23 SD_3))
(pad 17 smd oval (at -3.227566 -8.482662 90) (size 0.9 2.5) (layers B.Cu B.Paste B.Mask))
@ -4677,14 +4284,14 @@
)
(module Socket_Strips:Socket_Strip_Angled_2x20 (layer F.Cu) (tedit 0) (tstamp 58E6BE3D)
(at 110.61 105.87)
(at 91.56 62.69 270)
(descr "Through hole socket strip")
(tags "socket strip")
(path /56AC389C/58E6B7F6)
(fp_text reference J1 (at 0 -4.6) (layer F.SilkS)
(fp_text reference J1 (at 0 -4.6 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value CONN_02X20 (at 0 -2.6) (layer F.Fab)
(fp_text value CONN_02X20 (at 0 -2.6 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.75 -1.35) (end -1.75 13.15) (layer F.CrtYd) (width 0.05))
@ -4774,85 +4381,85 @@
(fp_line (start -1.27 3.81) (end -1.27 12.64) (layer F.SilkS) (width 0.15))
(fp_line (start -1.27 12.64) (end 1.27 12.64) (layer F.SilkS) (width 0.15))
(fp_line (start 1.27 12.64) (end 1.27 3.81) (layer F.SilkS) (width 0.15))
(pad 1 thru_hole rect (at 0 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 1 thru_hole rect (at 0 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 5 +3V3))
(pad 2 thru_hole oval (at 0 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 5 +3V3))
(pad 3 thru_hole oval (at 2.54 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 3 thru_hole oval (at 2.54 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 4 thru_hole oval (at 2.54 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 4 thru_hole oval (at 2.54 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 5 thru_hole oval (at 5.08 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 61 /gpio/B11))
(pad 6 thru_hole oval (at 5.08 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 62 /gpio/C11))
(pad 7 thru_hole oval (at 7.62 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 63 /gpio/A10))
(pad 8 thru_hole oval (at 7.62 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 64 /gpio/A11))
(pad 9 thru_hole oval (at 10.16 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 65 /gpio/B10))
(pad 10 thru_hole oval (at 10.16 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 66 /gpio/A9))
(pad 11 thru_hole oval (at 12.7 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 67 /gpio/C10))
(pad 12 thru_hole oval (at 12.7 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 68 /gpio/B9))
(pad 13 thru_hole oval (at 15.24 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 69 /gpio/E9))
(pad 14 thru_hole oval (at 15.24 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 70 /gpio/D9))
(pad 15 thru_hole oval (at 17.78 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 71 /gpio/A8))
(pad 16 thru_hole oval (at 17.78 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 72 /gpio/A7))
(pad 17 thru_hole oval (at 20.32 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 73 /gpio/B8))
(pad 18 thru_hole oval (at 20.32 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 74 /gpio/C8))
(pad 19 thru_hole oval (at 22.86 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 5 thru_hole oval (at 5.08 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 54 /gpio/B11))
(pad 6 thru_hole oval (at 5.08 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 55 /gpio/C11))
(pad 7 thru_hole oval (at 7.62 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 56 /gpio/A10))
(pad 8 thru_hole oval (at 7.62 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 57 /gpio/A11))
(pad 9 thru_hole oval (at 10.16 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 58 /gpio/B10))
(pad 10 thru_hole oval (at 10.16 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 59 /gpio/A9))
(pad 11 thru_hole oval (at 12.7 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 60 /gpio/C10))
(pad 12 thru_hole oval (at 12.7 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 61 /gpio/B9))
(pad 13 thru_hole oval (at 15.24 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 62 /gpio/E9))
(pad 14 thru_hole oval (at 15.24 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 63 /gpio/D9))
(pad 15 thru_hole oval (at 17.78 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 64 /gpio/A8))
(pad 16 thru_hole oval (at 17.78 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 65 /gpio/A7))
(pad 17 thru_hole oval (at 20.32 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 66 /gpio/B8))
(pad 18 thru_hole oval (at 20.32 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 67 /gpio/C8))
(pad 19 thru_hole oval (at 22.86 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 5 +3V3))
(pad 20 thru_hole oval (at 22.86 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 20 thru_hole oval (at 22.86 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 5 +3V3))
(pad 21 thru_hole oval (at 25.4 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 21 thru_hole oval (at 25.4 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 22 thru_hole oval (at 25.4 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 22 thru_hole oval (at 25.4 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 23 thru_hole oval (at 27.94 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 75 /gpio/D8))
(pad 24 thru_hole oval (at 27.94 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 76 /gpio/E8))
(pad 25 thru_hole oval (at 30.48 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 77 /gpio/C7))
(pad 26 thru_hole oval (at 30.48 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 78 /gpio/C6))
(pad 27 thru_hole oval (at 33.02 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 79 /gpio/D7))
(pad 28 thru_hole oval (at 33.02 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 80 /gpio/E7))
(pad 29 thru_hole oval (at 35.56 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 81 /gpio/D6))
(pad 30 thru_hole oval (at 35.56 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 82 /gpio/E6))
(pad 31 thru_hole oval (at 38.1 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 83 /gpio/B6))
(pad 32 thru_hole oval (at 38.1 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 84 /gpio/A6))
(pad 33 thru_hole oval (at 40.64 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 85 /gpio/A19))
(pad 34 thru_hole oval (at 40.64 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 86 /gpio/B20))
(pad 35 thru_hole oval (at 43.18 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 87 /gpio/A18))
(pad 36 thru_hole oval (at 43.18 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 88 /gpio/B19))
(pad 37 thru_hole oval (at 45.72 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 23 thru_hole oval (at 27.94 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 68 /gpio/D8))
(pad 24 thru_hole oval (at 27.94 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 69 /gpio/E8))
(pad 25 thru_hole oval (at 30.48 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 70 /gpio/C7))
(pad 26 thru_hole oval (at 30.48 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 71 /gpio/C6))
(pad 27 thru_hole oval (at 33.02 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 72 /gpio/D7))
(pad 28 thru_hole oval (at 33.02 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 73 /gpio/E7))
(pad 29 thru_hole oval (at 35.56 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 74 /gpio/D6))
(pad 30 thru_hole oval (at 35.56 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 75 /gpio/E6))
(pad 31 thru_hole oval (at 38.1 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 76 /gpio/B6))
(pad 32 thru_hole oval (at 38.1 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 77 /gpio/A6))
(pad 33 thru_hole oval (at 40.64 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 78 /gpio/A19))
(pad 34 thru_hole oval (at 40.64 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 79 /gpio/B20))
(pad 35 thru_hole oval (at 43.18 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 80 /gpio/A18))
(pad 36 thru_hole oval (at 43.18 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 81 /gpio/B19))
(pad 37 thru_hole oval (at 45.72 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 38 thru_hole oval (at 45.72 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 38 thru_hole oval (at 45.72 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 39 thru_hole oval (at 48.26 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 39 thru_hole oval (at 48.26 0 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 5 +3V3))
(pad 40 thru_hole oval (at 48.26 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(pad 40 thru_hole oval (at 48.26 2.54 270) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 5 +3V3))
(model Socket_Strips.3dshapes/Socket_Strip_Angled_2x20.wrl
(at (xyz 0.95 -0.05 0))
@ -4968,33 +4575,33 @@
(pad 4 thru_hole oval (at 2.54 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 5 thru_hole oval (at 5.08 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 89 /gpio/A17))
(net 82 /gpio/A17))
(pad 6 thru_hole oval (at 5.08 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 90 /gpio/B18))
(net 83 /gpio/B18))
(pad 7 thru_hole oval (at 7.62 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 91 /gpio/B17))
(net 84 /gpio/B17))
(pad 8 thru_hole oval (at 7.62 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 92 /gpio/C17))
(net 85 /gpio/C17))
(pad 9 thru_hole oval (at 10.16 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 93 /gpio/C16))
(net 86 /gpio/C16))
(pad 10 thru_hole oval (at 10.16 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 94 /gpio/D16))
(net 87 /gpio/D16))
(pad 11 thru_hole oval (at 12.7 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 95 /gpio/A16))
(net 88 /gpio/A16))
(pad 12 thru_hole oval (at 12.7 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 96 /gpio/B16))
(net 89 /gpio/B16))
(pad 13 thru_hole oval (at 15.24 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 97 /gpio/D15))
(net 90 /gpio/D15))
(pad 14 thru_hole oval (at 15.24 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 98 /gpio/E15))
(net 91 /gpio/E15))
(pad 15 thru_hole oval (at 17.78 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 99 /gpio/B15))
(net 92 /gpio/B15))
(pad 16 thru_hole oval (at 17.78 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 100 /gpio/C15))
(net 93 /gpio/C15))
(pad 17 thru_hole oval (at 20.32 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 101 /gpio/D14))
(net 94 /gpio/D14))
(pad 18 thru_hole oval (at 20.32 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 102 /gpio/E14))
(net 95 /gpio/E14))
(pad 19 thru_hole oval (at 22.86 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 5 +3V3))
(pad 20 thru_hole oval (at 22.86 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
@ -5004,33 +4611,33 @@
(pad 22 thru_hole oval (at 25.4 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 23 thru_hole oval (at 27.94 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 103 /gpio/A14))
(net 96 /gpio/A14))
(pad 24 thru_hole oval (at 27.94 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 104 /gpio/C14))
(net 97 /gpio/C14))
(pad 25 thru_hole oval (at 30.48 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 105 /gpio/D13))
(net 98 /gpio/D13))
(pad 26 thru_hole oval (at 30.48 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 106 /gpio/E13))
(net 99 /gpio/E13))
(pad 27 thru_hole oval (at 33.02 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 107 /gpio/B13))
(net 100 /gpio/B13))
(pad 28 thru_hole oval (at 33.02 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 108 /gpio/C13))
(net 101 /gpio/C13))
(pad 29 thru_hole oval (at 35.56 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 109 /gpio/A12))
(net 102 /gpio/A12))
(pad 30 thru_hole oval (at 35.56 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 110 /gpio/A13))
(net 103 /gpio/A13))
(pad 31 thru_hole oval (at 38.1 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 111 /gpio/D12))
(net 104 /gpio/D12))
(pad 32 thru_hole oval (at 38.1 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 112 /gpio/E12))
(net 105 /gpio/E12))
(pad 33 thru_hole oval (at 40.64 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 113 /gpio/B12))
(net 106 /gpio/B12))
(pad 34 thru_hole oval (at 40.64 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 114 /gpio/C12))
(net 107 /gpio/C12))
(pad 35 thru_hole oval (at 43.18 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 115 /gpio/D11))
(net 108 /gpio/D11))
(pad 36 thru_hole oval (at 43.18 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 116 /gpio/E11))
(net 109 /gpio/E11))
(pad 37 thru_hole oval (at 45.72 0 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
(net 1 GND))
(pad 38 thru_hole oval (at 45.72 2.54 90) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask)
@ -5225,9 +4832,9 @@
(fp_line (start 2.7 -1) (end 2.7 1) (layer F.SilkS) (width 0.15))
(fp_line (start 1.45 -2.7) (end 1.9 -2.25) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 117 "Net-(BTN0-Pad1)"))
(net 110 "Net-(BTN0-Pad1)"))
(pad 1 smd rect (at 3.1 -1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 117 "Net-(BTN0-Pad1)"))
(net 110 "Net-(BTN0-Pad1)"))
(pad 2 smd rect (at -3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(pad 2 smd rect (at 3.1 1.85) (size 1.8 1.1) (layers F.Cu F.Paste F.Mask)
@ -5263,7 +4870,7 @@
(fp_line (start -1.1 -0.7) (end 0.7 -0.7) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 0.7) (end 0.7 0.7) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.05 0) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 117 "Net-(BTN0-Pad1)"))
(net 110 "Net-(BTN0-Pad1)"))
(pad 2 smd rect (at 1.05 0) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 28 /power/WAKEUPn))
)
@ -5297,9 +4904,9 @@
(fp_line (start -1.1 -0.7) (end 0.7 -0.7) (layer B.SilkS) (width 0.15))
(fp_line (start -1.1 0.7) (end 0.7 0.7) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.05 0) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 117 "Net-(BTN0-Pad1)"))
(net 110 "Net-(BTN0-Pad1)"))
(pad 2 smd rect (at 1.05 0) (size 0.8 0.9) (layers B.Cu B.Paste B.Mask)
(net 126 BTN_PWRn))
(net 119 BTN_PWRn))
)
(module Resistors_SMD:R_0603_HandSoldering (layer B.Cu) (tedit 58307AEF) (tstamp 58E794DF)
@ -5327,7 +4934,7 @@
(pad 1 smd rect (at -1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 +5V))
(pad 2 smd rect (at 1.1 0 270) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 117 "Net-(BTN0-Pad1)"))
(net 110 "Net-(BTN0-Pad1)"))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -5360,7 +4967,7 @@
(pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 52 GPDI_SDA))
(net 45 GPDI_SDA))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -5393,7 +5000,7 @@
(pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 5 +3V3))
(pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 53 GPDI_SCL))
(net 46 GPDI_SCL))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -5426,7 +5033,7 @@
(pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 +5V))
(pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 54 /gpdi/VREF2))
(net 47 /gpdi/VREF2))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -5459,7 +5066,7 @@
(pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 +5V))
(pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 50 GPDI_5V_SCL))
(net 43 GPDI_5V_SCL))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -5492,7 +5099,7 @@
(pad 1 smd rect (at -1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 2 +5V))
(pad 2 smd rect (at 1.1 0 90) (size 1.2 0.9) (layers B.Cu B.Paste B.Mask)
(net 51 GPDI_5V_SDA))
(net 44 GPDI_5V_SDA))
(model Resistors_SMD.3dshapes/R_0603_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -5500,6 +5107,72 @@
)
)
(module Housings_SSOP:SSOP-20_4.4x6.5mm_Pitch0.65mm (layer B.Cu) (tedit 57AFAF80) (tstamp 58EB6259)
(at 156.584 74.628 90)
(descr "SSOP20: plastic shrink small outline package; 20 leads; body width 4.4 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot266-1_po.pdf)")
(tags "SSOP 0.65")
(path /58D6BF46/58EB61C6)
(attr smd)
(fp_text reference U6 (at 0 4.3 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value FT231XS (at 0 -4.3 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.2 3.25) (end 2.2 3.25) (layer B.Fab) (width 0.15))
(fp_line (start 2.2 3.25) (end 2.2 -3.25) (layer B.Fab) (width 0.15))
(fp_line (start 2.2 -3.25) (end -2.2 -3.25) (layer B.Fab) (width 0.15))
(fp_line (start -2.2 -3.25) (end -2.2 2.25) (layer B.Fab) (width 0.15))
(fp_line (start -2.2 2.25) (end -1.2 3.25) (layer B.Fab) (width 0.15))
(fp_line (start -3.65 3.55) (end -3.65 -3.55) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.65 3.55) (end 3.65 -3.55) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.65 3.55) (end 3.65 3.55) (layer B.CrtYd) (width 0.05))
(fp_line (start -3.65 -3.55) (end 3.65 -3.55) (layer B.CrtYd) (width 0.05))
(fp_line (start 2.325 3.45) (end 2.325 3.35) (layer B.SilkS) (width 0.15))
(fp_line (start 2.325 -3.375) (end 2.325 -3.35) (layer B.SilkS) (width 0.15))
(fp_line (start -2.325 -3.375) (end -2.325 -3.35) (layer B.SilkS) (width 0.15))
(fp_line (start -3.4 3.45) (end 2.325 3.45) (layer B.SilkS) (width 0.15))
(fp_line (start -2.325 -3.375) (end 2.325 -3.375) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -2.9 2.925 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 133 FTDI_nDTR))
(pad 2 smd rect (at -2.9 2.275 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask))
(pad 3 smd rect (at -2.9 1.625 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 132 /usb/FT3V3))
(pad 4 smd rect (at -2.9 0.975 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask))
(pad 5 smd rect (at -2.9 0.325 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask))
(pad 6 smd rect (at -2.9 -0.325 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 7 smd rect (at -2.9 -0.975 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask))
(pad 8 smd rect (at -2.9 -1.625 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask))
(pad 9 smd rect (at -2.9 -2.275 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask))
(pad 10 smd rect (at -2.9 -2.925 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 27 JTAG_TDO))
(pad 11 smd rect (at 2.9 -2.925 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 38 USB_FTDI_DP))
(pad 12 smd rect (at 2.9 -2.275 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 37 USB_FTDI_DM))
(pad 13 smd rect (at 2.9 -1.625 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 132 /usb/FT3V3))
(pad 14 smd rect (at 2.9 -0.975 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 131 nRESET))
(pad 15 smd rect (at 2.9 -0.325 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 2 +5V))
(pad 16 smd rect (at 2.9 0.325 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 17 smd rect (at 2.9 0.975 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 24 JTAG_TDI))
(pad 18 smd rect (at 2.9 1.625 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 25 JTAG_TCK))
(pad 19 smd rect (at 2.9 2.275 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask)
(net 26 JTAG_TMS))
(pad 20 smd rect (at 2.9 2.925 90) (size 1 0.4) (layers B.Cu B.Paste B.Mask))
(model Housings_SSOP.3dshapes/SSOP-20_4.4x6.5mm_Pitch0.65mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(dimension 48.26 (width 0.3) (layer Eco2.User)
(gr_text "48,260 mm" (at 77.51 85.55 90) (layer Eco2.User)
(effects (font (size 1.5 1.5) (thickness 0.3)))

File diff suppressed because it is too large Load Diff

@ -1,7 +1,7 @@
(export (version D)
(design
(source /home/davor/src/circuits/fpga/ulx3s/ulx3s.sch)
(date "Pet 07 Tra 2017 15:31:57")
(date "Pon 10 Tra 2017 12:33:25")
(tool "Eeschema 4.0.5+dfsg1-4")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -636,65 +636,23 @@
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D6C841))
(comp (ref U6)
(value FT2232H-56Q)
(footprint Housings_DFN_QFN:QFN-56-1EP_7x7mm_Pitch0.4mm)
(libsource (lib ft2232) (part FT2232H-56Q))
(value FT231XS)
(footprint Housings_SSOP:SSOP-20_4.4x6.5mm_Pitch0.65mm)
(libsource (lib ftdi) (part FT231XS))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D86757))
(comp (ref C17)
(value 3.3uF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(libsource (lib device) (part C))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D88DB5))
(comp (ref Y2)
(value 12MHz)
(footprint Crystals:Crystal_HC49-SD_SMD)
(libsource (lib device) (part Crystal))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D8A211))
(comp (ref C15)
(value 27pF)
(footprint Capacitors_SMD:C_0603_HandSoldering)
(libsource (lib device) (part C))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D8C14C))
(comp (ref C16)
(value 27pF)
(footprint Capacitors_SMD:C_0603_HandSoldering)
(libsource (lib device) (part C))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D8C189))
(comp (ref U8)
(value 93CxxC)
(footprint Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm)
(libsource (lib memory) (part 93CxxC))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D8D21C))
(comp (ref R12)
(value 2.2k)
(footprint Resistors_SMD:R_0603_HandSoldering)
(libsource (lib device) (part R))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D8D814))
(comp (ref R11)
(value 10k)
(tstamp 58EB61C6))
(comp (ref R9)
(value 15k)
(footprint Resistors_SMD:R_0603_HandSoldering)
(libsource (lib device) (part R))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D8DC49))
(tstamp 58EB9CB5))
(comp (ref R10)
(value 10k)
(footprint Resistors_SMD:R_0603_HandSoldering)
(libsource (lib device) (part R))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D8DCBE))
(comp (ref R9)
(value 10k)
(value 220)
(footprint Resistors_SMD:R_0603_HandSoldering)
(libsource (lib device) (part R))
(sheetpath (names /usb/) (tstamps /58D6BF46/))
(tstamp 58D8DD25))
(tstamp 58EBA6BD))
(comp (ref U2)
(value ESP-32S)
(footprint ESP32-footprints-Lib:ESP-32S)
@ -774,21 +732,6 @@
(sheetpath (names /flash/) (tstamps /58D913EC/))
(tstamp 58D913F5)))
(libparts
(libpart (lib memory) (part 93CxxC)
(description "Serial EEPROM, 93 series in 8-pin package with ORG pin, 5.0V")
(docs http://ww1.microchip.com/downloads/en/DeviceDoc/20001749K.pdf)
(fields
(field (name Reference) U)
(field (name Value) 93CxxC))
(pins
(pin (num 1) (name CS) (type input))
(pin (num 2) (name SCLK) (type input))
(pin (num 3) (name DI) (type input))
(pin (num 4) (name DO) (type 3state))
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name ORG) (type input))
(pin (num 7) (name NC) (type input))
(pin (num 8) (name VCC) (type power_in))))
(libpart (lib ap3429a) (part AP3429A)
(description "AP3429A DC-DC Converter")
(footprints
@ -916,16 +859,6 @@
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib device) (part Crystal)
(description "Two pin crystal")
(footprints
(fp Crystal*))
(fields
(field (name Reference) Y)
(field (name Value) Crystal))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib device) (part Crystal_GND23)
(description "Four pin crystal (GND on pins 2 and 3), e.g. in SMD package")
(footprints
@ -1041,67 +974,36 @@
(pin (num 37) (name IO23) (type BiDi))
(pin (num 38) (name GND) (type power_in))
(pin (num 39) (name GND-PAD) (type power_in))))
(libpart (lib ft2232) (part FT2232H-56Q)
(libpart (lib ftdi) (part FT231XS)
(description "Full Speed USB to Full Handshake UART, SSOP-20")
(docs http://www.ftdichip.com/Products/ICs/FT231X.html)
(footprints
(fp SSOP*))
(fields
(field (name Reference) U)
(field (name Value) FT2232H-56Q))
(field (name Value) FT231XS)
(field (name Footprint) SSOP-20))
(pins
(pin (num 1) (name EECS) (type BiDi))
(pin (num 2) (name VCORE) (type power_in))
(pin (num 3) (name OSCI) (type input))
(pin (num 4) (name OSCO) (type output))
(pin (num 5) (name VPHY) (type power_in))
(pin (num 6) (name REF) (type input))
(pin (num 7) (name DM) (type BiDi))
(pin (num 8) (name DP) (type BiDi))
(pin (num 9) (name VPLL) (type power_in))
(pin (num 10) (name TEST) (type input))
(pin (num 11) (name ~RESET) (type input))
(pin (num 12) (name ADBUS0) (type BiDi))
(pin (num 13) (name ADBUS1) (type BiDi))
(pin (num 14) (name ADBUS2) (type BiDi))
(pin (num 15) (name ADBUS3) (type BiDi))
(pin (num 16) (name VCCIO) (type power_in))
(pin (num 17) (name ADBUS4) (type BiDi))
(pin (num 18) (name ADBUS5) (type BiDi))
(pin (num 19) (name ADBUS6) (type BiDi))
(pin (num 20) (name ADBUS7) (type BiDi))
(pin (num 21) (name GND) (type power_in))
(pin (num 22) (name ACBUS0) (type BiDi))
(pin (num 23) (name ACBUS1) (type BiDi))
(pin (num 24) (name ACBUS2) (type BiDi))
(pin (num 25) (name ACBUS3) (type BiDi))
(pin (num 26) (name ACBUS4) (type BiDi))
(pin (num 27) (name ACBUS5) (type BiDi))
(pin (num 28) (name ACBUS6) (type BiDi))
(pin (num 29) (name ACBUS7) (type BiDi))
(pin (num 30) (name ~SUSPEND) (type output))
(pin (num 31) (name VCORE) (type power_in))
(pin (num 32) (name BDBUS0) (type output))
(pin (num 33) (name BDBUS1) (type output))
(pin (num 34) (name BDBUS2) (type output))
(pin (num 35) (name BDBUS3) (type output))
(pin (num 36) (name VCCIO) (type power_in))
(pin (num 37) (name BDBUS4) (type output))
(pin (num 38) (name BDBUS5) (type output))
(pin (num 39) (name BDBUS6) (type output))
(pin (num 40) (name BDBUS7) (type output))
(pin (num 41) (name GND) (type power_in))
(pin (num 42) (name VREGOUT) (type power_out))
(pin (num 44) (name VREGIN) (type power_in))
(pin (num 45) (name GND) (type power_in))
(pin (num 46) (name BCBUS1) (type output))
(pin (num 47) (name BCBUS2) (type output))
(pin (num 48) (name BCBUS3) (type output))
(pin (num 49) (name BCBUS4) (type output))
(pin (num 50) (name VCCIO) (type power_in))
(pin (num 51) (name BCBUS5) (type output))
(pin (num 52) (name BCBUS6) (type output))
(pin (num 53) (name BCBUS7) (type output))
(pin (num 54) (name ~PWREN) (type output))
(pin (num 55) (name EEDATA) (type BiDi))
(pin (num 56) (name EECLK) (type output))
(pin (num 57) (name PAD) (type power_in))))
(pin (num 1) (name ~DTR) (type output))
(pin (num 2) (name ~RTS) (type input))
(pin (num 3) (name VCCIO) (type power_in))
(pin (num 4) (name RXD) (type input))
(pin (num 5) (name ~RI) (type input))
(pin (num 6) (name GND) (type power_in))
(pin (num 7) (name ~DSR) (type output))
(pin (num 8) (name ~DCD) (type input))
(pin (num 9) (name ~CTS) (type input))
(pin (num 10) (name CBUS2) (type BiDi))
(pin (num 11) (name USBDP) (type BiDi))
(pin (num 12) (name USBDM) (type BiDi))
(pin (num 13) (name 3V3OUT) (type power_out))
(pin (num 14) (name ~RESET) (type input))
(pin (num 15) (name VCC) (type power_in))
(pin (num 16) (name GND) (type power_in))
(pin (num 17) (name CBUS1) (type BiDi))
(pin (num 18) (name CBUS0) (type BiDi))
(pin (num 19) (name CBUS3) (type BiDi))
(pin (num 20) (name TXD) (type output))))
(libpart (lib conn) (part JACK_TRS_6PINS)
(description "audio jack TRS 6 pins")
(fields
@ -1703,137 +1605,145 @@
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name shield) (type passive)))))
(libraries
(library (logical micro-hdmi-d)
(uri footprints/micro-hdmi-d/micro-hdmi-d.lib))
(library (logical ft2232)
(uri footprints/usbserial/ft2232.lib))
(library (logical philips)
(uri /usr/share/kicad/library/philips.lib))
(library (logical ap3429a)
(uri footprints/dcdc_converter/ap3429a.lib))
(library (logical memory)
(uri /usr/share/kicad/library/memory.lib))
(library (logical conn)
(uri /usr/share/kicad/library/conn.lib))
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical ESP32)
(uri footprints/esp32/ESP32.lib))
(library (logical ssd_13xx)
(uri footprints/oled/ssd_13xx.lib))
(library (logical philips)
(uri /usr/share/kicad/library/philips.lib))
(library (logical lfe5bg381)
(uri footprints/lattice/lfe5bg381.lib)))
(uri footprints/lattice/lfe5bg381.lib))
(library (logical micro-hdmi-d)
(uri footprints/micro-hdmi-d/micro-hdmi-d.lib))
(library (logical ftdi)
(uri footprints/usbserial/ftdi.lib))
(library (logical ap3429a)
(uri footprints/dcdc_converter/ap3429a.lib))
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical memory)
(uri /usr/share/kicad/library/memory.lib)))
(nets
(net (code 1) (name GND)
(node (ref U1) (pin U11))
(node (ref U1) (pin V8))
(node (ref U1) (pin U8))
(node (ref U1) (pin T8))
(node (ref U1) (pin K12))
(node (ref U1) (pin J12))
(node (ref U1) (pin G12))
(node (ref U1) (pin Y11))
(node (ref U1) (pin V11))
(node (ref U1) (pin Y8))
(node (ref U1) (pin P11))
(node (ref U1) (pin T10))
(node (ref U1) (pin M11))
(node (ref U1) (pin M10))
(node (ref U1) (pin K11))
(node (ref U1) (pin J11))
(node (ref U1) (pin G11))
(node (ref U1) (pin V20))
(node (ref U1) (pin U9))
(node (ref U1) (pin L10))
(node (ref U1) (pin K10))
(node (ref U1) (pin J10))
(node (ref U1) (pin G10))
(node (ref U1) (pin V9))
(node (ref U1) (pin V10))
(node (ref U1) (pin T9))
(node (ref U1) (pin M9))
(node (ref U1) (pin L9))
(node (ref U1) (pin K9))
(node (ref U1) (pin J9))
(node (ref U1) (pin G9))
(node (ref U1) (pin Y6))
(node (ref U1) (pin G8))
(node (ref U1) (pin F8))
(node (ref U1) (pin Y7))
(node (ref U1) (pin W7))
(node (ref U1) (pin V7))
(node (ref U1) (pin J2))
(node (ref U1) (pin T7))
(node (ref U1) (pin P7))
(node (ref U1) (pin N7))
(node (ref U1) (pin M7))
(node (ref U1) (pin K7))
(node (ref U1) (pin J7))
(node (ref U1) (pin G7))
(node (ref U1) (pin K6))
(node (ref U1) (pin U10))
(node (ref U1) (pin T10))
(node (ref U1) (pin P11))
(node (ref U1) (pin L11))
(node (ref U1) (pin W6))
(node (ref U1) (pin V6))
(node (ref U1) (pin U6))
(node (ref U1) (pin T6))
(node (ref U1) (pin N6))
(node (ref U1) (pin K6))
(node (ref U1) (pin P8))
(node (ref U1) (pin G6))
(node (ref U1) (pin Y5))
(node (ref U1) (pin V5))
(node (ref U1) (pin G4))
(node (ref U1) (pin D4))
(node (ref U1) (pin M2))
(node (ref U1) (pin U11))
(node (ref U1) (pin V11))
(node (ref U1) (pin Y11))
(node (ref U1) (pin G12))
(node (ref U1) (pin J12))
(node (ref U1) (pin K12))
(node (ref U1) (pin T8))
(node (ref U1) (pin U8))
(node (ref U1) (pin V8))
(node (ref U1) (pin Y8))
(node (ref U1) (pin G9))
(node (ref U1) (pin J9))
(node (ref U1) (pin K9))
(node (ref U1) (pin L9))
(node (ref U1) (pin M9))
(node (ref U1) (pin Y6))
(node (ref U1) (pin L12))
(node (ref C1) (pin 2))
(node (ref RB1) (pin 1))
(node (ref U1) (pin U7))
(node (ref U1) (pin B7))
(node (ref U1) (pin F7))
(node (ref BTN0) (pin 2))
(node (ref U1) (pin G7))
(node (ref U1) (pin J7))
(node (ref U1) (pin K7))
(node (ref U1) (pin M7))
(node (ref U1) (pin N7))
(node (ref U1) (pin P7))
(node (ref U1) (pin T7))
(node (ref H2) (pin 1))
(node (ref U1) (pin W7))
(node (ref U1) (pin Y7))
(node (ref U1) (pin F8))
(node (ref U1) (pin G8))
(node (ref U1) (pin J2))
(node (ref U1) (pin M2))
(node (ref U1) (pin D4))
(node (ref U1) (pin G4))
(node (ref U1) (pin V5))
(node (ref U1) (pin Y5))
(node (ref U1) (pin G6))
(node (ref U1) (pin T9))
(node (ref U1) (pin U9))
(node (ref U1) (pin V9))
(node (ref U1) (pin G10))
(node (ref U1) (pin J10))
(node (ref U1) (pin K10))
(node (ref U1) (pin L10))
(node (ref R5) (pin 2))
(node (ref C14) (pin 2))
(node (ref Q2) (pin 2))
(node (ref U4) (pin 2))
(node (ref U7) (pin 4))
(node (ref R2) (pin 2))
(node (ref D13) (pin 2))
(node (ref BTN0) (pin 2))
(node (ref R13) (pin 2))
(node (ref RB3) (pin 1))
(node (ref D13) (pin 2))
(node (ref U7) (pin 4))
(node (ref C7) (pin 2))
(node (ref C5) (pin 2))
(node (ref C8) (pin 2))
(node (ref J2) (pin 3))
(node (ref J2) (pin 4))
(node (ref J2) (pin 21))
(node (ref C8) (pin 2))
(node (ref C5) (pin 2))
(node (ref RB3) (pin 1))
(node (ref C9) (pin 2))
(node (ref RB2) (pin 1))
(node (ref C11) (pin 2))
(node (ref U5) (pin 2))
(node (ref C12) (pin 2))
(node (ref RB2) (pin 1))
(node (ref C9) (pin 2))
(node (ref C4) (pin 2))
(node (ref U5) (pin 2))
(node (ref C3) (pin 2))
(node (ref U1) (pin J14))
(node (ref U1) (pin G14))
(node (ref U1) (pin F14))
(node (ref U1) (pin B14))
(node (ref U1) (pin V13))
(node (ref U1) (pin U13))
(node (ref U3) (pin 2))
(node (ref U1) (pin B7))
(node (ref U1) (pin U7))
(node (ref RB1) (pin 1))
(node (ref C1) (pin 2))
(node (ref U1) (pin L12))
(node (ref U1) (pin W12))
(node (ref U1) (pin Y12))
(node (ref U1) (pin F13))
(node (ref U1) (pin G13))
(node (ref U1) (pin R19))
(node (ref U1) (pin P13))
(node (ref U1) (pin W19))
(node (ref U1) (pin U13))
(node (ref U1) (pin V13))
(node (ref U1) (pin B14))
(node (ref U1) (pin F14))
(node (ref U1) (pin G14))
(node (ref U1) (pin J14))
(node (ref U1) (pin V12))
(node (ref C4) (pin 2))
(node (ref U1) (pin V19))
(node (ref U1) (pin N14))
(node (ref U1) (pin V15))
(node (ref U1) (pin N15))
(node (ref U1) (pin K14))
(node (ref U1) (pin K15))
(node (ref U1) (pin G15))
(node (ref U1) (pin W19))
(node (ref U3) (pin 2))
(node (ref U1) (pin V14))
(node (ref U1) (pin U14))
(node (ref R2) (pin 2))
(node (ref BAT1) (pin 2))
(node (ref U1) (pin M14))
(node (ref U1) (pin N14))
(node (ref U1) (pin P14))
(node (ref U1) (pin U14))
(node (ref U1) (pin G15))
(node (ref U1) (pin K15))
(node (ref U1) (pin K14))
(node (ref U1) (pin N15))
(node (ref U1) (pin V15))
(node (ref U1) (pin W15))
(node (ref U1) (pin M14))
(node (ref BAT1) (pin 2))
(node (ref U1) (pin M16))
(node (ref U1) (pin V16))
(node (ref U1) (pin W16))
@ -1843,74 +1753,59 @@
(node (ref U1) (pin M12))
(node (ref U1) (pin P12))
(node (ref U1) (pin U12))
(node (ref U1) (pin V12))
(node (ref U1) (pin W12))
(node (ref U1) (pin Y12))
(node (ref U1) (pin F13))
(node (ref U1) (pin G13))
(node (ref U1) (pin R19))
(node (ref C15) (pin 2))
(node (ref C16) (pin 2))
(node (ref U8) (pin 5))
(node (ref U8) (pin 7))
(node (ref U11) (pin 1))
(node (ref US1) (pin 5))
(node (ref U6) (pin 41))
(node (ref U6) (pin 21))
(node (ref C18) (pin 2))
(node (ref D0) (pin 1))
(node (ref GPDI1) (pin 16))
(node (ref GPDI1) (pin 13))
(node (ref GPDI1) (pin 10))
(node (ref GPDI1) (pin 7))
(node (ref GPDI1) (pin 4))
(node (ref GPDI1) (pin 0))
(node (ref U9) (pin 28))
(node (ref GPDI1) (pin 10))
(node (ref GPDI1) (pin 13))
(node (ref GPDI1) (pin 16))
(node (ref U11) (pin 1))
(node (ref U6) (pin 16))
(node (ref U6) (pin 6))
(node (ref D1) (pin 1))
(node (ref D7) (pin 1))
(node (ref D6) (pin 1))
(node (ref D5) (pin 1))
(node (ref D4) (pin 1))
(node (ref D3) (pin 1))
(node (ref D2) (pin 1))
(node (ref OLED1) (pin 1))
(node (ref D0) (pin 1))
(node (ref C18) (pin 2))
(node (ref U9) (pin 54))
(node (ref U10) (pin 4))
(node (ref AUDIO1) (pin 2))
(node (ref U9) (pin 46))
(node (ref U9) (pin 54))
(node (ref SD1) (pin 12))
(node (ref U9) (pin 28))
(node (ref U9) (pin 6))
(node (ref U9) (pin 41))
(node (ref U9) (pin 12))
(node (ref U9) (pin 52))
(node (ref SD1) (pin 13))
(node (ref SD1) (pin 3))
(node (ref SD1) (pin 6))
(node (ref SD1) (pin 12))
(node (ref U6) (pin 57))
(node (ref U6) (pin 45))
(node (ref C17) (pin 2))
(node (ref US1) (pin 6))
(node (ref US2) (pin 5))
(node (ref SD1) (pin 3))
(node (ref SD1) (pin 13))
(node (ref US2) (pin 6))
(node (ref US2) (pin 5))
(node (ref US1) (pin 5))
(node (ref US1) (pin 6))
(node (ref U2) (pin 1))
(node (ref D7) (pin 1))
(node (ref OLED1) (pin 1))
(node (ref D1) (pin 1))
(node (ref D2) (pin 1))
(node (ref D3) (pin 1))
(node (ref D4) (pin 1))
(node (ref D5) (pin 1))
(node (ref D6) (pin 1))
(node (ref H1) (pin 1))
(node (ref J1) (pin 37))
(node (ref J1) (pin 38))
(node (ref J1) (pin 22))
(node (ref J1) (pin 21))
(node (ref J2) (pin 37))
(node (ref U1) (pin V7))
(node (ref J2) (pin 22))
(node (ref J2) (pin 37))
(node (ref J1) (pin 3))
(node (ref J2) (pin 38))
(node (ref J1) (pin 4))
(node (ref H2) (pin 1))
(node (ref H3) (pin 1))
(node (ref J1) (pin 21))
(node (ref J2) (pin 38))
(node (ref J1) (pin 37))
(node (ref J1) (pin 38))
(node (ref J1) (pin 22))
(node (ref H1) (pin 1))
(node (ref H4) (pin 1))
(node (ref J2) (pin 4))
(node (ref J2) (pin 3)))
(node (ref H3) (pin 1)))
(net (code 2) (name /gpio/B8)
(node (ref J1) (pin 17))
(node (ref U1) (pin C8)))
(node (ref U1) (pin C8))
(node (ref J1) (pin 17)))
(net (code 3) (name /gpio/A8)
(node (ref U1) (pin A7))
(node (ref J1) (pin 15)))
@ -1918,11 +1813,11 @@
(node (ref J1) (pin 13))
(node (ref U1) (pin D9)))
(net (code 5) (name /gpio/C10)
(node (ref U1) (pin B9))
(node (ref J1) (pin 11)))
(node (ref J1) (pin 11))
(node (ref U1) (pin B9)))
(net (code 6) (name /gpio/B10)
(node (ref J1) (pin 9))
(node (ref U1) (pin B10)))
(node (ref U1) (pin B10))
(node (ref J1) (pin 9)))
(net (code 7) (name /gpio/A10)
(node (ref J1) (pin 7))
(node (ref U1) (pin A10)))
@ -1933,29 +1828,29 @@
(node (ref U1) (pin C13))
(node (ref J2) (pin 28)))
(net (code 10) (name /gpio/C6)
(node (ref U1) (pin C7))
(node (ref J1) (pin 26)))
(node (ref J1) (pin 26))
(node (ref U1) (pin C7)))
(net (code 11) (name /gpio/A13)
(node (ref J2) (pin 30))
(node (ref U1) (pin A13)))
(node (ref U1) (pin A13))
(node (ref J2) (pin 30)))
(net (code 12) (name /gpio/E12)
(node (ref U1) (pin E12))
(node (ref J2) (pin 32)))
(node (ref J2) (pin 32))
(node (ref U1) (pin E12)))
(net (code 13) (name /gpio/C12)
(node (ref U1) (pin C12))
(node (ref J2) (pin 34)))
(net (code 14) (name /gpio/E11)
(node (ref U1) (pin E11))
(node (ref J2) (pin 36)))
(node (ref J2) (pin 36))
(node (ref U1) (pin E11)))
(net (code 15) (name /gpio/B6)
(node (ref J1) (pin 31))
(node (ref U1) (pin A6)))
(node (ref U1) (pin A6))
(node (ref J1) (pin 31)))
(net (code 16) (name /gpio/D8)
(node (ref J1) (pin 23))
(node (ref U1) (pin E8)))
(node (ref U1) (pin E8))
(node (ref J1) (pin 23)))
(net (code 17) (name /gpio/C7)
(node (ref U1) (pin C6))
(node (ref J1) (pin 25)))
(node (ref J1) (pin 25))
(node (ref U1) (pin C6)))
(net (code 18) (name /gpio/D7)
(node (ref J1) (pin 27))
(node (ref U1) (pin E7)))
@ -1963,131 +1858,121 @@
(node (ref J1) (pin 29))
(node (ref U1) (pin E6)))
(net (code 20) (name /gpio/E8)
(node (ref U1) (pin D8))
(node (ref J1) (pin 24)))
(node (ref J1) (pin 24))
(node (ref U1) (pin D8)))
(net (code 21) (name /gpio/C8)
(node (ref J1) (pin 18))
(node (ref U1) (pin B8)))
(node (ref U1) (pin B8))
(node (ref J1) (pin 18)))
(net (code 22) (name /gpio/A7)
(node (ref J1) (pin 16))
(node (ref U1) (pin A8)))
(net (code 23) (name /gpio/D9)
(node (ref J1) (pin 14))
(node (ref U1) (pin E9)))
(node (ref U1) (pin E9))
(node (ref J1) (pin 14)))
(net (code 24) (name /gpio/B9)
(node (ref J1) (pin 12))
(node (ref U1) (pin C10)))
(net (code 25) (name /gpio/A9)
(node (ref U1) (pin A9))
(node (ref J1) (pin 10)))
(node (ref J1) (pin 10))
(node (ref U1) (pin A9)))
(net (code 26) (name /gpio/A11)
(node (ref U1) (pin A11))
(node (ref J1) (pin 8)))
(net (code 27) (name /gpio/C11)
(node (ref U1) (pin C11))
(node (ref J1) (pin 6)))
(node (ref J1) (pin 6))
(node (ref U1) (pin C11)))
(net (code 28) (name /gpio/C16)
(node (ref J2) (pin 9))
(node (ref U1) (pin C16)))
(net (code 29) (name +3V3)
(node (ref OLED1) (pin 2))
(node (ref U1) (pin J6))
(node (ref U1) (pin F12))
(node (ref D11) (pin 2))
(node (ref U1) (pin M6))
(node (ref U1) (pin L7))
(node (ref U1) (pin P10))
(node (ref U1) (pin H6))
(node (ref R23) (pin 1))
(node (ref R22) (pin 1))
(node (ref L2) (pin 2))
(node (ref OLED1) (pin 2))
(node (ref D14) (pin 1))
(node (ref U1) (pin H7))
(node (ref R7) (pin 1))
(node (ref U1) (pin P10))
(node (ref U1) (pin F11))
(node (ref C8) (pin 1))
(node (ref U1) (pin P9))
(node (ref J1) (pin 1))
(node (ref J1) (pin 2))
(node (ref U1) (pin P9))
(node (ref U1) (pin L6))
(node (ref J2) (pin 20))
(node (ref U1) (pin F10))
(node (ref U1) (pin M6))
(node (ref U1) (pin H14))
(node (ref J2) (pin 19))
(node (ref U1) (pin H7))
(node (ref U1) (pin F10))
(node (ref U1) (pin F9))
(node (ref U1) (pin L15))
(node (ref U1) (pin L14))
(node (ref U1) (pin F12))
(node (ref D11) (pin 2))
(node (ref U1) (pin F11))
(node (ref U1) (pin H15))
(node (ref U1) (pin J15))
(node (ref U1) (pin L7))
(node (ref J2) (pin 19))
(node (ref U1) (pin M15))
(node (ref U1) (pin J15))
(node (ref U1) (pin J6))
(node (ref U1) (pin L14))
(node (ref U1) (pin L15))
(node (ref U9) (pin 14))
(node (ref C6) (pin 1))
(node (ref R10) (pin 1))
(node (ref L2) (pin 2))
(node (ref J1) (pin 19))
(node (ref J1) (pin 39))
(node (ref U8) (pin 8))
(node (ref R11) (pin 1))
(node (ref U6) (pin 5))
(node (ref U6) (pin 50))
(node (ref U6) (pin 9))
(node (ref U2) (pin 2))
(node (ref U6) (pin 16))
(node (ref U6) (pin 36))
(node (ref U6) (pin 44))
(node (ref RA3) (pin 1))
(node (ref U9) (pin 43))
(node (ref C6) (pin 1))
(node (ref J1) (pin 40))
(node (ref U11) (pin 2))
(node (ref D14) (pin 1))
(node (ref U8) (pin 6))
(node (ref U9) (pin 1))
(node (ref U9) (pin 3))
(node (ref J2) (pin 2))
(node (ref SD1) (pin 4))
(node (ref C7) (pin 1))
(node (ref U10) (pin 8))
(node (ref U9) (pin 27))
(node (ref U9) (pin 49))
(node (ref U9) (pin 43))
(node (ref U9) (pin 9))
(node (ref J1) (pin 20))
(node (ref RA3) (pin 1))
(node (ref C8) (pin 1))
(node (ref SD1) (pin 4))
(node (ref J2) (pin 20))
(node (ref J2) (pin 1))
(node (ref R9) (pin 1)))
(node (ref J2) (pin 2))
(node (ref J1) (pin 19))
(node (ref U9) (pin 9))
(node (ref U9) (pin 3))
(node (ref U9) (pin 1))
(node (ref U9) (pin 49))
(node (ref J1) (pin 39))
(node (ref C7) (pin 1))
(node (ref U9) (pin 27))
(node (ref U11) (pin 2))
(node (ref R22) (pin 1))
(node (ref R23) (pin 1)))
(net (code 30) (name +5V)
(node (ref U4) (pin 4))
(node (ref R3) (pin 1))
(node (ref C5) (pin 1))
(node (ref Q1) (pin 2))
(node (ref C9) (pin 1))
(node (ref GPDI1) (pin 19))
(node (ref C1) (pin 1))
(node (ref U3) (pin 4))
(node (ref D51) (pin 1))
(node (ref U5) (pin 4))
(node (ref C1) (pin 1))
(node (ref D52) (pin 2))
(node (ref C13) (pin 1))
(node (ref C18) (pin 1))
(node (ref R26) (pin 1))
(node (ref R25) (pin 1))
(node (ref U4) (pin 4))
(node (ref D51) (pin 1))
(node (ref R24) (pin 1))
(node (ref R25) (pin 1))
(node (ref R26) (pin 1))
(node (ref U6) (pin 15))
(node (ref C13) (pin 1))
(node (ref D8) (pin 1))
(node (ref D9) (pin 2))
(node (ref Q1) (pin 2))
(node (ref R3) (pin 1))
(node (ref C5) (pin 1)))
(node (ref GPDI1) (pin 19))
(node (ref U5) (pin 4)))
(net (code 31) (name /gpio/A17)
(node (ref U1) (pin A17))
(node (ref J2) (pin 5)))
(node (ref J2) (pin 5))
(node (ref U1) (pin A17)))
(net (code 32) (name /gpio/B17)
(node (ref J2) (pin 7))
(node (ref U1) (pin B17)))
(net (code 33) (name /gpio/A16)
(node (ref U1) (pin A16))
(node (ref J2) (pin 11)))
(node (ref J2) (pin 11))
(node (ref U1) (pin A16)))
(net (code 34) (name /gpio/D15)
(node (ref J2) (pin 13))
(node (ref U1) (pin D15)))
(node (ref U1) (pin D15))
(node (ref J2) (pin 13)))
(net (code 35) (name /gpio/B15)
(node (ref J2) (pin 15))
(node (ref U1) (pin B15)))
(node (ref U1) (pin B15))
(node (ref J2) (pin 15)))
(net (code 36) (name /gpio/D14)
(node (ref J2) (pin 17))
(node (ref U1) (pin D14)))
(node (ref U1) (pin D14))
(node (ref J2) (pin 17)))
(net (code 37) (name /gpio/D11)
(node (ref J2) (pin 35))
(node (ref U1) (pin D11)))
@ -2098,20 +1983,20 @@
(node (ref J2) (pin 25))
(node (ref U1) (pin D13)))
(net (code 40) (name /gpio/B13)
(node (ref U1) (pin B13))
(node (ref J2) (pin 27)))
(node (ref J2) (pin 27))
(node (ref U1) (pin B13)))
(net (code 41) (name /gpio/A12)
(node (ref J2) (pin 29))
(node (ref U1) (pin A12)))
(node (ref U1) (pin A12))
(node (ref J2) (pin 29)))
(net (code 42) (name /gpio/D12)
(node (ref U1) (pin D12))
(node (ref J2) (pin 31)))
(net (code 43) (name /gpio/B12)
(node (ref U1) (pin B12))
(node (ref J2) (pin 33)))
(node (ref J2) (pin 33))
(node (ref U1) (pin B12)))
(net (code 44) (name /gpio/C14)
(node (ref U1) (pin C14))
(node (ref J2) (pin 24)))
(node (ref J2) (pin 24))
(node (ref U1) (pin C14)))
(net (code 45) (name /gpio/E13)
(node (ref U1) (pin E13))
(node (ref J2) (pin 26)))
@ -2125,14 +2010,14 @@
(node (ref J2) (pin 14))
(node (ref U1) (pin E15)))
(net (code 49) (name /gpio/B16)
(node (ref U1) (pin B16))
(node (ref J2) (pin 12)))
(node (ref J2) (pin 12))
(node (ref U1) (pin B16)))
(net (code 50) (name /gpio/D16)
(node (ref U1) (pin D16))
(node (ref J2) (pin 10)))
(node (ref J2) (pin 10))
(node (ref U1) (pin D16)))
(net (code 51) (name /gpio/C17)
(node (ref J2) (pin 8))
(node (ref U1) (pin C17)))
(node (ref U1) (pin C17))
(node (ref J2) (pin 8)))
(net (code 52) (name /gpio/B18)
(node (ref J2) (pin 6))
(node (ref U1) (pin B18)))
@ -2262,8 +2147,8 @@
(node (ref U1) (pin A18))
(node (ref J1) (pin 35)))
(net (code 118) (name /gpio/A19)
(node (ref U1) (pin A19))
(node (ref J1) (pin 33)))
(node (ref J1) (pin 33))
(node (ref U1) (pin A19)))
(net (code 119) (name /gpio/A6)
(node (ref J1) (pin 32))
(node (ref U1) (pin B6)))
@ -2271,8 +2156,8 @@
(node (ref U1) (pin D6))
(node (ref J1) (pin 30)))
(net (code 121) (name /gpio/E7)
(node (ref J1) (pin 28))
(node (ref U1) (pin D7)))
(node (ref U1) (pin D7))
(node (ref J1) (pin 28)))
(net (code 122) (name "Net-(U1-PadC20)")
(node (ref U1) (pin C20)))
(net (code 123) (name "Net-(U1-PadD20)")
@ -2344,18 +2229,18 @@
(node (ref J2) (pin 39))
(node (ref D51) (pin 2)))
(net (code 156) (name "Net-(L2-Pad1)")
(node (ref L2) (pin 1))
(node (ref U4) (pin 3)))
(node (ref U4) (pin 3))
(node (ref L2) (pin 1)))
(net (code 157) (name +2V5)
(node (ref U1) (pin P6))
(node (ref RA2) (pin 1))
(node (ref U1) (pin P15))
(node (ref U1) (pin F15))
(node (ref L3) (pin 2))
(node (ref C11) (pin 1))
(node (ref C12) (pin 1))
(node (ref C11) (pin 1))
(node (ref C10) (pin 1))
(node (ref L3) (pin 2))
(node (ref U7) (pin 8))
(node (ref U1) (pin P15))
(node (ref U1) (pin F15))
(node (ref U1) (pin F6)))
(net (code 158) (name /power/FB2)
(node (ref C10) (pin 2))
@ -2363,46 +2248,46 @@
(node (ref RA2) (pin 2))
(node (ref RB2) (pin 2)))
(net (code 159) (name "Net-(L3-Pad1)")
(node (ref L3) (pin 1))
(node (ref U5) (pin 3)))
(node (ref U5) (pin 3))
(node (ref L3) (pin 1)))
(net (code 160) (name "Net-(L1-Pad1)")
(node (ref L1) (pin 1))
(node (ref U3) (pin 3)))
(net (code 161) (name +1V2)
(node (ref U1) (pin L13))
(node (ref U1) (pin N13))
(node (ref U1) (pin M13))
(node (ref U1) (pin N12))
(node (ref U1) (pin H13))
(node (ref U1) (pin M8))
(node (ref U1) (pin N10))
(node (ref U1) (pin M13))
(node (ref U1) (pin N8))
(node (ref U1) (pin M8))
(node (ref U1) (pin L8))
(node (ref C4) (pin 1))
(node (ref U1) (pin K8))
(node (ref L1) (pin 2))
(node (ref U1) (pin H9))
(node (ref U1) (pin H11))
(node (ref U1) (pin N11))
(node (ref U1) (pin L13))
(node (ref U1) (pin N9))
(node (ref U1) (pin K13))
(node (ref U1) (pin N12))
(node (ref U1) (pin H13))
(node (ref U1) (pin J8))
(node (ref U1) (pin J13))
(node (ref C2) (pin 1))
(node (ref C3) (pin 1))
(node (ref U1) (pin H12))
(node (ref U1) (pin N11))
(node (ref U1) (pin N13))
(node (ref U1) (pin H8))
(node (ref U1) (pin J8))
(node (ref RA1) (pin 1))
(node (ref U1) (pin H12))
(node (ref U1) (pin N9))
(node (ref C3) (pin 1))
(node (ref C2) (pin 1))
(node (ref U1) (pin J13))
(node (ref U1) (pin H10))
(node (ref C4) (pin 1)))
(node (ref U1) (pin H9))
(node (ref L1) (pin 2))
(node (ref U1) (pin L8)))
(net (code 162) (name /power/OSCI_32k)
(node (ref U7) (pin 1))
(node (ref Y1) (pin 1)))
(net (code 163) (name /power/WKn)
(node (ref Q1) (pin 1))
(node (ref R6) (pin 1)))
(node (ref R6) (pin 1))
(node (ref Q1) (pin 1)))
(net (code 164) (name FTDI_nSUSPEND)
(node (ref U6) (pin 30))
(node (ref D12) (pin 2)))
(node (ref D12) (pin 2))
(node (ref R10) (pin 1)))
(net (code 165) (name "Net-(Q2-Pad3)")
(node (ref Q2) (pin 3))
(node (ref R8) (pin 2)))
@ -2414,83 +2299,83 @@
(node (ref U7) (pin 2))
(node (ref Y1) (pin 4)))
(net (code 169) (name /power/WAKEUPn)
(node (ref U7) (pin 7))
(node (ref R6) (pin 2))
(node (ref U7) (pin 7))
(node (ref D16) (pin 2)))
(net (code 170) (name /power/SHUT)
(node (ref Q2) (pin 1))
(node (ref D14) (pin 2))
(node (ref R5) (pin 1))
(node (ref D15) (pin 1))
(node (ref C14) (pin 1)))
(node (ref D14) (pin 2))
(node (ref C14) (pin 1))
(node (ref Q2) (pin 1)))
(net (code 171) (name /power/WAKE)
(node (ref D10) (pin 1))
(node (ref R1) (pin 1)))
(net (code 172) (name SHUTDOWN)
(node (ref R13) (pin 1))
(node (ref D15) (pin 2)))
(node (ref D15) (pin 2))
(node (ref R13) (pin 1)))
(net (code 173) (name BTN_PWRn)
(node (ref D17) (pin 2))
(node (ref U1) (pin H4)))
(node (ref U1) (pin H4))
(node (ref D17) (pin 2)))
(net (code 174) (name "Net-(BTN0-Pad1)")
(node (ref R3) (pin 2))
(node (ref D16) (pin 1))
(node (ref D17) (pin 1))
(node (ref D16) (pin 1))
(node (ref R3) (pin 2))
(node (ref BTN0) (pin 1)))
(net (code 175) (name /power/WKUP)
(node (ref Q1) (pin 3))
(node (ref C13) (pin 2))
(node (ref D10) (pin 2))
(node (ref Q1) (pin 3))
(node (ref D13) (pin 1)))
(net (code 176) (name /power/FB1)
(node (ref C2) (pin 2))
(node (ref RA1) (pin 2))
(node (ref RB1) (pin 2))
(node (ref U3) (pin 5)))
(node (ref U3) (pin 5))
(node (ref C2) (pin 2)))
(net (code 177) (name /power/PWREN)
(node (ref R1) (pin 2))
(node (ref U3) (pin 1))
(node (ref R4) (pin 2))
(node (ref R2) (pin 1))
(node (ref R8) (pin 1))
(node (ref U5) (pin 1))
(node (ref U3) (pin 1))
(node (ref D12) (pin 1))
(node (ref U4) (pin 1))
(node (ref R2) (pin 1))
(node (ref R1) (pin 2)))
(node (ref U5) (pin 1))
(node (ref U4) (pin 1)))
(net (code 178) (name /power/FB3)
(node (ref RB3) (pin 2))
(node (ref RA3) (pin 2))
(node (ref U4) (pin 5))
(node (ref C6) (pin 2)))
(node (ref C6) (pin 2))
(node (ref RA3) (pin 2))
(node (ref RB3) (pin 2)))
(net (code 179) (name I2C_SDA)
(node (ref U7) (pin 5)))
(net (code 180) (name /power/VBAT)
(node (ref BAT1) (pin 1))
(node (ref U7) (pin 3)))
(net (code 181) (name /power/HOLD)
(node (ref D11) (pin 1))
(node (ref R4) (pin 1)))
(node (ref R4) (pin 1))
(node (ref D11) (pin 1)))
(net (code 182) (name I2C_SCL)
(node (ref U7) (pin 6)))
(net (code 183) (name LED2)
(node (ref U1) (pin C2))
(node (ref D2) (pin 2)))
(node (ref D2) (pin 2))
(node (ref U1) (pin C2)))
(net (code 184) (name LED7)
(node (ref U1) (pin G5))
(node (ref D7) (pin 2)))
(node (ref D7) (pin 2))
(node (ref U1) (pin G5)))
(net (code 185) (name LED6)
(node (ref D6) (pin 2))
(node (ref U1) (pin H3)))
(node (ref U1) (pin H3))
(node (ref D6) (pin 2)))
(net (code 186) (name LED5)
(node (ref D5) (pin 2))
(node (ref U1) (pin D1)))
(node (ref U1) (pin D1))
(node (ref D5) (pin 2)))
(net (code 187) (name LED4)
(node (ref D4) (pin 2))
(node (ref U1) (pin E1)))
(net (code 188) (name OLED_MOSI)
(node (ref OLED1) (pin 4)))
(net (code 189) (name LED3)
(node (ref U1) (pin B1))
(node (ref D3) (pin 2)))
(node (ref D3) (pin 2))
(node (ref U1) (pin B1)))
(net (code 190) (name LED1)
(node (ref D1) (pin 2))
(node (ref U1) (pin E3)))
@ -2500,13 +2385,13 @@
(net (code 192) (name OLED_RES)
(node (ref OLED1) (pin 5)))
(net (code 193) (name /blinkey/BTNPU)
(node (ref R7) (pin 2))
(node (ref BTN4) (pin 1))
(node (ref BTN5) (pin 1))
(node (ref BTN2) (pin 1))
(node (ref BTN1) (pin 1))
(node (ref R7) (pin 2))
(node (ref BTN3) (pin 1))
(node (ref BTN6) (pin 1))
(node (ref BTN4) (pin 1))
(node (ref BTN5) (pin 1)))
(node (ref BTN3) (pin 1)))
(net (code 194) (name OLED_CS)
(node (ref OLED1) (pin 7)))
(net (code 195) (name OLED_DC)
@ -2517,8 +2402,8 @@
(node (ref BTN6) (pin 2))
(node (ref U1) (pin D2)))
(net (code 198) (name BTN_L)
(node (ref BTN5) (pin 2))
(node (ref U1) (pin A2)))
(node (ref U1) (pin A2))
(node (ref BTN5) (pin 2)))
(net (code 199) (name BTN_D)
(node (ref U1) (pin B2))
(node (ref BTN4) (pin 2)))
@ -2526,11 +2411,11 @@
(node (ref BTN3) (pin 2))
(node (ref U1) (pin F4)))
(net (code 201) (name BTN_F2)
(node (ref U1) (pin H5))
(node (ref BTN2) (pin 2)))
(node (ref BTN2) (pin 2))
(node (ref U1) (pin H5)))
(net (code 202) (name BTN_F1)
(node (ref U1) (pin C1))
(node (ref BTN1) (pin 2)))
(node (ref BTN1) (pin 2))
(node (ref U1) (pin C1)))
(net (code 203) (name "Net-(U1-PadE2)")
(node (ref U1) (pin E2)))
(net (code 204) (name "Net-(U1-PadF2)")
@ -2542,418 +2427,351 @@
(node (ref U1) (pin C3))
(node (ref GPDI1) (pin 2)))
(net (code 207) (name GPDI_ETH_N)
(node (ref GPDI1) (pin 1))
(node (ref U1) (pin D3)))
(node (ref U1) (pin D3))
(node (ref GPDI1) (pin 1)))
(net (code 208) (name "Net-(U1-PadF3)")
(node (ref U1) (pin F3)))
(net (code 209) (name "Net-(U1-PadG3)")
(node (ref U1) (pin G3)))
(net (code 210) (name GPDI_D1_P)
(node (ref GPDI1) (pin 6))
(node (ref U1) (pin A4)))
(node (ref U1) (pin A4))
(node (ref GPDI1) (pin 6)))
(net (code 211) (name GPDI_CLK_N)
(node (ref GPDI1) (pin 14))
(node (ref U1) (pin B4)))
(node (ref U1) (pin B4))
(node (ref GPDI1) (pin 14)))
(net (code 212) (name GPDI_CLK_P)
(node (ref U1) (pin C4))
(node (ref GPDI1) (pin 12)))
(node (ref GPDI1) (pin 12))
(node (ref U1) (pin C4)))
(net (code 213) (name GPDI_D2_N)
(node (ref U1) (pin B3))
(node (ref GPDI1) (pin 5)))
(node (ref GPDI1) (pin 5))
(node (ref U1) (pin B3)))
(net (code 214) (name GPDI_D1_N)
(node (ref GPDI1) (pin 8))
(node (ref U1) (pin A5)))
(net (code 215) (name GPDI_D0_P)
(node (ref GPDI1) (pin 9))
(node (ref U1) (pin B5)))
(node (ref U1) (pin B5))
(node (ref GPDI1) (pin 9)))
(net (code 216) (name GPDI_D0_N)
(node (ref GPDI1) (pin 11))
(node (ref U1) (pin C5)))
(net (code 217) (name GPDI_CEC)
(node (ref GPDI1) (pin 15))
(node (ref U1) (pin E5)))
(net (code 218) (name GPDI_5V_SCL)
(node (ref GPDI1) (pin 17))
(node (ref U11) (pin 6))
(node (ref R25) (pin 2)))
(node (ref U1) (pin E5))
(node (ref GPDI1) (pin 15)))
(net (code 218) (name GPDI_5V_SDA)
(node (ref U11) (pin 5))
(node (ref R26) (pin 2))
(node (ref GPDI1) (pin 18)))
(net (code 219) (name /gpdi/VREF2)
(node (ref R24) (pin 2))
(node (ref U11) (pin 7))
(node (ref U11) (pin 8))
(node (ref U11) (pin 7)))
(node (ref R24) (pin 2)))
(net (code 220) (name GPDI_SCL)
(node (ref U1) (pin E4))
(node (ref R23) (pin 2))
(node (ref U1) (pin E4))
(node (ref U11) (pin 3)))
(net (code 221) (name GPDI_SDA)
(node (ref U11) (pin 4))
(node (ref R22) (pin 2))
(node (ref U1) (pin D5))
(node (ref R22) (pin 2)))
(net (code 222) (name GPDI_5V_SDA)
(node (ref U11) (pin 5))
(node (ref R26) (pin 2))
(node (ref GPDI1) (pin 18)))
(net (code 223) (name /usb/FTDI_nTXLED)
(node (ref U6) (pin 49)))
(net (code 224) (name /usb/FTDI_nRXLED)
(node (ref U6) (pin 48)))
(net (code 225) (name FTDI_nRI)
(node (ref U6) (pin 40)))
(net (code 226) (name FTDI_nDCD)
(node (ref U6) (pin 39)))
(net (code 227) (name FTDI_nDSR)
(node (ref U6) (pin 38)))
(net (code 228) (name FTDI_nDTR)
(node (ref U6) (pin 37)))
(net (code 229) (name FTDI_nCTS)
(node (ref U6) (pin 35)))
(net (code 230) (name FTDI_nRTS)
(node (ref U6) (pin 34)))
(net (code 231) (name JTAG_TMS)
(node (ref U2) (pin 33))
(node (ref U6) (pin 15))
(node (ref U1) (pin U5)))
(net (code 232) (name JTAG_TCK)
(node (ref U11) (pin 4)))
(net (code 222) (name GPDI_5V_SCL)
(node (ref GPDI1) (pin 17))
(node (ref U11) (pin 6))
(node (ref R25) (pin 2)))
(net (code 223) (name JTAG_TDI)
(node (ref U2) (pin 31))
(node (ref U6) (pin 17))
(node (ref U1) (pin R5)))
(net (code 224) (name JTAG_TCK)
(node (ref U6) (pin 18))
(node (ref U2) (pin 30))
(node (ref U1) (pin T5))
(node (ref U1) (pin T5)))
(net (code 225) (name "Net-(D9-Pad1)")
(node (ref US2) (pin 1))
(node (ref D9) (pin 1)))
(net (code 226) (name USB_FTDI_DM)
(node (ref US1) (pin 2))
(node (ref U6) (pin 12)))
(net (code 233) (name JTAG_TDI)
(node (ref U1) (pin R5))
(node (ref U2) (pin 31))
(node (ref U6) (pin 13)))
(net (code 234) (name JTAG_TDO)
(node (ref U2) (pin 32))
(node (ref U6) (pin 14))
(node (ref U1) (pin V4)))
(net (code 235) (name "Net-(U6-Pad10)")
(node (ref U6) (pin 10)))
(net (code 236) (name USB_FTDI_DP)
(node (ref US1) (pin 3))
(net (code 227) (name USB_FTDI_DP)
(node (ref U6) (pin 11))
(node (ref US1) (pin 3)))
(net (code 228) (name USB_FPGA_DM)
(node (ref US2) (pin 2)))
(net (code 229) (name USB_FPGA_DP)
(node (ref US2) (pin 3)))
(net (code 230) (name "Net-(US2-Pad4)")
(node (ref US2) (pin 4)))
(net (code 231) (name "Net-(US1-Pad4)")
(node (ref US1) (pin 4)))
(net (code 232) (name FTDI_nDSR)
(node (ref U6) (pin 7)))
(net (code 233) (name "Net-(U1-PadR4)")
(node (ref U1) (pin R4)))
(net (code 234) (name "Net-(U1-PadT4)")
(node (ref U1) (pin T4)))
(net (code 235) (name "Net-(U1-PadU4)")
(node (ref U1) (pin U4)))
(net (code 236) (name FTDI_nRTS)
(node (ref U6) (pin 2)))
(net (code 237) (name FTDI_RXD)
(node (ref U6) (pin 4)))
(net (code 238) (name FTDI_nRI)
(node (ref U6) (pin 5)))
(net (code 239) (name FTDI_nDCD)
(node (ref U6) (pin 8)))
(net (code 237) (name USB_FTDI_DM)
(node (ref U6) (pin 7))
(node (ref US1) (pin 2)))
(net (code 238) (name /usb/OSCO_12M)
(node (ref C16) (pin 1))
(node (ref U6) (pin 4))
(node (ref Y2) (pin 2)))
(net (code 239) (name FTDI_RXD)
(node (ref U6) (pin 33)))
(net (code 240) (name FTDI_TXD)
(node (ref U6) (pin 32)))
(net (code 241) (name "Net-(U1-PadU1)")
(node (ref U1) (pin U1)))
(net (code 242) (name "Net-(U1-PadT1)")
(node (ref U1) (pin T1)))
(net (code 243) (name "Net-(U1-PadR1)")
(net (code 240) (name FTDI_nCTS)
(node (ref U6) (pin 9)))
(net (code 241) (name FTDI_TXD)
(node (ref U6) (pin 20)))
(net (code 242) (name /usb/FT3V3)
(node (ref U6) (pin 13))
(node (ref R9) (pin 2))
(node (ref U6) (pin 3)))
(net (code 243) (name nRESET)
(node (ref U6) (pin 14))
(node (ref R9) (pin 1)))
(net (code 244) (name FTDI_nDTR)
(node (ref U6) (pin 1))
(node (ref R10) (pin 2)))
(net (code 245) (name JTAG_TMS)
(node (ref U2) (pin 33))
(node (ref U6) (pin 19))
(node (ref U1) (pin U5)))
(net (code 246) (name USB5V)
(node (ref US1) (pin 1))
(node (ref D8) (pin 2)))
(net (code 247) (name "Net-(U1-PadW3)")
(node (ref U1) (pin W3)))
(net (code 248) (name "Net-(U1-PadU2)")
(node (ref U1) (pin U2)))
(net (code 249) (name "Net-(U1-PadR1)")
(node (ref U1) (pin R1)))
(net (code 244) (name "Net-(U1-PadV1)")
(net (code 250) (name "Net-(U1-PadT1)")
(node (ref U1) (pin T1)))
(net (code 251) (name "Net-(U1-PadU1)")
(node (ref U1) (pin U1)))
(net (code 252) (name "Net-(U1-PadV1)")
(node (ref U1) (pin V1)))
(net (code 245) (name "Net-(R11-Pad2)")
(node (ref U8) (pin 4))
(node (ref R11) (pin 2))
(node (ref R12) (pin 2)))
(net (code 246) (name "Net-(U1-PadR3)")
(net (code 253) (name "Net-(U1-PadW1)")
(node (ref U1) (pin W1)))
(net (code 254) (name "Net-(U1-PadR2)")
(node (ref U1) (pin R2)))
(net (code 255) (name "Net-(U1-PadT2)")
(node (ref U1) (pin T2)))
(net (code 256) (name "Net-(U1-PadV2)")
(node (ref U1) (pin V2)))
(net (code 257) (name "Net-(U1-PadW2)")
(node (ref U1) (pin W2)))
(net (code 258) (name "Net-(U1-PadY2)")
(node (ref U1) (pin Y2)))
(net (code 259) (name "Net-(U1-PadR3)")
(node (ref U1) (pin R3)))
(net (code 247) (name "Net-(U1-PadU4)")
(node (ref U1) (pin U4)))
(net (code 248) (name "Net-(U1-PadT4)")
(node (ref U1) (pin T4)))
(net (code 249) (name "Net-(U1-PadR4)")
(node (ref U1) (pin R4)))
(net (code 250) (name "Net-(U1-PadY3)")
(node (ref U1) (pin Y3)))
(net (code 251) (name "Net-(U1-PadW3)")
(node (ref U1) (pin W3)))
(net (code 252) (name "Net-(U1-PadV3)")
(node (ref U1) (pin V3)))
(net (code 253) (name "Net-(U1-PadU3)")
(node (ref U1) (pin U3)))
(net (code 254) (name "Net-(U1-PadT3)")
(net (code 260) (name "Net-(U1-PadT3)")
(node (ref U1) (pin T3)))
(net (code 255) (name "Net-(U1-PadY2)")
(node (ref U1) (pin Y2)))
(net (code 256) (name "Net-(U1-PadW2)")
(node (ref U1) (pin W2)))
(net (code 257) (name "Net-(U1-PadV2)")
(node (ref U1) (pin V2)))
(net (code 258) (name "Net-(U1-PadU2)")
(node (ref U1) (pin U2)))
(net (code 259) (name "Net-(U1-PadT2)")
(node (ref U1) (pin T2)))
(net (code 260) (name "Net-(U1-PadR2)")
(node (ref U1) (pin R2)))
(net (code 261) (name "Net-(U1-PadW1)")
(node (ref U1) (pin W1)))
(net (code 262) (name /usb/EECLK)
(node (ref U6) (pin 56))
(node (ref U8) (pin 2))
(node (ref R9) (pin 2)))
(net (code 263) (name /usb/EEDATA)
(node (ref U8) (pin 3))
(node (ref U6) (pin 55))
(node (ref R12) (pin 1)))
(net (code 264) (name /usb/EECS)
(node (ref R10) (pin 2))
(node (ref U6) (pin 1))
(node (ref U8) (pin 1)))
(net (code 265) (name "Net-(U6-Pad6)")
(node (ref U6) (pin 6)))
(net (code 266) (name "Net-(US1-Pad4)")
(node (ref US1) (pin 4)))
(net (code 267) (name "Net-(US2-Pad4)")
(node (ref US2) (pin 4)))
(net (code 268) (name USB_FPGA_DP)
(node (ref US2) (pin 3)))
(net (code 269) (name USB_FPGA_DM)
(node (ref US2) (pin 2)))
(net (code 271) (name "Net-(U6-Pad22)")
(node (ref U6) (pin 22)))
(net (code 272) (name "Net-(U6-Pad51)")
(node (ref U6) (pin 51)))
(net (code 273) (name "Net-(U6-Pad11)")
(node (ref U6) (pin 11)))
(net (code 274) (name "Net-(U6-Pad20)")
(node (ref U6) (pin 20)))
(net (code 275) (name "Net-(D9-Pad1)")
(node (ref D9) (pin 1))
(node (ref US2) (pin 1)))
(net (code 276) (name USB5V)
(node (ref US1) (pin 1))
(node (ref D8) (pin 2)))
(net (code 277) (name /usb/OSCI_12M)
(node (ref Y2) (pin 1))
(node (ref C15) (pin 1))
(node (ref U6) (pin 3)))
(net (code 278) (name +1V8)
(node (ref U6) (pin 42))
(node (ref U6) (pin 31))
(node (ref U6) (pin 2))
(node (ref C17) (pin 1)))
(net (code 279) (name "Net-(U6-Pad52)")
(node (ref U6) (pin 52)))
(net (code 280) (name "Net-(U6-Pad54)")
(node (ref U6) (pin 54)))
(net (code 281) (name "Net-(U6-Pad26)")
(node (ref U6) (pin 26)))
(net (code 282) (name "Net-(U6-Pad25)")
(node (ref U6) (pin 25)))
(net (code 283) (name "Net-(U6-Pad24)")
(node (ref U6) (pin 24)))
(net (code 284) (name "Net-(U6-Pad53)")
(node (ref U6) (pin 53)))
(net (code 285) (name "Net-(U6-Pad23)")
(node (ref U6) (pin 23)))
(net (code 286) (name "Net-(U6-Pad46)")
(node (ref U6) (pin 46)))
(net (code 287) (name "Net-(U6-Pad29)")
(node (ref U6) (pin 29)))
(net (code 288) (name "Net-(U6-Pad19)")
(node (ref U6) (pin 19)))
(net (code 289) (name "Net-(U6-Pad28)")
(node (ref U6) (pin 28)))
(net (code 290) (name "Net-(U6-Pad18)")
(node (ref U6) (pin 18)))
(net (code 291) (name "Net-(U6-Pad47)")
(node (ref U6) (pin 47)))
(net (code 292) (name "Net-(U6-Pad27)")
(node (ref U6) (pin 27)))
(net (code 293) (name "Net-(U6-Pad17)")
(node (ref U6) (pin 17)))
(net (code 294) (name "Net-(U2-Pad26)")
(net (code 261) (name "Net-(U1-PadU3)")
(node (ref U1) (pin U3)))
(net (code 262) (name "Net-(U1-PadV3)")
(node (ref U1) (pin V3)))
(net (code 263) (name "Net-(U1-PadY3)")
(node (ref U1) (pin Y3)))
(net (code 264) (name JTAG_TDO)
(node (ref U2) (pin 32))
(node (ref U1) (pin V4))
(node (ref U6) (pin 10)))
(net (code 265) (name "Net-(U2-Pad36)")
(node (ref U2) (pin 36)))
(net (code 266) (name "Net-(U2-Pad26)")
(node (ref U2) (pin 26)))
(net (code 295) (name "Net-(U2-Pad16)")
(net (code 267) (name "Net-(U2-Pad16)")
(node (ref U2) (pin 16)))
(net (code 296) (name WIFI_TXD)
(net (code 268) (name WIFI_TXD)
(node (ref U2) (pin 35)))
(net (code 297) (name WIFI_GPIO0)
(net (code 269) (name WIFI_GPIO0)
(node (ref U2) (pin 25)))
(net (code 298) (name "Net-(U2-Pad15)")
(net (code 270) (name "Net-(U2-Pad15)")
(node (ref U2) (pin 15)))
(net (code 299) (name WIFI_RXD)
(net (code 271) (name WIFI_RXD)
(node (ref U2) (pin 34)))
(net (code 300) (name WIFI_GPIO2)
(net (code 272) (name WIFI_GPIO2)
(node (ref U2) (pin 24)))
(net (code 301) (name "Net-(U2-Pad14)")
(net (code 273) (name "Net-(U2-Pad12)")
(node (ref U2) (pin 12)))
(net (code 274) (name "Net-(U2-Pad14)")
(node (ref U2) (pin 14)))
(net (code 302) (name WIFI_GPIO15)
(net (code 275) (name WIFI_GPIO15)
(node (ref U2) (pin 23)))
(net (code 303) (name "Net-(U2-Pad10)")
(node (ref U2) (pin 10)))
(net (code 304) (name "Net-(U2-Pad13)")
(net (code 276) (name "Net-(U2-Pad13)")
(node (ref U2) (pin 13)))
(net (code 305) (name SD_D1)
(node (ref U2) (pin 22))
(node (ref SD1) (pin 8)))
(net (code 306) (name "Net-(U2-Pad12)")
(node (ref U2) (pin 12)))
(net (code 307) (name "Net-(U2-Pad36)")
(node (ref U2) (pin 36)))
(net (code 308) (name SD_2)
(node (ref SD1) (pin 9))
(node (ref U2) (pin 17)))
(net (code 309) (name "Net-(U2-Pad27)")
(net (code 277) (name SD_D1)
(node (ref SD1) (pin 8))
(node (ref U2) (pin 22)))
(net (code 278) (name SD_2)
(node (ref U2) (pin 17))
(node (ref SD1) (pin 9)))
(net (code 279) (name "Net-(U2-Pad27)")
(node (ref U2) (pin 27)))
(net (code 310) (name "Net-(U2-Pad37)")
(net (code 280) (name "Net-(U2-Pad37)")
(node (ref U2) (pin 37)))
(net (code 311) (name SD_3)
(net (code 281) (name SD_3)
(node (ref U2) (pin 18))
(node (ref SD1) (pin 1)))
(net (code 312) (name "Net-(U2-Pad28)")
(net (code 282) (name "Net-(U2-Pad28)")
(node (ref U2) (pin 28)))
(net (code 313) (name "Net-(U2-Pad38)")
(net (code 283) (name "Net-(U2-Pad38)")
(node (ref U2) (pin 38)))
(net (code 314) (name SD_CMD)
(net (code 284) (name SD_CMD)
(node (ref SD1) (pin 2))
(node (ref U2) (pin 19)))
(net (code 315) (name "Net-(U2-Pad29)")
(net (code 285) (name "Net-(U2-Pad29)")
(node (ref U2) (pin 29)))
(net (code 316) (name "Net-(U2-Pad39)")
(net (code 286) (name "Net-(U2-Pad39)")
(node (ref U2) (pin 39)))
(net (code 317) (name SD_D0)
(net (code 287) (name WIFI_RESET)
(node (ref U2) (pin 7)))
(net (code 288) (name SD_D0)
(node (ref U2) (pin 21))
(node (ref SD1) (pin 7)))
(net (code 318) (name "Net-(U2-Pad11)")
(net (code 289) (name "Net-(U2-Pad11)")
(node (ref U2) (pin 11)))
(net (code 319) (name SD_CLK)
(net (code 290) (name SD_CLK)
(node (ref SD1) (pin 5))
(node (ref U2) (pin 20)))
(net (code 320) (name "Net-(U2-Pad9)")
(net (code 291) (name "Net-(U2-Pad10)")
(node (ref U2) (pin 10)))
(net (code 292) (name "Net-(U2-Pad9)")
(node (ref U2) (pin 9)))
(net (code 321) (name "Net-(U2-Pad8)")
(net (code 293) (name "Net-(U2-Pad8)")
(node (ref U2) (pin 8)))
(net (code 322) (name WIFI_RESET)
(node (ref U2) (pin 7)))
(net (code 323) (name "Net-(U2-Pad5)")
(net (code 294) (name "Net-(U2-Pad6)")
(node (ref U2) (pin 6)))
(net (code 295) (name "Net-(U2-Pad5)")
(node (ref U2) (pin 5)))
(net (code 324) (name "Net-(U2-Pad4)")
(net (code 296) (name "Net-(U2-Pad4)")
(node (ref U2) (pin 4)))
(net (code 325) (name WIFI_PD)
(net (code 297) (name WIFI_PD)
(node (ref U2) (pin 3)))
(net (code 326) (name "Net-(U2-Pad6)")
(node (ref U2) (pin 6)))
(net (code 327) (name SDRAM_A6)
(node (ref U9) (pin 31)))
(net (code 328) (name SDRAM_D6)
(net (code 298) (name SDRAM_D6)
(node (ref U9) (pin 11)))
(net (code 329) (name SDRAM_A1)
(node (ref U9) (pin 24)))
(net (code 330) (name SDRAM_D15)
(net (code 299) (name SDRAM_D15)
(node (ref U9) (pin 53)))
(net (code 331) (name SDRAM_A8)
(net (code 300) (name SDRAM_A8)
(node (ref U9) (pin 33)))
(net (code 332) (name SDRAM_A0)
(net (code 301) (name SDRAM_A0)
(node (ref U9) (pin 23)))
(net (code 333) (name SDRAM_D7)
(net (code 302) (name SDRAM_D7)
(node (ref U9) (pin 13)))
(net (code 334) (name SDRAM_D8)
(net (code 303) (name SDRAM_D8)
(node (ref U9) (pin 42)))
(net (code 335) (name SDRAM_A7)
(net (code 304) (name SDRAM_A7)
(node (ref U9) (pin 32)))
(net (code 336) (name SDRAM_A10)
(net (code 305) (name SDRAM_A10)
(node (ref U9) (pin 22)))
(net (code 337) (name SDRAM_D14)
(net (code 306) (name SDRAM_D14)
(node (ref U9) (pin 51)))
(net (code 338) (name SDRAM_BA1)
(net (code 307) (name SDRAM_A6)
(node (ref U9) (pin 31)))
(net (code 308) (name SDRAM_BA1)
(node (ref U9) (pin 21)))
(net (code 339) (name SDRAM_D13)
(net (code 309) (name SDRAM_D13)
(node (ref U9) (pin 50)))
(net (code 340) (name SDRAM_A5)
(net (code 310) (name SDRAM_A5)
(node (ref U9) (pin 30)))
(net (code 341) (name SDRAM_BA0)
(net (code 311) (name SDRAM_BA0)
(node (ref U9) (pin 20)))
(net (code 342) (name SDRAM_D5)
(net (code 312) (name SDRAM_D5)
(node (ref U9) (pin 10)))
(net (code 343) (name SDRAM_D4)
(net (code 313) (name SDRAM_D4)
(node (ref U9) (pin 8)))
(net (code 344) (name SDRAM_D3)
(net (code 314) (name SDRAM_D3)
(node (ref U9) (pin 7)))
(net (code 345) (name SDRAM_D2)
(net (code 315) (name SDRAM_D2)
(node (ref U9) (pin 5)))
(net (code 346) (name SDRAM_D1)
(net (code 316) (name SDRAM_D1)
(node (ref U9) (pin 4)))
(net (code 347) (name SDRAM_D0)
(net (code 317) (name SDRAM_D0)
(node (ref U9) (pin 2)))
(net (code 348) (name SDRAM_D9)
(node (ref U9) (pin 44)))
(net (code 349) (name SDRAM_DQM1)
(net (code 318) (name SDRAM_A9)
(node (ref U9) (pin 34)))
(net (code 319) (name SDRAM_DQM1)
(node (ref U9) (pin 39)))
(net (code 350) (name SDRAM_A4)
(net (code 320) (name SDRAM_A4)
(node (ref U9) (pin 29)))
(net (code 351) (name SDRAM_nCS)
(net (code 321) (name SDRAM_nCS)
(node (ref U9) (pin 19)))
(net (code 352) (name SDRAM_D12)
(net (code 322) (name SDRAM_D12)
(node (ref U9) (pin 48)))
(net (code 353) (name SDRAM_CLK)
(net (code 323) (name SDRAM_CLK)
(node (ref U9) (pin 38)))
(net (code 354) (name SDRAM_nRAS)
(net (code 324) (name SDRAM_D11)
(node (ref U9) (pin 47)))
(net (code 325) (name SDRAM_nRAS)
(node (ref U9) (pin 18)))
(net (code 355) (name SDRAM_A9)
(node (ref U9) (pin 34)))
(net (code 356) (name SDRAM_DQM0)
(net (code 326) (name SDRAM_A1)
(node (ref U9) (pin 24)))
(net (code 327) (name SDRAM_D9)
(node (ref U9) (pin 44)))
(net (code 328) (name SDRAM_DQM0)
(node (ref U9) (pin 15)))
(net (code 357) (name SDRAM_A2)
(net (code 329) (name SDRAM_A2)
(node (ref U9) (pin 25)))
(net (code 358) (name SDRAM_A11)
(net (code 330) (name SDRAM_A11)
(node (ref U9) (pin 35)))
(net (code 359) (name SDRAM_D10)
(node (ref U9) (pin 45)))
(net (code 360) (name SDRAM_A3)
(net (code 331) (name SDRAM_nWE)
(node (ref U9) (pin 16)))
(net (code 332) (name SDRAM_A3)
(node (ref U9) (pin 26)))
(net (code 361) (name SDRAM_nCAS)
(net (code 333) (name SDRAM_nCAS)
(node (ref U9) (pin 17)))
(net (code 362) (name SDRAM_CKE)
(net (code 334) (name SDRAM_CKE)
(node (ref U9) (pin 37)))
(net (code 363) (name SDRAM_D11)
(node (ref U9) (pin 47)))
(net (code 364) (name SDRAM_nWE)
(node (ref U9) (pin 16)))
(net (code 365) (name AUDIO_R3)
(net (code 335) (name SDRAM_D10)
(node (ref U9) (pin 45)))
(net (code 336) (name AUDIO_R3)
(node (ref R18) (pin 1)))
(net (code 366) (name AUDIO_R2)
(net (code 337) (name AUDIO_R2)
(node (ref R19) (pin 1)))
(net (code 367) (name AUDIO_R1)
(net (code 338) (name AUDIO_R1)
(node (ref R20) (pin 1)))
(net (code 368) (name AUDIO_R0)
(net (code 339) (name AUDIO_R0)
(node (ref R21) (pin 1)))
(net (code 369) (name "Net-(AUDIO1-Pad4)")
(net (code 340) (name "Net-(AUDIO1-Pad4)")
(node (ref AUDIO1) (pin 4)))
(net (code 370) (name "Net-(AUDIO1-Pad5)")
(net (code 341) (name "Net-(AUDIO1-Pad5)")
(node (ref AUDIO1) (pin 5)))
(net (code 371) (name "Net-(AUDIO1-Pad6)")
(net (code 342) (name "Net-(AUDIO1-Pad6)")
(node (ref AUDIO1) (pin 6)))
(net (code 372) (name /analog/AUDIO_R)
(node (ref R18) (pin 2))
(node (ref R19) (pin 2))
(net (code 343) (name /analog/AUDIO_R)
(node (ref R20) (pin 2))
(node (ref R21) (pin 2))
(node (ref R19) (pin 2))
(node (ref R18) (pin 2))
(node (ref AUDIO1) (pin 3)))
(net (code 373) (name AUDIO_L0)
(net (code 344) (name AUDIO_L0)
(node (ref R17) (pin 1)))
(net (code 374) (name AUDIO_L1)
(net (code 345) (name AUDIO_L1)
(node (ref R16) (pin 1)))
(net (code 375) (name AUDIO_L2)
(net (code 346) (name AUDIO_L2)
(node (ref R15) (pin 1)))
(net (code 376) (name AUDIO_L3)
(net (code 347) (name AUDIO_L3)
(node (ref R14) (pin 1)))
(net (code 377) (name /analog/AUDIO_L)
(net (code 348) (name /analog/AUDIO_L)
(node (ref AUDIO1) (pin 1))
(node (ref R17) (pin 2))
(node (ref R14) (pin 2))
(node (ref R16) (pin 2))
(node (ref R15) (pin 2))
(node (ref R16) (pin 2)))
(net (code 378) (name "Net-(U10-Pad1)")
(node (ref R14) (pin 2)))
(net (code 349) (name "Net-(U10-Pad1)")
(node (ref U10) (pin 1)))
(net (code 379) (name "Net-(U10-Pad2)")
(net (code 350) (name "Net-(U10-Pad2)")
(node (ref U10) (pin 2)))
(net (code 380) (name "Net-(U10-Pad3)")
(net (code 351) (name "Net-(U10-Pad3)")
(node (ref U10) (pin 3)))
(net (code 381) (name "Net-(U10-Pad5)")
(net (code 352) (name "Net-(U10-Pad5)")
(node (ref U10) (pin 5)))
(net (code 382) (name "Net-(U10-Pad6)")
(net (code 353) (name "Net-(U10-Pad6)")
(node (ref U10) (pin 6)))
(net (code 383) (name "Net-(U10-Pad7)")
(net (code 354) (name "Net-(U10-Pad7)")
(node (ref U10) (pin 7)))
(net (code 384) (name SD_CD)
(net (code 355) (name SD_CD)
(node (ref SD1) (pin 10)))
(net (code 385) (name SD_WP)
(net (code 356) (name SD_WP)
(node (ref SD1) (pin 11)))))

@ -1,4 +1,4 @@
update=Pet 07 Tra 2017 01:09:43
update=Pon 10 Tra 2017 12:13:15
version=1
last_client=kicad
[pcbnew]
@ -66,3 +66,4 @@ LibName34=footprints/dcdc_converter/ap3429a
LibName35=footprints/usbserial/ft2232
LibName36=footprints/esp32/ESP32
LibName37=footprints/oled/ssd_13xx
LibName38=footprints/usbserial/ftdi

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -158,10 +159,10 @@ F 3 "" H 4800 6350 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR01
L GND #PWR1
U 1 1 58E6BC0E
P 3150 6550
F 0 "#PWR01" H 3150 6300 50 0001 C CNN
F 0 "#PWR1" H 3150 6300 50 0001 C CNN
F 1 "GND" H 3150 6400 50 0000 C CNN
F 2 "" H 3150 6550 50 0000 C CNN
F 3 "" H 3150 6550 50 0000 C CNN
@ -169,10 +170,10 @@ F 3 "" H 3150 6550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR02
L GND #PWR2
U 1 1 58E6BC2E
P 3700 6550
F 0 "#PWR02" H 3700 6300 50 0001 C CNN
F 0 "#PWR2" H 3700 6300 50 0001 C CNN
F 1 "GND" H 3700 6400 50 0000 C CNN
F 2 "" H 3700 6550 50 0000 C CNN
F 3 "" H 3700 6550 50 0000 C CNN
@ -180,10 +181,10 @@ F 3 "" H 3700 6550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR03
L GND #PWR3
U 1 1 58E6BC56
P 4250 6550
F 0 "#PWR03" H 4250 6300 50 0001 C CNN
F 0 "#PWR3" H 4250 6300 50 0001 C CNN
F 1 "GND" H 4250 6400 50 0000 C CNN
F 2 "" H 4250 6550 50 0000 C CNN
F 3 "" H 4250 6550 50 0000 C CNN
@ -191,10 +192,10 @@ F 3 "" H 4250 6550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR04
L GND #PWR4
U 1 1 58E6BC72
P 4800 6550
F 0 "#PWR04" H 4800 6300 50 0001 C CNN
F 0 "#PWR4" H 4800 6300 50 0001 C CNN
F 1 "GND" H 4800 6400 50 0000 C CNN
F 2 "" H 4800 6550 50 0000 C CNN
F 3 "" H 4800 6550 50 0000 C CNN

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -62,10 +63,6 @@ F 3 "" V 2650 1700 60 0000 C CNN
1 2650 1700
-1 0 0 1
$EndComp
Wire Wire Line
1800 1700 2550 1700
Wire Wire Line
2750 1700 2800 1700
$Comp
L +5V #PWR074
U 1 1 58D6C83B
@ -77,8 +74,6 @@ F 3 "" H 2800 1700 60 0000 C CNN
1 2800 1700
0 1 1 0
$EndComp
Wire Wire Line
2750 2650 2850 2650
$Comp
L D_Schottky_Small D9
U 1 1 58D6C83C
@ -90,8 +85,6 @@ F 3 "" V 2650 2650 60 0000 C CNN
1 2650 2650
1 0 0 -1
$EndComp
Wire Wire Line
1800 2650 2550 2650
$Comp
L +5V #PWR075
U 1 1 58D6C83D
@ -136,10 +129,6 @@ F 3 "" H 1900 2100 50 0000 C CNN
1 1900 2100
0 -1 -1 0
$EndComp
Wire Wire Line
1800 2100 1900 2100
Wire Wire Line
1800 3050 1900 3050
$Comp
L GND #PWR077
U 1 1 58D6C843
@ -155,23 +144,11 @@ Text GLabel 1850 1800 2 60 Input ~ 0
USB_FTDI_DM
Text GLabel 1850 1900 2 60 Input ~ 0
USB_FTDI_DP
Wire Wire Line
1800 1800 1850 1800
Wire Wire Line
1800 1900 1850 1900
Text GLabel 1850 2750 2 60 Input ~ 0
USB_FPGA_DM
Text GLabel 1850 2850 2 60 Input ~ 0
USB_FPGA_DP
Wire Wire Line
1800 2750 1850 2750
Wire Wire Line
1800 2850 1850 2850
Wire Wire Line
1800 2950 1850 2950
NoConn ~ 1850 2950
Wire Wire Line
1800 2000 1850 2000
NoConn ~ 1850 2000
$Comp
L GND #PWR078
@ -196,278 +173,46 @@ F 3 "" H 1400 3250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L FT2232H-56Q U6
U 1 1 58D86757
P 5550 3400
F 0 "U6" H 5550 3450 60 0000 C CNN
F 1 "FT2232H-56Q" H 5550 3350 60 0000 C CNN
F 2 "Housings_DFN_QFN:QFN-56-1EP_7x7mm_Pitch0.4mm" H 5550 3400 60 0001 C CNN
F 3 "" H 5550 3400 60 0001 C CNN
1 5550 3400
1 0 0 -1
$EndComp
$Comp
L GND #PWR080
U 1 1 58D8877C
P 5550 5750
F 0 "#PWR080" H 5550 5500 50 0001 C CNN
F 1 "GND" H 5550 5600 50 0000 C CNN
F 2 "" H 5550 5750 50 0000 C CNN
F 3 "" H 5550 5750 50 0000 C CNN
1 5550 5750
P 5600 4500
F 0 "#PWR080" H 5600 4250 50 0001 C CNN
F 1 "GND" H 5600 4350 50 0000 C CNN
F 2 "" H 5600 4500 50 0000 C CNN
F 3 "" H 5600 4500 50 0000 C CNN
1 5600 4500
1 0 0 -1
$EndComp
Wire Wire Line
5450 5550 5450 5650
Wire Wire Line
5650 5650 5650 5550
Wire Wire Line
5550 5550 5550 5750
Connection ~ 5550 5650
Wire Wire Line
5650 1250 5650 1150
Wire Wire Line
5650 1150 5850 1150
Wire Wire Line
5850 1150 5850 1250
Wire Wire Line
5750 1050 5750 1250
Connection ~ 5750 1150
Wire Wire Line
5400 1050 5400 1250
Wire Wire Line
5400 1150 5500 1150
Wire Wire Line
5500 1150 5500 1250
Connection ~ 5400 1150
$Comp
L +1V8 #PWR081
U 1 1 58D88D43
P 4150 1800
F 0 "#PWR081" H 4150 1650 50 0001 C CNN
F 1 "+1V8" H 4150 1940 50 0000 C CNN
F 2 "" H 4150 1800 50 0000 C CNN
F 3 "" H 4150 1800 50 0000 C CNN
1 4150 1800
0 -1 -1 0
$EndComp
Wire Wire Line
4150 1800 4250 1800
Wire Wire Line
4200 1800 4200 1850
Connection ~ 4200 1800
$Comp
L C C17
U 1 1 58D88DB5
P 4200 2000
F 0 "C17" H 4225 2100 50 0000 L CNN
F 1 "3.3uF" H 4225 1900 50 0000 L CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 4238 1850 50 0001 C CNN
F 3 "" H 4200 2000 50 0000 C CNN
1 4200 2000
1 0 0 -1
$EndComp
$Comp
L GND #PWR082
U 1 1 58D88E02
P 4200 2200
F 0 "#PWR082" H 4200 1950 50 0001 C CNN
F 1 "GND" H 4200 2050 50 0000 C CNN
F 2 "" H 4200 2200 50 0000 C CNN
F 3 "" H 4200 2200 50 0000 C CNN
1 4200 2200
1 0 0 -1
$EndComp
Wire Wire Line
4200 2150 4200 2200
Wire Wire Line
5050 1050 5050 1250
Wire Wire Line
5050 1150 5150 1150
Wire Wire Line
5150 1150 5150 1250
Connection ~ 5050 1150
$Comp
L +1V8 #PWR083
U 1 1 58D88EF8
P 5400 1050
F 0 "#PWR083" H 5400 900 50 0001 C CNN
F 1 "+1V8" H 5400 1190 50 0000 C CNN
F 2 "" H 5400 1050 50 0000 C CNN
F 3 "" H 5400 1050 50 0000 C CNN
1 5400 1050
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR084
U 1 1 58D88F34
P 5750 1050
F 0 "#PWR084" H 5750 900 50 0001 C CNN
F 1 "+3V3" H 5750 1190 50 0000 C CNN
F 2 "" H 5750 1050 50 0000 C CNN
F 3 "" H 5750 1050 50 0000 C CNN
1 5750 1050
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR085
U 1 1 58D893C4
P 4050 1650
F 0 "#PWR085" H 4050 1500 50 0001 C CNN
F 1 "+3V3" H 4050 1790 50 0000 C CNN
F 2 "" H 4050 1650 50 0000 C CNN
F 3 "" H 4050 1650 50 0000 C CNN
1 4050 1650
0 -1 -1 0
$EndComp
Wire Wire Line
4050 1650 4250 1650
$Comp
L +3V3 #PWR086
U 1 1 58D89CFD
P 5050 1050
F 0 "#PWR086" H 5050 900 50 0001 C CNN
F 1 "+3V3" H 5050 1190 50 0000 C CNN
F 2 "" H 5050 1050 50 0000 C CNN
F 3 "" H 5050 1050 50 0000 C CNN
1 5050 1050
1 0 0 -1
$EndComp
$Comp
L Crystal Y2
U 1 1 58D8A211
P 3650 4850
F 0 "Y2" H 3650 5000 50 0000 C CNN
F 1 "12MHz" H 3650 4700 50 0000 C CNN
F 2 "Crystals:Crystal_HC49-SD_SMD" H 3650 4850 50 0001 C CNN
F 3 "" H 3650 4850 50 0000 C CNN
1 3650 4850
0 1 1 0
$EndComp
Wire Wire Line
3450 4700 4250 4700
Wire Wire Line
3450 5000 4250 5000
Text Label 3750 4700 0 60 ~ 0
OSCI_12M
Text Label 3750 5000 0 60 ~ 0
OSCO_12M
Text GLabel 4100 3200 0 60 Input ~ 0
Text GLabel 5000 3100 0 60 Input ~ 0
USB_FTDI_DM
Text GLabel 4100 3300 0 60 Input ~ 0
Text GLabel 5000 3200 0 60 Input ~ 0
USB_FTDI_DP
Wire Wire Line
4100 3200 4250 3200
Wire Wire Line
4100 3300 4250 3300
Text GLabel 7000 5150 2 60 Input ~ 0
Text GLabel 6850 5150 2 60 Input ~ 0
FTDI_nSUSPEND
Wire Wire Line
6850 5150 7000 5150
$Comp
L C C15
U 1 1 58D8C14C
P 3300 4700
F 0 "C15" H 3325 4800 50 0000 L CNN
F 1 "27pF" H 3325 4600 50 0000 L CNN
F 2 "Capacitors_SMD:C_0603_HandSoldering" H 3338 4550 50 0001 C CNN
F 3 "" H 3300 4700 50 0000 C CNN
1 3300 4700
0 1 1 0
$EndComp
$Comp
L C C16
U 1 1 58D8C189
P 3300 5000
F 0 "C16" H 3325 5100 50 0000 L CNN
F 1 "27pF" H 3325 4900 50 0000 L CNN
F 2 "Capacitors_SMD:C_0603_HandSoldering" H 3338 4850 50 0001 C CNN
F 3 "" H 3300 5000 50 0000 C CNN
1 3300 5000
0 1 1 0
$EndComp
Connection ~ 3650 4700
Connection ~ 3650 5000
Wire Wire Line
3150 4700 3050 4700
Wire Wire Line
3050 4700 3050 5200
Wire Wire Line
3150 5000 3050 5000
Connection ~ 3050 5000
$Comp
L GND #PWR087
U 1 1 58D8C2A8
P 3050 5200
F 0 "#PWR087" H 3050 4950 50 0001 C CNN
F 1 "GND" H 3050 5050 50 0000 C CNN
F 2 "" H 3050 5200 50 0000 C CNN
F 3 "" H 3050 5200 50 0000 C CNN
1 3050 5200
1 0 0 -1
$EndComp
Text GLabel 7000 1650 2 60 Input ~ 0
Text GLabel 6550 3700 2 60 Input ~ 0
JTAG_TCK
Text GLabel 7000 1750 2 60 Input ~ 0
Text GLabel 6550 3800 2 60 Input ~ 0
JTAG_TDI
Text GLabel 7000 1850 2 60 Input ~ 0
Text GLabel 6550 3900 2 60 Input ~ 0
JTAG_TDO
Text GLabel 7000 1950 2 60 Input ~ 0
Text GLabel 6550 4000 2 60 Input ~ 0
JTAG_TMS
Wire Wire Line
6850 1650 7000 1650
Wire Wire Line
6850 1750 7000 1750
Wire Wire Line
6850 1850 7000 1850
Wire Wire Line
6850 1950 7000 1950
Text GLabel 7000 3350 2 60 Input ~ 0
Text GLabel 6550 2800 2 60 Input ~ 0
FTDI_TXD
Text GLabel 7000 3450 2 60 Input ~ 0
Text GLabel 6550 2900 2 60 Input ~ 0
FTDI_RXD
Wire Wire Line
6850 3350 7000 3350
Wire Wire Line
6850 3450 7000 3450
Text GLabel 7000 3550 2 60 Input ~ 0
Text GLabel 6550 3000 2 60 Input ~ 0
FTDI_nRTS
Text GLabel 7000 3650 2 60 Input ~ 0
Text GLabel 6550 3100 2 60 Input ~ 0
FTDI_nCTS
Text GLabel 7000 3750 2 60 Input ~ 0
Text GLabel 6550 3200 2 60 Input ~ 0
FTDI_nDTR
Text GLabel 7000 3950 2 60 Input ~ 0
Text GLabel 6550 3400 2 60 Input ~ 0
FTDI_nDCD
Text GLabel 7000 3850 2 60 Input ~ 0
Text GLabel 6550 3300 2 60 Input ~ 0
FTDI_nDSR
Text GLabel 7000 4050 2 60 Input ~ 0
Text GLabel 6550 3500 2 60 Input ~ 0
FTDI_nRI
Wire Wire Line
6850 3550 7000 3550
Wire Wire Line
6850 3650 7000 3650
Wire Wire Line
6850 3750 7000 3750
Wire Wire Line
6850 3850 7000 3850
Wire Wire Line
6850 3950 7000 3950
Wire Wire Line
6850 4050 7000 4050
Wire Wire Line
6850 4500 7600 4500
Wire Wire Line
6850 4600 7600 4600
Text Label 6950 4500 0 60 ~ 0
FTDI_nRXLED
Text Label 6950 4600 0 60 ~ 0
FTDI_nTXLED
Wire Wire Line
5450 5650 5850 5650
Wire Wire Line
5850 5650 5850 5550
Connection ~ 5650 5650
NoConn ~ 4250 5150
$Comp
L LFE5-BG381 U1
U 10 1 58D87A63
@ -487,195 +232,150 @@ Text GLabel 9500 1950 2 60 Input ~ 0
JTAG_TCK
Text GLabel 9500 2050 2 60 Input ~ 0
JTAG_TMS
Text GLabel 2150 1500 2 60 Input ~ 0
USB5V
$Comp
L 93CxxC U8
U 1 1 58D8D21C
P 1650 4650
F 0 "U8" H 1500 5000 50 0000 C CNN
F 1 "93CxxC" H 1450 4400 50 0000 L CNN
F 2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" H 1550 4650 50 0001 C CNN
F 3 "" H 1650 4750 50 0000 C CNN
1 1650 4650
L LFE5-BG381 U1
U 7 1 58E7AFAC
P 9050 3500
F 0 "U1" H 9050 4450 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 9050 4350 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 8000 4450 60 0001 C CNN
F 3 "" H 8000 4450 60 0000 C CNN
7 9050 3500
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR088
U 1 1 58D8D327
P 1350 4450
F 0 "#PWR088" H 1350 4300 50 0001 C CNN
F 1 "+3V3" H 1350 4590 50 0000 C CNN
F 2 "" H 1350 4450 50 0000 C CNN
F 3 "" H 1350 4450 50 0000 C CNN
1 1350 4450
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR089
U 1 1 58D8D351
P 2150 4750
F 0 "#PWR089" H 2150 4600 50 0001 C CNN
F 1 "+3V3" H 2150 4890 50 0000 C CNN
F 2 "" H 2150 4750 50 0000 C CNN
F 3 "" H 2150 4750 50 0000 C CNN
1 2150 4750
0 1 1 0
$EndComp
$Comp
L GND #PWR090
U 1 1 58D8D37B
P 1350 4750
F 0 "#PWR090" H 1350 4500 50 0001 C CNN
F 1 "GND" H 1350 4600 50 0000 C CNN
F 2 "" H 1350 4750 50 0000 C CNN
F 3 "" H 1350 4750 50 0000 C CNN
1 1350 4750
0 1 1 0
L FT231XS U6
U 1 1 58EB61C6
P 5700 3400
F 0 "U6" H 5150 4200 50 0000 L CNN
F 1 "FT231XS" H 6000 4200 50 0000 L CNN
F 2 "Housings_SSOP:SSOP-20_4.4x6.5mm_Pitch0.65mm" H 5700 3400 50 0001 C CNN
F 3 "" H 5700 3400 50 0001 C CNN
1 5700 3400
1 0 0 -1
$EndComp
$Comp
L R R12
U 1 1 58D8D814
P 2400 4550
F 0 "R12" V 2480 4550 50 0000 C CNN
F 1 "2.2k" V 2400 4550 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 2330 4550 50 0001 C CNN
F 3 "" H 2400 4550 50 0000 C CNN
1 2400 4550
0 1 1 0
L +5V #PWR?
U 1 1 58EB8213
P 5600 2500
F 0 "#PWR?" H 5600 2350 50 0001 C CNN
F 1 "+5V" H 5600 2640 50 0000 C CNN
F 2 "" H 5600 2500 50 0000 C CNN
F 3 "" H 5600 2500 50 0000 C CNN
1 5600 2500
1 0 0 -1
$EndComp
Wire Wire Line
1950 4550 2250 4550
1800 1700 2550 1700
Wire Wire Line
1950 4450 2650 4450
2750 1700 2800 1700
Wire Wire Line
2650 4450 2650 4550
2750 2650 2850 2650
Wire Wire Line
2650 4550 2550 4550
1800 2650 2550 2650
Wire Wire Line
4250 4300 3750 4300
1800 2100 1900 2100
Wire Wire Line
4250 4400 3750 4400
1800 3050 1900 3050
Wire Wire Line
4250 4500 3750 4500
Text Label 3850 4500 0 60 ~ 0
EEDATA
Text Label 3850 4400 0 60 ~ 0
EECLK
Text Label 3850 4300 0 60 ~ 0
EECS
1800 1800 1850 1800
Wire Wire Line
1350 4550 850 4550
Text Label 900 4550 0 60 ~ 0
EECS
1800 1900 1850 1900
Wire Wire Line
700 4650 1350 4650
Text Label 900 4650 0 60 ~ 0
EECLK
Text Label 2300 4450 0 60 ~ 0
EEDATA
$Comp
L R R11
U 1 1 58D8DC49
P 2100 4200
F 0 "R11" V 2180 4200 50 0000 C CNN
F 1 "10k" V 2100 4200 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 2030 4200 50 0001 C CNN
F 3 "" H 2100 4200 50 0000 C CNN
1 2100 4200
1 0 0 -1
$EndComp
$Comp
L R R10
U 1 1 58D8DCBE
P 850 4250
F 0 "R10" V 930 4250 50 0000 C CNN
F 1 "10k" V 850 4250 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 780 4250 50 0001 C CNN
F 3 "" H 850 4250 50 0000 C CNN
1 850 4250
1 0 0 -1
$EndComp
$Comp
L R R9
U 1 1 58D8DD25
P 700 4250
F 0 "R9" V 780 4250 50 0000 C CNN
F 1 "10k" V 700 4250 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 630 4250 50 0001 C CNN
F 3 "" H 700 4250 50 0000 C CNN
1 700 4250
1 0 0 -1
$EndComp
1800 2750 1850 2750
Wire Wire Line
700 4400 700 4650
1800 2850 1850 2850
Wire Wire Line
850 4550 850 4400
$Comp
L +3V3 #PWR091
U 1 1 58D8E00C
P 700 4000
F 0 "#PWR091" H 700 3850 50 0001 C CNN
F 1 "+3V3" H 700 4140 50 0000 C CNN
F 2 "" H 700 4000 50 0000 C CNN
F 3 "" H 700 4000 50 0000 C CNN
1 700 4000
1 0 0 -1
$EndComp
1800 2950 1850 2950
Wire Wire Line
700 4000 700 4100
1800 2000 1850 2000
Wire Wire Line
700 4050 850 4050
5600 4300 5600 4500
Connection ~ 5600 4400
Wire Wire Line
850 4050 850 4100
Connection ~ 700 4050
$Comp
L +3V3 #PWR092
U 1 1 58D8E1C0
P 2100 3950
F 0 "#PWR092" H 2100 3800 50 0001 C CNN
F 1 "+3V3" H 2100 4090 50 0000 C CNN
F 2 "" H 2100 3950 50 0000 C CNN
F 3 "" H 2100 3950 50 0000 C CNN
1 2100 3950
1 0 0 -1
$EndComp
6400 3700 6550 3700
Wire Wire Line
2100 3950 2100 4050
Connection ~ 2100 4550
6400 3800 6550 3800
Wire Wire Line
2100 4350 2100 4550
$Comp
L GND #PWR093
U 1 1 58D8EDE4
P 2000 4650
F 0 "#PWR093" H 2000 4400 50 0001 C CNN
F 1 "GND" H 2000 4500 50 0000 C CNN
F 2 "" H 2000 4650 50 0000 C CNN
F 3 "" H 2000 4650 50 0000 C CNN
1 2000 4650
0 -1 -1 0
$EndComp
6400 3900 6550 3900
Wire Wire Line
1950 4650 2000 4650
6400 4000 6550 4000
Wire Wire Line
1950 4750 2150 4750
Text Notes 4100 1400 0 60 ~ 0
todo:\nVPHY and VPLL\nneed LC network
Text GLabel 2150 1500 2 60 Input ~ 0
USB5V
6400 2800 6550 2800
Wire Wire Line
6400 2900 6550 2900
Wire Wire Line
6400 3000 6550 3000
Wire Wire Line
6400 3100 6550 3100
Wire Wire Line
6400 3200 6550 3200
Wire Wire Line
6400 3300 6550 3300
Wire Wire Line
6400 3400 6550 3400
Wire Wire Line
6400 3500 6550 3500
Wire Wire Line
2150 1500 1950 1500
Wire Wire Line
1950 1500 1950 1700
Connection ~ 1950 1700
Wire Wire Line
5600 4400 5800 4400
Wire Wire Line
5800 4400 5800 4300
Wire Wire Line
5000 2800 4900 2800
Wire Wire Line
4900 2800 4900 2250
Wire Wire Line
4900 2250 5800 2250
Wire Wire Line
5800 2250 5800 2500
Text Label 5200 2250 0 60 ~ 0
FT3V3
Text GLabel 4850 3400 0 60 Input ~ 0
nRESET
Wire Wire Line
4850 3400 5000 3400
$Comp
L LFE5-BG381 U1
U 7 1 58E7AFAC
P 9050 3500
F 0 "U1" H 9050 4450 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 9050 4350 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 8000 4450 60 0001 C CNN
F 3 "" H 8000 4450 60 0000 C CNN
7 9050 3500
1 0 0 -1
L R R?
U 1 1 58EB9CB5
P 4700 3600
F 0 "R?" V 4780 3600 50 0000 C CNN
F 1 "15k" V 4700 3600 50 0000 C CNN
F 2 "" V 4630 3600 50 0000 C CNN
F 3 "" H 4700 3600 50 0000 C CNN
1 4700 3600
0 1 1 0
$EndComp
Wire Wire Line
4850 3600 4950 3600
Wire Wire Line
4950 3600 4950 3400
Connection ~ 4950 3400
Wire Wire Line
4550 3600 4200 3600
Text Label 4250 3600 0 60 ~ 0
FT3V3
Text GLabel 6350 5150 0 60 Input ~ 0
FTDI_nDTR
$Comp
L R R?
U 1 1 58EBA6BD
P 6600 5150
F 0 "R?" V 6680 5150 50 0000 C CNN
F 1 "1k" V 6600 5150 50 0000 C CNN
F 2 "" V 6530 5150 50 0000 C CNN
F 3 "" H 6600 5150 50 0000 C CNN
1 6600 5150
0 1 1 0
$EndComp
Wire Wire Line
6350 5150 6450 5150
Wire Wire Line
6750 5150 6850 5150
$EndSCHEMATC

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -62,23 +63,17 @@ F 3 "" V 2650 1700 60 0000 C CNN
1 2650 1700
-1 0 0 1
$EndComp
Wire Wire Line
1800 1700 2550 1700
Wire Wire Line
2750 1700 2800 1700
$Comp
L +5V #PWR074
L +5V #PWR78
U 1 1 58D6C83B
P 2800 1700
F 0 "#PWR074" H 2800 1550 50 0001 C CNN
F 0 "#PWR78" H 2800 1550 50 0001 C CNN
F 1 "+5V" H 2800 1840 50 0000 C CNN
F 2 "" H 2800 1700 60 0000 C CNN
F 3 "" H 2800 1700 60 0000 C CNN
1 2800 1700
0 1 1 0
$EndComp
Wire Wire Line
2750 2650 2850 2650
$Comp
L D_Schottky_Small D9
U 1 1 58D6C83C
@ -90,13 +85,11 @@ F 3 "" V 2650 2650 60 0000 C CNN
1 2650 2650
1 0 0 -1
$EndComp
Wire Wire Line
1800 2650 2550 2650
$Comp
L +5V #PWR075
L +5V #PWR79
U 1 1 58D6C83D
P 2850 2650
F 0 "#PWR075" H 2850 2500 50 0001 C CNN
F 0 "#PWR79" H 2850 2500 50 0001 C CNN
F 1 "+5V" H 2850 2790 50 0000 C CNN
F 2 "" H 2850 2650 60 0000 C CNN
F 3 "" H 2850 2650 60 0000 C CNN
@ -126,25 +119,21 @@ F 3 "" V 1450 2750 50 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L GND #PWR076
L GND #PWR76
U 1 1 58D6C842
P 1900 2100
F 0 "#PWR076" H 1900 1850 50 0001 C CNN
F 0 "#PWR76" H 1900 1850 50 0001 C CNN
F 1 "GND" H 1900 1950 50 0000 C CNN
F 2 "" H 1900 2100 50 0000 C CNN
F 3 "" H 1900 2100 50 0000 C CNN
1 1900 2100
0 -1 -1 0
$EndComp
Wire Wire Line
1800 2100 1900 2100
Wire Wire Line
1800 3050 1900 3050
$Comp
L GND #PWR077
L GND #PWR77
U 1 1 58D6C843
P 1900 3050
F 0 "#PWR077" H 1900 2800 50 0001 C CNN
F 0 "#PWR77" H 1900 2800 50 0001 C CNN
F 1 "GND" H 1900 2900 50 0000 C CNN
F 2 "" H 1900 3050 50 0000 C CNN
F 3 "" H 1900 3050 50 0000 C CNN
@ -155,29 +144,17 @@ Text GLabel 1850 1800 2 60 Input ~ 0
USB_FTDI_DM
Text GLabel 1850 1900 2 60 Input ~ 0
USB_FTDI_DP
Wire Wire Line
1800 1800 1850 1800
Wire Wire Line
1800 1900 1850 1900
Text GLabel 1850 2750 2 60 Input ~ 0
USB_FPGA_DM
Text GLabel 1850 2850 2 60 Input ~ 0
USB_FPGA_DP
Wire Wire Line
1800 2750 1850 2750
Wire Wire Line
1800 2850 1850 2850
Wire Wire Line
1800 2950 1850 2950
NoConn ~ 1850 2950
Wire Wire Line
1800 2000 1850 2000
NoConn ~ 1850 2000
$Comp
L GND #PWR078
L GND #PWR74
U 1 1 58D82518
P 1400 2300
F 0 "#PWR078" H 1400 2050 50 0001 C CNN
F 0 "#PWR74" H 1400 2050 50 0001 C CNN
F 1 "GND" H 1400 2150 50 0000 C CNN
F 2 "" H 1400 2300 50 0000 C CNN
F 3 "" H 1400 2300 50 0000 C CNN
@ -185,10 +162,10 @@ F 3 "" H 1400 2300 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR079
L GND #PWR75
U 1 1 58D8254A
P 1400 3250
F 0 "#PWR079" H 1400 3000 50 0001 C CNN
F 0 "#PWR75" H 1400 3000 50 0001 C CNN
F 1 "GND" H 1400 3100 50 0000 C CNN
F 2 "" H 1400 3250 50 0000 C CNN
F 3 "" H 1400 3250 50 0000 C CNN
@ -196,278 +173,46 @@ F 3 "" H 1400 3250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L FT2232H-56Q U6
U 1 1 58D86757
P 5550 3400
F 0 "U6" H 5550 3450 60 0000 C CNN
F 1 "FT2232H-56Q" H 5550 3350 60 0000 C CNN
F 2 "Housings_DFN_QFN:QFN-56-1EP_7x7mm_Pitch0.4mm" H 5550 3400 60 0001 C CNN
F 3 "" H 5550 3400 60 0001 C CNN
1 5550 3400
1 0 0 -1
$EndComp
$Comp
L GND #PWR080
L GND #PWR81
U 1 1 58D8877C
P 5550 5750
F 0 "#PWR080" H 5550 5500 50 0001 C CNN
F 1 "GND" H 5550 5600 50 0000 C CNN
F 2 "" H 5550 5750 50 0000 C CNN
F 3 "" H 5550 5750 50 0000 C CNN
1 5550 5750
1 0 0 -1
$EndComp
Wire Wire Line
5450 5550 5450 5650
Wire Wire Line
5650 5650 5650 5550
Wire Wire Line
5550 5550 5550 5750
Connection ~ 5550 5650
Wire Wire Line
5650 1250 5650 1150
Wire Wire Line
5650 1150 5850 1150
Wire Wire Line
5850 1150 5850 1250
Wire Wire Line
5750 1050 5750 1250
Connection ~ 5750 1150
Wire Wire Line
5400 1050 5400 1250
Wire Wire Line
5400 1150 5500 1150
Wire Wire Line
5500 1150 5500 1250
Connection ~ 5400 1150
$Comp
L +1V8 #PWR081
U 1 1 58D88D43
P 4150 1800
F 0 "#PWR081" H 4150 1650 50 0001 C CNN
F 1 "+1V8" H 4150 1940 50 0000 C CNN
F 2 "" H 4150 1800 50 0000 C CNN
F 3 "" H 4150 1800 50 0000 C CNN
1 4150 1800
0 -1 -1 0
$EndComp
Wire Wire Line
4150 1800 4250 1800
Wire Wire Line
4200 1800 4200 1850
Connection ~ 4200 1800
$Comp
L C C17
U 1 1 58D88DB5
P 4200 2000
F 0 "C17" H 4225 2100 50 0000 L CNN
F 1 "3.3uF" H 4225 1900 50 0000 L CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 4238 1850 50 0001 C CNN
F 3 "" H 4200 2000 50 0000 C CNN
1 4200 2000
1 0 0 -1
$EndComp
$Comp
L GND #PWR082
U 1 1 58D88E02
P 4200 2200
F 0 "#PWR082" H 4200 1950 50 0001 C CNN
F 1 "GND" H 4200 2050 50 0000 C CNN
F 2 "" H 4200 2200 50 0000 C CNN
F 3 "" H 4200 2200 50 0000 C CNN
1 4200 2200
P 5600 4500
F 0 "#PWR81" H 5600 4250 50 0001 C CNN
F 1 "GND" H 5600 4350 50 0000 C CNN
F 2 "" H 5600 4500 50 0000 C CNN
F 3 "" H 5600 4500 50 0000 C CNN
1 5600 4500
1 0 0 -1
$EndComp
Wire Wire Line
4200 2150 4200 2200
Wire Wire Line
5050 1050 5050 1250
Wire Wire Line
5050 1150 5150 1150
Wire Wire Line
5150 1150 5150 1250
Connection ~ 5050 1150
$Comp
L +1V8 #PWR083
U 1 1 58D88EF8
P 5400 1050
F 0 "#PWR083" H 5400 900 50 0001 C CNN
F 1 "+1V8" H 5400 1190 50 0000 C CNN
F 2 "" H 5400 1050 50 0000 C CNN
F 3 "" H 5400 1050 50 0000 C CNN
1 5400 1050
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR084
U 1 1 58D88F34
P 5750 1050
F 0 "#PWR084" H 5750 900 50 0001 C CNN
F 1 "+3V3" H 5750 1190 50 0000 C CNN
F 2 "" H 5750 1050 50 0000 C CNN
F 3 "" H 5750 1050 50 0000 C CNN
1 5750 1050
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR085
U 1 1 58D893C4
P 4050 1650
F 0 "#PWR085" H 4050 1500 50 0001 C CNN
F 1 "+3V3" H 4050 1790 50 0000 C CNN
F 2 "" H 4050 1650 50 0000 C CNN
F 3 "" H 4050 1650 50 0000 C CNN
1 4050 1650
0 -1 -1 0
$EndComp
Wire Wire Line
4050 1650 4250 1650
$Comp
L +3V3 #PWR086
U 1 1 58D89CFD
P 5050 1050
F 0 "#PWR086" H 5050 900 50 0001 C CNN
F 1 "+3V3" H 5050 1190 50 0000 C CNN
F 2 "" H 5050 1050 50 0000 C CNN
F 3 "" H 5050 1050 50 0000 C CNN
1 5050 1050
1 0 0 -1
$EndComp
$Comp
L Crystal Y2
U 1 1 58D8A211
P 3650 4850
F 0 "Y2" H 3650 5000 50 0000 C CNN
F 1 "12MHz" H 3650 4700 50 0000 C CNN
F 2 "Crystals:Crystal_HC49-SD_SMD" H 3650 4850 50 0001 C CNN
F 3 "" H 3650 4850 50 0000 C CNN
1 3650 4850
0 1 1 0
$EndComp
Wire Wire Line
3450 4700 4250 4700
Wire Wire Line
3450 5000 4250 5000
Text Label 3750 4700 0 60 ~ 0
OSCI_12M
Text Label 3750 5000 0 60 ~ 0
OSCO_12M
Text GLabel 4100 3200 0 60 Input ~ 0
Text GLabel 5000 3100 0 60 Input ~ 0
USB_FTDI_DM
Text GLabel 4100 3300 0 60 Input ~ 0
Text GLabel 5000 3200 0 60 Input ~ 0
USB_FTDI_DP
Wire Wire Line
4100 3200 4250 3200
Wire Wire Line
4100 3300 4250 3300
Text GLabel 7000 5150 2 60 Input ~ 0
Text GLabel 6850 5150 2 60 Input ~ 0
FTDI_nSUSPEND
Wire Wire Line
6850 5150 7000 5150
$Comp
L C C15
U 1 1 58D8C14C
P 3300 4700
F 0 "C15" H 3325 4800 50 0000 L CNN
F 1 "27pF" H 3325 4600 50 0000 L CNN
F 2 "Capacitors_SMD:C_0603_HandSoldering" H 3338 4550 50 0001 C CNN
F 3 "" H 3300 4700 50 0000 C CNN
1 3300 4700
0 1 1 0
$EndComp
$Comp
L C C16
U 1 1 58D8C189
P 3300 5000
F 0 "C16" H 3325 5100 50 0000 L CNN
F 1 "27pF" H 3325 4900 50 0000 L CNN
F 2 "Capacitors_SMD:C_0603_HandSoldering" H 3338 4850 50 0001 C CNN
F 3 "" H 3300 5000 50 0000 C CNN
1 3300 5000
0 1 1 0
$EndComp
Connection ~ 3650 4700
Connection ~ 3650 5000
Wire Wire Line
3150 4700 3050 4700
Wire Wire Line
3050 4700 3050 5200
Wire Wire Line
3150 5000 3050 5000
Connection ~ 3050 5000
$Comp
L GND #PWR087
U 1 1 58D8C2A8
P 3050 5200
F 0 "#PWR087" H 3050 4950 50 0001 C CNN
F 1 "GND" H 3050 5050 50 0000 C CNN
F 2 "" H 3050 5200 50 0000 C CNN
F 3 "" H 3050 5200 50 0000 C CNN
1 3050 5200
1 0 0 -1
$EndComp
Text GLabel 7000 1650 2 60 Input ~ 0
Text GLabel 6550 3700 2 60 Input ~ 0
JTAG_TCK
Text GLabel 7000 1750 2 60 Input ~ 0
Text GLabel 6550 3800 2 60 Input ~ 0
JTAG_TDI
Text GLabel 7000 1850 2 60 Input ~ 0
Text GLabel 6550 3900 2 60 Input ~ 0
JTAG_TDO
Text GLabel 7000 1950 2 60 Input ~ 0
Text GLabel 6550 4000 2 60 Input ~ 0
JTAG_TMS
Wire Wire Line
6850 1650 7000 1650
Wire Wire Line
6850 1750 7000 1750
Wire Wire Line
6850 1850 7000 1850
Wire Wire Line
6850 1950 7000 1950
Text GLabel 7000 3350 2 60 Input ~ 0
Text GLabel 6550 2800 2 60 Input ~ 0
FTDI_TXD
Text GLabel 7000 3450 2 60 Input ~ 0
Text GLabel 6550 2900 2 60 Input ~ 0
FTDI_RXD
Wire Wire Line
6850 3350 7000 3350
Wire Wire Line
6850 3450 7000 3450
Text GLabel 7000 3550 2 60 Input ~ 0
Text GLabel 6550 3000 2 60 Input ~ 0
FTDI_nRTS
Text GLabel 7000 3650 2 60 Input ~ 0
Text GLabel 6550 3100 2 60 Input ~ 0
FTDI_nCTS
Text GLabel 7000 3750 2 60 Input ~ 0
Text GLabel 6550 3200 2 60 Input ~ 0
FTDI_nDTR
Text GLabel 7000 3950 2 60 Input ~ 0
Text GLabel 6550 3400 2 60 Input ~ 0
FTDI_nDCD
Text GLabel 7000 3850 2 60 Input ~ 0
Text GLabel 6550 3300 2 60 Input ~ 0
FTDI_nDSR
Text GLabel 7000 4050 2 60 Input ~ 0
Text GLabel 6550 3500 2 60 Input ~ 0
FTDI_nRI
Wire Wire Line
6850 3550 7000 3550
Wire Wire Line
6850 3650 7000 3650
Wire Wire Line
6850 3750 7000 3750
Wire Wire Line
6850 3850 7000 3850
Wire Wire Line
6850 3950 7000 3950
Wire Wire Line
6850 4050 7000 4050
Wire Wire Line
6850 4500 7600 4500
Wire Wire Line
6850 4600 7600 4600
Text Label 6950 4500 0 60 ~ 0
FTDI_nRXLED
Text Label 6950 4600 0 60 ~ 0
FTDI_nTXLED
Wire Wire Line
5450 5650 5850 5650
Wire Wire Line
5850 5650 5850 5550
Connection ~ 5650 5650
NoConn ~ 4250 5150
$Comp
L LFE5-BG381 U1
U 10 1 58D87A63
@ -487,195 +232,150 @@ Text GLabel 9500 1950 2 60 Input ~ 0
JTAG_TCK
Text GLabel 9500 2050 2 60 Input ~ 0
JTAG_TMS
Text GLabel 2150 1500 2 60 Input ~ 0
USB5V
$Comp
L 93CxxC U8
U 1 1 58D8D21C
P 1650 4650
F 0 "U8" H 1500 5000 50 0000 C CNN
F 1 "93CxxC" H 1450 4400 50 0000 L CNN
F 2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" H 1550 4650 50 0001 C CNN
F 3 "" H 1650 4750 50 0000 C CNN
1 1650 4650
L LFE5-BG381 U1
U 7 1 58E7AFAC
P 9050 3500
F 0 "U1" H 9050 4450 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 9050 4350 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 8000 4450 60 0001 C CNN
F 3 "" H 8000 4450 60 0000 C CNN
7 9050 3500
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR088
U 1 1 58D8D327
P 1350 4450
F 0 "#PWR088" H 1350 4300 50 0001 C CNN
F 1 "+3V3" H 1350 4590 50 0000 C CNN
F 2 "" H 1350 4450 50 0000 C CNN
F 3 "" H 1350 4450 50 0000 C CNN
1 1350 4450
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR089
U 1 1 58D8D351
P 2150 4750
F 0 "#PWR089" H 2150 4600 50 0001 C CNN
F 1 "+3V3" H 2150 4890 50 0000 C CNN
F 2 "" H 2150 4750 50 0000 C CNN
F 3 "" H 2150 4750 50 0000 C CNN
1 2150 4750
0 1 1 0
$EndComp
$Comp
L GND #PWR090
U 1 1 58D8D37B
P 1350 4750
F 0 "#PWR090" H 1350 4500 50 0001 C CNN
F 1 "GND" H 1350 4600 50 0000 C CNN
F 2 "" H 1350 4750 50 0000 C CNN
F 3 "" H 1350 4750 50 0000 C CNN
1 1350 4750
0 1 1 0
L FT231XS U6
U 1 1 58EB61C6
P 5700 3400
F 0 "U6" H 5150 4200 50 0000 L CNN
F 1 "FT231XS" H 6000 4200 50 0000 L CNN
F 2 "Housings_SSOP:SSOP-20_4.4x6.5mm_Pitch0.65mm" H 5700 3400 50 0001 C CNN
F 3 "" H 5700 3400 50 0001 C CNN
1 5700 3400
1 0 0 -1
$EndComp
$Comp
L R R12
U 1 1 58D8D814
P 2400 4550
F 0 "R12" V 2480 4550 50 0000 C CNN
F 1 "2.2k" V 2400 4550 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 2330 4550 50 0001 C CNN
F 3 "" H 2400 4550 50 0000 C CNN
1 2400 4550
0 1 1 0
L +5V #PWR80
U 1 1 58EB8213
P 5600 2500
F 0 "#PWR80" H 5600 2350 50 0001 C CNN
F 1 "+5V" H 5600 2640 50 0000 C CNN
F 2 "" H 5600 2500 50 0000 C CNN
F 3 "" H 5600 2500 50 0000 C CNN
1 5600 2500
1 0 0 -1
$EndComp
Wire Wire Line
1950 4550 2250 4550
1800 1700 2550 1700
Wire Wire Line
1950 4450 2650 4450
2750 1700 2800 1700
Wire Wire Line
2650 4450 2650 4550
2750 2650 2850 2650
Wire Wire Line
2650 4550 2550 4550
1800 2650 2550 2650
Wire Wire Line
4250 4300 3750 4300
1800 2100 1900 2100
Wire Wire Line
4250 4400 3750 4400
1800 3050 1900 3050
Wire Wire Line
4250 4500 3750 4500
Text Label 3850 4500 0 60 ~ 0
EEDATA
Text Label 3850 4400 0 60 ~ 0
EECLK
Text Label 3850 4300 0 60 ~ 0
EECS
1800 1800 1850 1800
Wire Wire Line
1350 4550 850 4550
Text Label 900 4550 0 60 ~ 0
EECS
1800 1900 1850 1900
Wire Wire Line
700 4650 1350 4650
Text Label 900 4650 0 60 ~ 0
EECLK
Text Label 2300 4450 0 60 ~ 0
EEDATA
$Comp
L R R11
U 1 1 58D8DC49
P 2100 4200
F 0 "R11" V 2180 4200 50 0000 C CNN
F 1 "10k" V 2100 4200 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 2030 4200 50 0001 C CNN
F 3 "" H 2100 4200 50 0000 C CNN
1 2100 4200
1 0 0 -1
$EndComp
$Comp
L R R10
U 1 1 58D8DCBE
P 850 4250
F 0 "R10" V 930 4250 50 0000 C CNN
F 1 "10k" V 850 4250 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 780 4250 50 0001 C CNN
F 3 "" H 850 4250 50 0000 C CNN
1 850 4250
1 0 0 -1
$EndComp
$Comp
L R R9
U 1 1 58D8DD25
P 700 4250
F 0 "R9" V 780 4250 50 0000 C CNN
F 1 "10k" V 700 4250 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 630 4250 50 0001 C CNN
F 3 "" H 700 4250 50 0000 C CNN
1 700 4250
1 0 0 -1
$EndComp
1800 2750 1850 2750
Wire Wire Line
700 4400 700 4650
1800 2850 1850 2850
Wire Wire Line
850 4550 850 4400
$Comp
L +3V3 #PWR091
U 1 1 58D8E00C
P 700 4000
F 0 "#PWR091" H 700 3850 50 0001 C CNN
F 1 "+3V3" H 700 4140 50 0000 C CNN
F 2 "" H 700 4000 50 0000 C CNN
F 3 "" H 700 4000 50 0000 C CNN
1 700 4000
1 0 0 -1
$EndComp
1800 2950 1850 2950
Wire Wire Line
700 4000 700 4100
1800 2000 1850 2000
Wire Wire Line
700 4050 850 4050
5600 4300 5600 4500
Connection ~ 5600 4400
Wire Wire Line
850 4050 850 4100
Connection ~ 700 4050
$Comp
L +3V3 #PWR092
U 1 1 58D8E1C0
P 2100 3950
F 0 "#PWR092" H 2100 3800 50 0001 C CNN
F 1 "+3V3" H 2100 4090 50 0000 C CNN
F 2 "" H 2100 3950 50 0000 C CNN
F 3 "" H 2100 3950 50 0000 C CNN
1 2100 3950
1 0 0 -1
$EndComp
6400 3700 6550 3700
Wire Wire Line
2100 3950 2100 4050
Connection ~ 2100 4550
6400 3800 6550 3800
Wire Wire Line
2100 4350 2100 4550
$Comp
L GND #PWR093
U 1 1 58D8EDE4
P 2000 4650
F 0 "#PWR093" H 2000 4400 50 0001 C CNN
F 1 "GND" H 2000 4500 50 0000 C CNN
F 2 "" H 2000 4650 50 0000 C CNN
F 3 "" H 2000 4650 50 0000 C CNN
1 2000 4650
0 -1 -1 0
$EndComp
6400 3900 6550 3900
Wire Wire Line
1950 4650 2000 4650
6400 4000 6550 4000
Wire Wire Line
1950 4750 2150 4750
Text Notes 4100 1400 0 60 ~ 0
todo:\nVPHY and VPLL\nneed LC network
Text GLabel 2150 1500 2 60 Input ~ 0
USB5V
6400 2800 6550 2800
Wire Wire Line
6400 2900 6550 2900
Wire Wire Line
6400 3000 6550 3000
Wire Wire Line
6400 3100 6550 3100
Wire Wire Line
6400 3200 6550 3200
Wire Wire Line
6400 3300 6550 3300
Wire Wire Line
6400 3400 6550 3400
Wire Wire Line
6400 3500 6550 3500
Wire Wire Line
2150 1500 1950 1500
Wire Wire Line
1950 1500 1950 1700
Connection ~ 1950 1700
Wire Wire Line
5600 4400 5800 4400
Wire Wire Line
5800 4400 5800 4300
Wire Wire Line
5000 2800 4900 2800
Wire Wire Line
4900 2800 4900 2250
Wire Wire Line
4900 2250 5800 2250
Wire Wire Line
5800 2250 5800 2500
Text Label 5200 2250 0 60 ~ 0
FT3V3
Text GLabel 4850 3400 0 60 Input ~ 0
nRESET
Wire Wire Line
4850 3400 5000 3400
$Comp
L LFE5-BG381 U1
U 7 1 58E7AFAC
P 9050 3500
F 0 "U1" H 9050 4450 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 9050 4350 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 8000 4450 60 0001 C CNN
F 3 "" H 8000 4450 60 0000 C CNN
7 9050 3500
1 0 0 -1
L R R9
U 1 1 58EB9CB5
P 4700 3600
F 0 "R9" V 4780 3600 50 0000 C CNN
F 1 "15k" V 4700 3600 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 4630 3600 50 0001 C CNN
F 3 "" H 4700 3600 50 0000 C CNN
1 4700 3600
0 1 1 0
$EndComp
Wire Wire Line
4850 3600 4950 3600
Wire Wire Line
4950 3600 4950 3400
Connection ~ 4950 3400
Wire Wire Line
4550 3600 4200 3600
Text Label 4250 3600 0 60 ~ 0
FT3V3
Text GLabel 6350 5150 0 60 Input ~ 0
FTDI_nDTR
$Comp
L R R10
U 1 1 58EBA6BD
P 6600 5150
F 0 "R10" V 6680 5150 50 0000 C CNN
F 1 "220" V 6600 5150 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 6530 5150 50 0001 C CNN
F 3 "" H 6600 5150 50 0000 C CNN
1 6600 5150
0 1 1 0
$EndComp
Wire Wire Line
6350 5150 6450 5150
Wire Wire Line
6750 5150 6850 5150
$EndSCHEMATC

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END

@ -36,6 +36,7 @@ LIBS:ap3429a
LIBS:ft2232
LIBS:ESP32
LIBS:ssd_13xx
LIBS:ftdi
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
@ -97,10 +98,10 @@ F 3 "" H 6400 5250 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR094
L GND #PWR83
U 1 1 58E56E8D
P 5500 4100
F 0 "#PWR094" H 5500 3850 50 0001 C CNN
F 0 "#PWR83" H 5500 3850 50 0001 C CNN
F 1 "GND" H 5500 3950 50 0000 C CNN
F 2 "" H 5500 4100 50 0000 C CNN
F 3 "" H 5500 4100 50 0000 C CNN
@ -108,10 +109,10 @@ F 3 "" H 5500 4100 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR095
L +3V3 #PWR82
U 1 1 58E56EA3
P 5350 4200
F 0 "#PWR095" H 5350 4050 50 0001 C CNN
F 0 "#PWR82" H 5350 4050 50 0001 C CNN
F 1 "+3V3" H 5350 4340 50 0000 C CNN
F 2 "" H 5350 4200 50 0000 C CNN
F 3 "" H 5350 4200 50 0000 C CNN

Loading…
Cancel
Save