partially routed board using "freeroute" autorouter

pull/3/head
davor 7 years ago
parent e37f17e390
commit dc1ae11172

@ -64,10 +64,10 @@ F 3 "" H 2650 2400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR0100
L GND #PWR88
U 1 1 58D9043A
P 3250 2550
F 0 "#PWR0100" H 3250 2300 50 0001 C CNN
F 0 "#PWR88" H 3250 2300 50 0001 C CNN
F 1 "GND" H 3250 2400 50 0000 C CNN
F 2 "" H 3250 2550 50 0000 C CNN
F 3 "" H 3250 2550 50 0000 C CNN

@ -67,10 +67,10 @@ Wire Wire Line
Wire Wire Line
4750 1850 4850 1850
$Comp
L GND #PWR059
L GND #PWR61
U 1 1 58D66047
P 4850 1250
F 0 "#PWR059" H 4850 1000 50 0001 C CNN
F 0 "#PWR61" H 4850 1000 50 0001 C CNN
F 1 "GND" H 4850 1100 50 0000 C CNN
F 2 "" H 4850 1250 60 0000 C CNN
F 3 "" H 4850 1250 60 0000 C CNN
@ -78,10 +78,10 @@ F 3 "" H 4850 1250 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR060
L +3V3 #PWR62
U 1 1 58D66055
P 5350 1350
F 0 "#PWR060" H 5350 1200 50 0001 C CNN
F 0 "#PWR62" H 5350 1200 50 0001 C CNN
F 1 "+3V3" H 5350 1490 50 0000 C CNN
F 2 "" H 5350 1350 50 0000 C CNN
F 3 "" H 5350 1350 50 0000 C CNN
@ -181,10 +181,10 @@ Wire Wire Line
2750 3150 2850 3150
Connection ~ 2750 3150
$Comp
L +3V3 #PWR061
L +3V3 #PWR59
U 1 1 58D6605C
P 2750 2350
F 0 "#PWR061" H 2750 2200 50 0001 C CNN
F 0 "#PWR59" H 2750 2200 50 0001 C CNN
F 1 "+3V3" H 2750 2490 50 0000 C CNN
F 2 "" H 2750 2350 50 0000 C CNN
F 3 "" H 2750 2350 50 0000 C CNN
@ -346,10 +346,10 @@ Wire Wire Line
4400 2750 4250 2750
Connection ~ 4250 2750
$Comp
L GND #PWR062
L GND #PWR60
U 1 1 58D681C3
P 4250 4100
F 0 "#PWR062" H 4250 3850 50 0001 C CNN
F 0 "#PWR60" H 4250 3850 50 0001 C CNN
F 1 "GND" H 4250 3950 50 0000 C CNN
F 2 "" H 4250 4100 50 0000 C CNN
F 3 "" H 4250 4100 50 0000 C CNN

@ -64,10 +64,10 @@ F 3 "" H 2450 2650 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR0105
L +3V3 #PWR93
U 1 1 58D9149E
P 2800 2400
F 0 "#PWR0105" H 2800 2250 50 0001 C CNN
F 0 "#PWR93" H 2800 2250 50 0001 C CNN
F 1 "+3V3" H 2800 2540 50 0000 C CNN
F 2 "" H 2800 2400 50 0000 C CNN
F 3 "" H 2800 2400 50 0000 C CNN
@ -75,10 +75,10 @@ F 3 "" H 2800 2400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR0106
L GND #PWR94
U 1 1 58D914B4
P 2800 3000
F 0 "#PWR0106" H 2800 2750 50 0001 C CNN
F 0 "#PWR94" H 2800 2750 50 0001 C CNN
F 1 "GND" H 2800 2850 50 0000 C CNN
F 2 "" H 2800 3000 50 0000 C CNN
F 3 "" H 2800 3000 50 0000 C CNN

@ -104,10 +104,10 @@ Wire Wire Line
Wire Wire Line
1450 1900 1500 1900
$Comp
L GND #PWR063
L GND #PWR63
U 1 1 58D69073
P 1500 1900
F 0 "#PWR063" H 1500 1650 50 0001 C CNN
F 0 "#PWR63" H 1500 1650 50 0001 C CNN
F 1 "GND" H 1500 1750 50 0000 C CNN
F 2 "" H 1500 1900 60 0000 C CNN
F 3 "" H 1500 1900 60 0000 C CNN
@ -115,10 +115,10 @@ F 3 "" H 1500 1900 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR064
L GND #PWR68
U 1 1 58D69074
P 1500 3500
F 0 "#PWR064" H 1500 3250 50 0001 C CNN
F 0 "#PWR68" H 1500 3250 50 0001 C CNN
F 1 "GND" H 1500 3350 50 0000 C CNN
F 2 "" H 1500 3500 60 0000 C CNN
F 3 "" H 1500 3500 60 0000 C CNN
@ -126,10 +126,10 @@ F 3 "" H 1500 3500 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR065
L GND #PWR67
U 1 1 58D69075
P 1500 3200
F 0 "#PWR065" H 1500 2950 50 0001 C CNN
F 0 "#PWR67" H 1500 2950 50 0001 C CNN
F 1 "GND" H 1500 3050 50 0000 C CNN
F 2 "" H 1500 3200 60 0000 C CNN
F 3 "" H 1500 3200 60 0000 C CNN
@ -137,10 +137,10 @@ F 3 "" H 1500 3200 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR066
L GND #PWR66
U 1 1 58D69076
P 1500 2900
F 0 "#PWR066" H 1500 2650 50 0001 C CNN
F 0 "#PWR66" H 1500 2650 50 0001 C CNN
F 1 "GND" H 1500 2750 50 0000 C CNN
F 2 "" H 1500 2900 60 0000 C CNN
F 3 "" H 1500 2900 60 0000 C CNN
@ -148,10 +148,10 @@ F 3 "" H 1500 2900 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR067
L GND #PWR65
U 1 1 58D69077
P 1500 2600
F 0 "#PWR067" H 1500 2350 50 0001 C CNN
F 0 "#PWR65" H 1500 2350 50 0001 C CNN
F 1 "GND" H 1500 2450 50 0000 C CNN
F 2 "" H 1500 2600 60 0000 C CNN
F 3 "" H 1500 2600 60 0000 C CNN
@ -159,10 +159,10 @@ F 3 "" H 1500 2600 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR068
L GND #PWR64
U 1 1 58D69078
P 1500 2300
F 0 "#PWR068" H 1500 2050 50 0001 C CNN
F 0 "#PWR64" H 1500 2050 50 0001 C CNN
F 1 "GND" H 1500 2150 50 0000 C CNN
F 2 "" H 1500 2300 60 0000 C CNN
F 3 "" H 1500 2300 60 0000 C CNN
@ -170,10 +170,10 @@ F 3 "" H 1500 2300 60 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +5V #PWR069
L +5V #PWR70
U 1 1 58D6907F
P 2250 3800
F 0 "#PWR069" H 2250 3650 50 0001 C CNN
F 0 "#PWR70" H 2250 3650 50 0001 C CNN
F 1 "+5V" H 2250 3940 50 0000 C CNN
F 2 "" H 2250 3800 50 0000 C CNN
F 3 "" H 2250 3800 50 0000 C CNN
@ -291,10 +291,10 @@ Wire Wire Line
Wire Wire Line
3800 5350 3800 4950
$Comp
L +5V #PWR070
L +5V #PWR72
U 1 1 58D92625
P 3600 4400
F 0 "#PWR070" H 3600 4250 50 0001 C CNN
F 0 "#PWR72" H 3600 4250 50 0001 C CNN
F 1 "+5V" H 3600 4540 50 0000 C CNN
F 2 "" H 3600 4400 50 0000 C CNN
F 3 "" H 3600 4400 50 0000 C CNN
@ -316,10 +316,10 @@ Wire Wire Line
4050 4550 4050 4600
Connection ~ 3800 4550
$Comp
L GND #PWR071
L GND #PWR73
U 1 1 58D92889
P 4050 4950
F 0 "#PWR071" H 4050 4700 50 0001 C CNN
F 0 "#PWR73" H 4050 4700 50 0001 C CNN
F 1 "GND" H 4050 4800 50 0000 C CNN
F 2 "" H 4050 4950 50 0000 C CNN
F 3 "" H 4050 4950 50 0000 C CNN
@ -329,10 +329,10 @@ $EndComp
Wire Wire Line
4050 4900 4050 4950
$Comp
L +3V3 #PWR072
L +3V3 #PWR69
U 1 1 58D92A40
P 2000 4700
F 0 "#PWR072" H 2000 4550 50 0001 C CNN
F 0 "#PWR69" H 2000 4550 50 0001 C CNN
F 1 "+3V3" H 2000 4840 50 0000 C CNN
F 2 "" H 2000 4700 50 0000 C CNN
F 3 "" H 2000 4700 50 0000 C CNN
@ -340,10 +340,10 @@ F 3 "" H 2000 4700 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR073
L GND #PWR71
U 1 1 58D92B02
P 2250 5050
F 0 "#PWR073" H 2250 4800 50 0001 C CNN
F 0 "#PWR71" H 2250 4800 50 0001 C CNN
F 1 "GND" H 2250 4900 50 0000 C CNN
F 2 "" H 2250 5050 50 0000 C CNN
F 3 "" H 2250 5050 50 0000 C CNN

@ -92,10 +92,10 @@ D7
Text Label 5700 1950 0 60 ~ 0
E7
$Comp
L GND #PWR05
L GND #PWR11
U 1 1 56AC4825
P 1400 950
F 0 "#PWR05" H 1400 700 50 0001 C CNN
F 0 "#PWR11" H 1400 700 50 0001 C CNN
F 1 "GND" H 1400 800 50 0000 C CNN
F 2 "" H 1400 950 60 0000 C CNN
F 3 "" H 1400 950 60 0000 C CNN
@ -103,10 +103,10 @@ F 3 "" H 1400 950 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR06
L GND #PWR17
U 1 1 56AC482D
P 2350 950
F 0 "#PWR06" H 2350 700 50 0001 C CNN
F 0 "#PWR17" H 2350 700 50 0001 C CNN
F 1 "GND" H 2350 800 50 0000 C CNN
F 2 "" H 2350 950 60 0000 C CNN
F 3 "" H 2350 950 60 0000 C CNN
@ -140,10 +140,10 @@ $EndComp
Text Label 2150 5200 0 60 ~ 0
OUT5V
$Comp
L +5V #PWR07
L +5V #PWR5
U 1 1 56AC484F
P 950 5200
F 0 "#PWR07" H 950 5050 50 0001 C CNN
F 0 "#PWR5" H 950 5050 50 0001 C CNN
F 1 "+5V" H 950 5340 50 0000 C CNN
F 2 "" H 950 5200 60 0000 C CNN
F 3 "" H 950 5200 60 0000 C CNN
@ -180,10 +180,10 @@ B20
Text Label 5700 2800 0 60 ~ 0
B19
$Comp
L +3V3 #PWR08
L +3V3 #PWR23
U 1 1 58D5FBBC
P 2500 850
F 0 "#PWR08" H 2500 700 50 0001 C CNN
F 0 "#PWR23" H 2500 700 50 0001 C CNN
F 1 "+3V3" H 2500 990 50 0000 C CNN
F 2 "" H 2500 850 50 0000 C CNN
F 3 "" H 2500 850 50 0000 C CNN
@ -191,10 +191,10 @@ F 3 "" H 2500 850 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR09
L +3V3 #PWR6
U 1 1 58D5FBF3
P 1250 850
F 0 "#PWR09" H 1250 700 50 0001 C CNN
F 0 "#PWR6" H 1250 700 50 0001 C CNN
F 1 "+3V3" H 1250 990 50 0000 C CNN
F 2 "" H 1250 850 50 0000 C CNN
F 3 "" H 1250 850 50 0000 C CNN
@ -202,19 +202,6 @@ F 3 "" H 1250 850 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L LFE5-BG381 U?
U 3 1 58D9899C
P 4900 5950
AR Path="/58D9899C" Ref="U?" Part="2"
AR Path="/56AC389C/58D9899C" Ref="U1" Part="3"
F 0 "U1" H 4900 6900 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 4900 6800 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 3850 6900 60 0001 C CNN
F 3 "" H 3850 6900 60 0000 C CNN
3 4900 5950
1 0 0 -1
$EndComp
$Comp
L CONN_02X20 J1
U 1 1 58E6B7F6
P 1850 1800
@ -237,10 +224,10 @@ F 3 "" H 1850 3300 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR010
L GND #PWR12
U 1 1 58E6D572
P 1400 1850
F 0 "#PWR010" H 1400 1600 50 0001 C CNN
F 0 "#PWR12" H 1400 1600 50 0001 C CNN
F 1 "GND" H 1400 1700 50 0000 C CNN
F 2 "" H 1400 1850 60 0000 C CNN
F 3 "" H 1400 1850 60 0000 C CNN
@ -248,10 +235,10 @@ F 3 "" H 1400 1850 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR011
L +3V3 #PWR7
U 1 1 58E6D578
P 1250 1750
F 0 "#PWR011" H 1250 1600 50 0001 C CNN
F 0 "#PWR7" H 1250 1600 50 0001 C CNN
F 1 "+3V3" H 1250 1890 50 0000 C CNN
F 2 "" H 1250 1750 50 0000 C CNN
F 3 "" H 1250 1750 50 0000 C CNN
@ -259,10 +246,10 @@ F 3 "" H 1250 1750 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR012
L GND #PWR18
U 1 1 58E6D59D
P 2350 1850
F 0 "#PWR012" H 2350 1600 50 0001 C CNN
F 0 "#PWR18" H 2350 1600 50 0001 C CNN
F 1 "GND" H 2350 1700 50 0000 C CNN
F 2 "" H 2350 1850 60 0000 C CNN
F 3 "" H 2350 1850 60 0000 C CNN
@ -270,10 +257,10 @@ F 3 "" H 2350 1850 60 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L +3V3 #PWR013
L +3V3 #PWR24
U 1 1 58E6D5A3
P 2500 1750
F 0 "#PWR013" H 2500 1600 50 0001 C CNN
F 0 "#PWR24" H 2500 1600 50 0001 C CNN
F 1 "+3V3" H 2500 1890 50 0000 C CNN
F 2 "" H 2500 1750 50 0000 C CNN
F 3 "" H 2500 1750 50 0000 C CNN
@ -281,10 +268,10 @@ F 3 "" H 2500 1750 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR014
L GND #PWR13
U 1 1 58E6E4EC
P 1400 2650
F 0 "#PWR014" H 1400 2400 50 0001 C CNN
F 0 "#PWR13" H 1400 2400 50 0001 C CNN
F 1 "GND" H 1400 2500 50 0000 C CNN
F 2 "" H 1400 2650 60 0000 C CNN
F 3 "" H 1400 2650 60 0000 C CNN
@ -292,10 +279,10 @@ F 3 "" H 1400 2650 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR015
L +3V3 #PWR8
U 1 1 58E6E4F2
P 1250 2750
F 0 "#PWR015" H 1250 2600 50 0001 C CNN
F 0 "#PWR8" H 1250 2600 50 0001 C CNN
F 1 "+3V3" H 1250 2890 50 0000 C CNN
F 2 "" H 1250 2750 50 0000 C CNN
F 3 "" H 1250 2750 50 0000 C CNN
@ -303,10 +290,10 @@ F 3 "" H 1250 2750 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR016
L GND #PWR19
U 1 1 58E6E536
P 2350 2650
F 0 "#PWR016" H 2350 2400 50 0001 C CNN
F 0 "#PWR19" H 2350 2400 50 0001 C CNN
F 1 "GND" H 2350 2500 50 0000 C CNN
F 2 "" H 2350 2650 60 0000 C CNN
F 3 "" H 2350 2650 60 0000 C CNN
@ -314,10 +301,10 @@ F 3 "" H 2350 2650 60 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L +3V3 #PWR017
L +3V3 #PWR25
U 1 1 58E6E53C
P 2500 2750
F 0 "#PWR017" H 2500 2600 50 0001 C CNN
F 0 "#PWR25" H 2500 2600 50 0001 C CNN
F 1 "+3V3" H 2500 2890 50 0000 C CNN
F 2 "" H 2500 2750 50 0000 C CNN
F 3 "" H 2500 2750 50 0000 C CNN
@ -395,10 +382,10 @@ Wire Wire Line
Wire Wire Line
1400 2650 1600 2650
$Comp
L GND #PWR018
L GND #PWR14
U 1 1 58E710CC
P 1400 3400
F 0 "#PWR018" H 1400 3150 50 0001 C CNN
F 0 "#PWR14" H 1400 3150 50 0001 C CNN
F 1 "GND" H 1400 3250 50 0000 C CNN
F 2 "" H 1400 3400 60 0000 C CNN
F 3 "" H 1400 3400 60 0000 C CNN
@ -406,10 +393,10 @@ F 3 "" H 1400 3400 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR019
L +3V3 #PWR9
U 1 1 58E710E0
P 1250 3300
F 0 "#PWR019" H 1250 3150 50 0001 C CNN
F 0 "#PWR9" H 1250 3150 50 0001 C CNN
F 1 "+3V3" H 1250 3440 50 0000 C CNN
F 2 "" H 1250 3300 50 0000 C CNN
F 3 "" H 1250 3300 50 0000 C CNN
@ -417,10 +404,10 @@ F 3 "" H 1250 3300 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR020
L GND #PWR15
U 1 1 58E710E6
P 1400 4300
F 0 "#PWR020" H 1400 4050 50 0001 C CNN
F 0 "#PWR15" H 1400 4050 50 0001 C CNN
F 1 "GND" H 1400 4150 50 0000 C CNN
F 2 "" H 1400 4300 60 0000 C CNN
F 3 "" H 1400 4300 60 0000 C CNN
@ -428,10 +415,10 @@ F 3 "" H 1400 4300 60 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR021
L +3V3 #PWR10
U 1 1 58E710EC
P 1250 4200
F 0 "#PWR021" H 1250 4050 50 0001 C CNN
F 0 "#PWR10" H 1250 4050 50 0001 C CNN
F 1 "+3V3" H 1250 4340 50 0000 C CNN
F 2 "" H 1250 4200 50 0000 C CNN
F 3 "" H 1250 4200 50 0000 C CNN
@ -439,10 +426,10 @@ F 3 "" H 1250 4200 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR022
L GND #PWR16
U 1 1 58E710F2
P 1400 5100
F 0 "#PWR022" H 1400 4850 50 0001 C CNN
F 0 "#PWR16" H 1400 4850 50 0001 C CNN
F 1 "GND" H 1400 4950 50 0000 C CNN
F 2 "" H 1400 5100 60 0000 C CNN
F 3 "" H 1400 5100 60 0000 C CNN
@ -460,10 +447,10 @@ Wire Wire Line
Wire Wire Line
1400 5100 1600 5100
$Comp
L GND #PWR023
L GND #PWR20
U 1 1 58E7117D
P 2350 3400
F 0 "#PWR023" H 2350 3150 50 0001 C CNN
F 0 "#PWR20" H 2350 3150 50 0001 C CNN
F 1 "GND" H 2350 3250 50 0000 C CNN
F 2 "" H 2350 3400 60 0000 C CNN
F 3 "" H 2350 3400 60 0000 C CNN
@ -471,10 +458,10 @@ F 3 "" H 2350 3400 60 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L +3V3 #PWR024
L +3V3 #PWR26
U 1 1 58E71191
P 2500 3300
F 0 "#PWR024" H 2500 3150 50 0001 C CNN
F 0 "#PWR26" H 2500 3150 50 0001 C CNN
F 1 "+3V3" H 2500 3440 50 0000 C CNN
F 2 "" H 2500 3300 50 0000 C CNN
F 3 "" H 2500 3300 50 0000 C CNN
@ -482,10 +469,10 @@ F 3 "" H 2500 3300 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR025
L GND #PWR21
U 1 1 58E71197
P 2350 4300
F 0 "#PWR025" H 2350 4050 50 0001 C CNN
F 0 "#PWR21" H 2350 4050 50 0001 C CNN
F 1 "GND" H 2350 4150 50 0000 C CNN
F 2 "" H 2350 4300 60 0000 C CNN
F 3 "" H 2350 4300 60 0000 C CNN
@ -493,10 +480,10 @@ F 3 "" H 2350 4300 60 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L +3V3 #PWR026
L +3V3 #PWR27
U 1 1 58E7119D
P 2500 4200
F 0 "#PWR026" H 2500 4050 50 0001 C CNN
F 0 "#PWR27" H 2500 4050 50 0001 C CNN
F 1 "+3V3" H 2500 4340 50 0000 C CNN
F 2 "" H 2500 4200 50 0000 C CNN
F 3 "" H 2500 4200 50 0000 C CNN
@ -504,10 +491,10 @@ F 3 "" H 2500 4200 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR027
L GND #PWR22
U 1 1 58E711A3
P 2350 5100
F 0 "#PWR027" H 2350 4850 50 0001 C CNN
F 0 "#PWR22" H 2350 4850 50 0001 C CNN
F 1 "GND" H 2350 4950 50 0000 C CNN
F 2 "" H 2350 5100 60 0000 C CNN
F 3 "" H 2350 5100 60 0000 C CNN
@ -525,10 +512,10 @@ Wire Wire Line
Wire Wire Line
2100 5100 2350 5100
$Comp
L +5V #PWR028
L +5V #PWR28
U 1 1 58E75077
P 2750 5200
F 0 "#PWR028" H 2750 5050 50 0001 C CNN
F 0 "#PWR28" H 2750 5050 50 0001 C CNN
F 1 "+5V" H 2750 5340 50 0000 C CNN
F 2 "" H 2750 5200 50 0000 C CNN
F 3 "" H 2750 5200 50 0000 C CNN
@ -899,30 +886,4 @@ Wire Wire Line
2100 4900 2400 4900
Wire Wire Line
2100 5000 2400 5000
$Comp
L LFE5-BG381 U?
U 4 1 58E8B53C
P 7600 1700
AR Path="/58E8B53C" Ref="U?" Part="1"
AR Path="/56AC389C/58E8B53C" Ref="U1" Part="4"
F 0 "U1" H 7600 2650 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 7600 2550 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6550 2650 60 0001 C CNN
F 3 "" H 6550 2650 60 0000 C CNN
4 7600 1700
1 0 0 -1
$EndComp
$Comp
L LFE5-BG381 U?
U 5 1 58E8B906
P 7600 3900
AR Path="/58E8B906" Ref="U?" Part="1"
AR Path="/56AC389C/58E8B906" Ref="U1" Part="5"
F 0 "U1" H 7600 4850 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 7600 4750 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6550 4850 60 0001 C CNN
F 3 "" H 6550 4850 60 0000 C CNN
5 7600 3900
1 0 0 -1
$EndComp
$EndSCHEMATC

@ -202,19 +202,6 @@ F 3 "" H 1250 850 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L LFE5-BG381 U?
U 3 1 58D9899C
P 4900 5950
AR Path="/58D9899C" Ref="U?" Part="2"
AR Path="/56AC389C/58D9899C" Ref="U1" Part="3"
F 0 "U1" H 4900 6900 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 4900 6800 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 3850 6900 60 0001 C CNN
F 3 "" H 3850 6900 60 0000 C CNN
3 4900 5950
1 0 0 -1
$EndComp
$Comp
L CONN_02X20 J1
U 1 1 58E6B7F6
P 1850 1800
@ -899,30 +886,4 @@ Wire Wire Line
2100 4900 2400 4900
Wire Wire Line
2100 5000 2400 5000
$Comp
L LFE5-BG381 U?
U 4 1 58E8B53C
P 7600 1700
AR Path="/58E8B53C" Ref="U?" Part="1"
AR Path="/56AC389C/58E8B53C" Ref="U1" Part="4"
F 0 "U1" H 7600 2650 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 7600 2550 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6550 2650 60 0001 C CNN
F 3 "" H 6550 2650 60 0000 C CNN
4 7600 1700
1 0 0 -1
$EndComp
$Comp
L LFE5-BG381 U?
U 5 1 58E8B906
P 7600 3900
AR Path="/58E8B906" Ref="U?" Part="1"
AR Path="/56AC389C/58E8B906" Ref="U1" Part="5"
F 0 "U1" H 7600 4850 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 7600 4750 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6550 4850 60 0001 C CNN
F 3 "" H 6550 4850 60 0000 C CNN
5 7600 3900
1 0 0 -1
$EndComp
$EndSCHEMATC

@ -53,10 +53,10 @@ Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR029
L GND #PWR29
U 1 1 58D54769
P 1100 2650
F 0 "#PWR029" H 1100 2400 50 0001 C CNN
F 0 "#PWR29" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
@ -86,10 +86,10 @@ F 3 "" H 8500 3350 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR030
L GND #PWR50
U 1 1 58D58A0B
P 8500 3850
F 0 "#PWR030" H 8500 3600 50 0001 C CNN
F 0 "#PWR50" H 8500 3600 50 0001 C CNN
F 1 "GND" H 8500 3700 50 0000 C CNN
F 2 "" H 8500 3850 50 0000 C CNN
F 3 "" H 8500 3850 50 0000 C CNN
@ -97,10 +97,10 @@ F 3 "" H 8500 3850 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR031
L +5V #PWR44
U 1 1 58D58F93
P 7850 3200
F 0 "#PWR031" H 7850 3050 50 0001 C CNN
F 0 "#PWR44" H 7850 3050 50 0001 C CNN
F 1 "+5V" H 7850 3340 50 0000 C CNN
F 2 "" H 7850 3200 50 0000 C CNN
F 3 "" H 7850 3200 50 0000 C CNN
@ -108,10 +108,10 @@ F 3 "" H 7850 3200 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR032
L GND #PWR45
U 1 1 58D5989A
P 7850 3850
F 0 "#PWR032" H 7850 3600 50 0001 C CNN
F 0 "#PWR45" H 7850 3600 50 0001 C CNN
F 1 "GND" H 7850 3700 50 0000 C CNN
F 2 "" H 7850 3850 50 0000 C CNN
F 3 "" H 7850 3850 50 0000 C CNN
@ -174,10 +174,10 @@ F 3 "" H 10050 3450 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR033
L GND #PWR53
U 1 1 58D5AE5E
P 10250 3550
F 0 "#PWR033" H 10250 3300 50 0001 C CNN
F 0 "#PWR53" H 10250 3300 50 0001 C CNN
F 1 "GND" H 10250 3400 50 0000 C CNN
F 2 "" H 10250 3550 50 0000 C CNN
F 3 "" H 10250 3550 50 0000 C CNN
@ -207,10 +207,10 @@ F 3 "" H 10600 3250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR034
L +3V3 #PWR42
U 1 1 58D5CFDD
P 4750 900
F 0 "#PWR034" H 4750 750 50 0001 C CNN
F 0 "#PWR42" H 4750 750 50 0001 C CNN
F 1 "+3V3" H 4750 1040 50 0000 C CNN
F 2 "" H 4750 900 50 0000 C CNN
F 3 "" H 4750 900 50 0000 C CNN
@ -688,10 +688,10 @@ F 3 "" H 8500 5750 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR035
L GND #PWR52
U 1 1 58D6294C
P 8500 6250
F 0 "#PWR035" H 8500 6000 50 0001 C CNN
F 0 "#PWR52" H 8500 6000 50 0001 C CNN
F 1 "GND" H 8500 6100 50 0000 C CNN
F 2 "" H 8500 6250 50 0000 C CNN
F 3 "" H 8500 6250 50 0000 C CNN
@ -699,10 +699,10 @@ F 3 "" H 8500 6250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR036
L +5V #PWR48
U 1 1 58D62952
P 7850 5600
F 0 "#PWR036" H 7850 5450 50 0001 C CNN
F 0 "#PWR48" H 7850 5450 50 0001 C CNN
F 1 "+5V" H 7850 5740 50 0000 C CNN
F 2 "" H 7850 5600 50 0000 C CNN
F 3 "" H 7850 5600 50 0000 C CNN
@ -710,10 +710,10 @@ F 3 "" H 7850 5600 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR037
L GND #PWR49
U 1 1 58D62958
P 7850 6250
F 0 "#PWR037" H 7850 6000 50 0001 C CNN
F 0 "#PWR49" H 7850 6000 50 0001 C CNN
F 1 "GND" H 7850 6100 50 0000 C CNN
F 2 "" H 7850 6250 50 0000 C CNN
F 3 "" H 7850 6250 50 0000 C CNN
@ -776,10 +776,10 @@ F 3 "" H 10050 5850 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR038
L GND #PWR55
U 1 1 58D62982
P 10250 5950
F 0 "#PWR038" H 10250 5700 50 0001 C CNN
F 0 "#PWR55" H 10250 5700 50 0001 C CNN
F 1 "GND" H 10250 5800 50 0000 C CNN
F 2 "" H 10250 5950 50 0000 C CNN
F 3 "" H 10250 5950 50 0000 C CNN
@ -855,10 +855,10 @@ Wire Wire Line
Wire Wire Line
7900 5850 7400 5850
$Comp
L +3V3 #PWR039
L +3V3 #PWR58
U 1 1 58D62CE2
P 10600 5400
F 0 "#PWR039" H 10600 5250 50 0001 C CNN
F 0 "#PWR58" H 10600 5250 50 0001 C CNN
F 1 "+3V3" H 10600 5540 50 0000 C CNN
F 2 "" H 10600 5400 50 0000 C CNN
F 3 "" H 10600 5400 50 0000 C CNN
@ -866,10 +866,10 @@ F 3 "" H 10600 5400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR040
L +1V2 #PWR56
U 1 1 58D63C77
P 10600 3000
F 0 "#PWR040" H 10600 2850 50 0001 C CNN
F 0 "#PWR56" H 10600 2850 50 0001 C CNN
F 1 "+1V2" H 10600 3140 50 0000 C CNN
F 2 "" H 10600 3000 50 0000 C CNN
F 3 "" H 10600 3000 50 0000 C CNN
@ -877,10 +877,10 @@ F 3 "" H 10600 3000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR041
L +1V2 #PWR34
U 1 1 58D63D96
P 2150 900
F 0 "#PWR041" H 2150 750 50 0001 C CNN
F 0 "#PWR34" H 2150 750 50 0001 C CNN
F 1 "+1V2" H 2150 1040 50 0000 C CNN
F 2 "" H 2150 900 50 0000 C CNN
F 3 "" H 2150 900 50 0000 C CNN
@ -903,10 +903,10 @@ F 3 "" H 8500 4550 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR042
L GND #PWR51
U 1 1 58D67BC0
P 8500 5050
F 0 "#PWR042" H 8500 4800 50 0001 C CNN
F 0 "#PWR51" H 8500 4800 50 0001 C CNN
F 1 "GND" H 8500 4900 50 0000 C CNN
F 2 "" H 8500 5050 50 0000 C CNN
F 3 "" H 8500 5050 50 0000 C CNN
@ -914,10 +914,10 @@ F 3 "" H 8500 5050 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR043
L +5V #PWR46
U 1 1 58D67BC6
P 7850 4400
F 0 "#PWR043" H 7850 4250 50 0001 C CNN
F 0 "#PWR46" H 7850 4250 50 0001 C CNN
F 1 "+5V" H 7850 4540 50 0000 C CNN
F 2 "" H 7850 4400 50 0000 C CNN
F 3 "" H 7850 4400 50 0000 C CNN
@ -925,10 +925,10 @@ F 3 "" H 7850 4400 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR044
L GND #PWR47
U 1 1 58D67BCC
P 7850 5050
F 0 "#PWR044" H 7850 4800 50 0001 C CNN
F 0 "#PWR47" H 7850 4800 50 0001 C CNN
F 1 "GND" H 7850 4900 50 0000 C CNN
F 2 "" H 7850 5050 50 0000 C CNN
F 3 "" H 7850 5050 50 0000 C CNN
@ -991,10 +991,10 @@ F 3 "" H 10050 4650 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR045
L GND #PWR54
U 1 1 58D67BF0
P 10250 4750
F 0 "#PWR045" H 10250 4500 50 0001 C CNN
F 0 "#PWR54" H 10250 4500 50 0001 C CNN
F 1 "GND" H 10250 4600 50 0000 C CNN
F 2 "" H 10250 4750 50 0000 C CNN
F 3 "" H 10250 4750 50 0000 C CNN
@ -1083,10 +1083,10 @@ $EndComp
Wire Wire Line
4150 4450 4150 4300
$Comp
L +2V5 #PWR046
L +2V5 #PWR57
U 1 1 58D681D4
P 10600 4200
F 0 "#PWR046" H 10600 4050 50 0001 C CNN
F 0 "#PWR57" H 10600 4050 50 0001 C CNN
F 1 "+2V5" H 10600 4340 50 0000 C CNN
F 2 "" H 10600 4200 50 0000 C CNN
F 3 "" H 10600 4200 50 0000 C CNN
@ -1124,10 +1124,10 @@ Wire Wire Line
Wire Wire Line
4650 1000 4650 1100
$Comp
L +2V5 #PWR047
L +2V5 #PWR40
U 1 1 58D68750
P 4250 900
F 0 "#PWR047" H 4250 750 50 0001 C CNN
F 0 "#PWR40" H 4250 750 50 0001 C CNN
F 1 "+2V5" H 4250 1040 50 0000 C CNN
F 2 "" H 4250 900 50 0000 C CNN
F 3 "" H 4250 900 50 0000 C CNN
@ -1137,10 +1137,10 @@ $EndComp
Wire Wire Line
6250 1000 7150 1000
$Comp
L +3V3 #PWR048
L +3V3 #PWR43
U 1 1 58D69259
P 6350 900
F 0 "#PWR048" H 6350 750 50 0001 C CNN
F 0 "#PWR43" H 6350 750 50 0001 C CNN
F 1 "+3V3" H 6350 1040 50 0000 C CNN
F 2 "" H 6350 900 50 0000 C CNN
F 3 "" H 6350 900 50 0000 C CNN
@ -1159,10 +1159,10 @@ F 3 "" H 2100 3550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR049
L GND #PWR33
U 1 1 58D7085C
P 2100 4050
F 0 "#PWR049" H 2100 3800 50 0001 C CNN
F 0 "#PWR33" H 2100 3800 50 0001 C CNN
F 1 "GND" H 2100 3900 50 0000 C CNN
F 2 "" H 2100 4050 50 0000 C CNN
F 3 "" H 2100 4050 50 0000 C CNN
@ -1199,10 +1199,10 @@ Wire Wire Line
Wire Wire Line
2800 3750 2800 3800
$Comp
L GND #PWR050
L GND #PWR35
U 1 1 58D72406
P 2800 4150
F 0 "#PWR050" H 2800 3900 50 0001 C CNN
F 0 "#PWR35" H 2800 3900 50 0001 C CNN
F 1 "GND" H 2800 4000 50 0000 C CNN
F 2 "" H 2800 4150 50 0000 C CNN
F 3 "" H 2800 4150 50 0000 C CNN
@ -1218,10 +1218,10 @@ Wire Wire Line
Wire Wire Line
2100 3150 2100 3050
$Comp
L +2V5 #PWR051
L +2V5 #PWR32
U 1 1 58D73C82
P 2100 3050
F 0 "#PWR051" H 2100 2900 50 0001 C CNN
F 0 "#PWR32" H 2100 2900 50 0001 C CNN
F 1 "+2V5" H 2100 3190 50 0000 C CNN
F 2 "" H 2100 3050 50 0000 C CNN
F 3 "" H 2100 3050 50 0000 C CNN
@ -1275,10 +1275,10 @@ Connection ~ 4150 4850
Wire Wire Line
4150 5650 4150 6100
$Comp
L GND #PWR052
L GND #PWR39
U 1 1 58D7C223
P 4150 6100
F 0 "#PWR052" H 4150 5850 50 0001 C CNN
F 0 "#PWR39" H 4150 5850 50 0001 C CNN
F 1 "GND" H 4150 5950 50 0000 C CNN
F 2 "" H 4150 6100 50 0000 C CNN
F 3 "" H 4150 6100 50 0000 C CNN
@ -1310,10 +1310,10 @@ F 3 "" H 4700 4150 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L +3V3 #PWR053
L +3V3 #PWR41
U 1 1 58D7CCF7
P 4700 3900
F 0 "#PWR053" H 4700 3750 50 0001 C CNN
F 0 "#PWR41" H 4700 3750 50 0001 C CNN
F 1 "+3V3" H 4700 4040 50 0000 C CNN
F 2 "" H 4700 3900 50 0000 C CNN
F 3 "" H 4700 3900 50 0000 C CNN
@ -1504,10 +1504,10 @@ F 3 "" H 3900 4150 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR054
L GND #PWR37
U 1 1 58DA2E46
P 3900 4300
F 0 "#PWR054" H 3900 4050 50 0001 C CNN
F 0 "#PWR37" H 3900 4050 50 0001 C CNN
F 1 "GND" H 3900 4150 50 0000 C CNN
F 2 "" H 3900 4300 50 0000 C CNN
F 3 "" H 3900 4300 50 0000 C CNN
@ -1515,10 +1515,10 @@ F 3 "" H 3900 4300 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR055
L +5V #PWR38
U 1 1 58D67C25
P 4150 3300
F 0 "#PWR055" H 4150 3150 50 0001 C CNN
F 0 "#PWR38" H 4150 3150 50 0001 C CNN
F 1 "+5V" H 4150 3440 50 0000 C CNN
F 2 "" H 4150 3300 50 0000 C CNN
F 3 "" H 4150 3300 50 0000 C CNN
@ -1548,10 +1548,10 @@ F 3 "" H 3250 5300 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR056
L +3V3 #PWR36
U 1 1 58DA569C
P 3250 5150
F 0 "#PWR056" H 3250 5000 50 0001 C CNN
F 0 "#PWR36" H 3250 5000 50 0001 C CNN
F 1 "+3V3" H 3250 5290 50 0000 C CNN
F 2 "" H 3250 5150 50 0000 C CNN
F 3 "" H 3250 5150 50 0000 C CNN
@ -1595,10 +1595,10 @@ Wire Wire Line
Text Label 750 6850 0 60 ~ 0
WAKEUPn
$Comp
L +5V #PWR057
L +5V #PWR30
U 1 1 58E82A7E
P 1500 6500
F 0 "#PWR057" H 1500 6350 50 0001 C CNN
F 0 "#PWR30" H 1500 6350 50 0001 C CNN
F 1 "+5V" H 1500 6640 50 0000 C CNN
F 2 "" H 1500 6500 50 0000 C CNN
F 3 "" H 1500 6500 50 0000 C CNN
@ -1630,10 +1630,10 @@ F 3 "" H 1500 5950 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR058
L GND #PWR31
U 1 1 58E840F5
P 1500 7400
F 0 "#PWR058" H 1500 7150 50 0001 C CNN
F 0 "#PWR31" H 1500 7150 50 0001 C CNN
F 1 "GND" H 1500 7250 50 0000 C CNN
F 2 "" H 1500 7400 50 0000 C CNN
F 3 "" H 1500 7400 50 0000 C CNN

@ -85,10 +85,10 @@ Wire Wire Line
5250 2000 5250 2200
Connection ~ 5250 2100
$Comp
L +3V3 #PWR096
L +3V3 #PWR84
U 1 1 58D928FF
P 5250 2000
F 0 "#PWR096" H 5250 1850 50 0001 C CNN
F 0 "#PWR84" H 5250 1850 50 0001 C CNN
F 1 "+3V3" H 5250 2140 50 0000 C CNN
F 2 "" H 5250 2000 50 0000 C CNN
F 3 "" H 5250 2000 50 0000 C CNN
@ -96,10 +96,10 @@ F 3 "" H 5250 2000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR097
L +3V3 #PWR86
U 1 1 58D92915
P 5550 2000
F 0 "#PWR097" H 5550 1850 50 0001 C CNN
F 0 "#PWR86" H 5550 1850 50 0001 C CNN
F 1 "+3V3" H 5550 2140 50 0000 C CNN
F 2 "" H 5550 2000 50 0000 C CNN
F 3 "" H 5550 2000 50 0000 C CNN
@ -128,10 +128,10 @@ Wire Wire Line
5650 4800 5650 4900
Connection ~ 5650 4900
$Comp
L GND #PWR098
L GND #PWR85
U 1 1 58D929C8
P 5250 5000
F 0 "#PWR098" H 5250 4750 50 0001 C CNN
F 0 "#PWR85" H 5250 4750 50 0001 C CNN
F 1 "GND" H 5250 4850 50 0000 C CNN
F 2 "" H 5250 5000 50 0000 C CNN
F 3 "" H 5250 5000 50 0000 C CNN
@ -139,10 +139,10 @@ F 3 "" H 5250 5000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR099
L GND #PWR87
U 1 1 58D929DE
P 5550 5000
F 0 "#PWR099" H 5550 4750 50 0001 C CNN
F 0 "#PWR87" H 5550 4750 50 0001 C CNN
F 1 "GND" H 5550 4850 50 0000 C CNN
F 2 "" H 5550 5000 50 0000 C CNN
F 3 "" H 5550 5000 50 0000 C CNN
@ -225,4 +225,102 @@ Text GLabel 4650 4400 0 60 Input ~ 0
SDRAM_nWE
Text GLabel 4650 4550 0 60 Input ~ 0
SDRAM_nCS
$Comp
L LFE5U-25F-6BG381C U1
U 3 1 58EB8856
P 9300 3150
F 0 "U1" H 9300 4100 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 9300 4000 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 8250 4100 60 0001 C CNN
F 3 "" H 8250 4100 60 0000 C CNN
3 9300 3150
1 0 0 -1
$EndComp
Text GLabel 10050 2450 2 60 Input ~ 0
SDRAM_D0
Text GLabel 10050 2550 2 60 Input ~ 0
SDRAM_D1
Text GLabel 10050 2650 2 60 Input ~ 0
SDRAM_D2
Text GLabel 10050 2750 2 60 Input ~ 0
SDRAM_D3
Text GLabel 10050 2850 2 60 Input ~ 0
SDRAM_D4
Text GLabel 10050 2950 2 60 Input ~ 0
SDRAM_D5
Text GLabel 10050 3050 2 60 Input ~ 0
SDRAM_D6
Text GLabel 10050 3150 2 60 Input ~ 0
SDRAM_D7
Text GLabel 10050 3250 2 60 Input ~ 0
SDRAM_D8
Text GLabel 10050 3350 2 60 Input ~ 0
SDRAM_D9
Text GLabel 10050 3450 2 60 Input ~ 0
SDRAM_D10
Text GLabel 10050 3550 2 60 Input ~ 0
SDRAM_D11
Text GLabel 10050 3650 2 60 Input ~ 0
SDRAM_D12
Text GLabel 10050 3750 2 60 Input ~ 0
SDRAM_D13
Text GLabel 10050 3850 2 60 Input ~ 0
SDRAM_D14
Text GLabel 10050 3950 2 60 Input ~ 0
SDRAM_D15
Text GLabel 8550 2450 0 60 Input ~ 0
SDRAM_A0
Text GLabel 8550 2550 0 60 Input ~ 0
SDRAM_A1
Text GLabel 8550 2650 0 60 Input ~ 0
SDRAM_A2
Text GLabel 8550 2750 0 60 Input ~ 0
SDRAM_A3
Text GLabel 8550 2850 0 60 Input ~ 0
SDRAM_A4
Text GLabel 8550 2950 0 60 Input ~ 0
SDRAM_A5
Text GLabel 8550 3050 0 60 Input ~ 0
SDRAM_A6
Text GLabel 8550 3150 0 60 Input ~ 0
SDRAM_A7
Text GLabel 8550 3250 0 60 Input ~ 0
SDRAM_A8
Text GLabel 8550 3350 0 60 Input ~ 0
SDRAM_A9
Text GLabel 8550 3450 0 60 Input ~ 0
SDRAM_A10
Text GLabel 8550 3550 0 60 Input ~ 0
SDRAM_A11
Text GLabel 8550 3650 0 60 Input ~ 0
SDRAM_BA0
Text GLabel 8550 3750 0 60 Input ~ 0
SDRAM_BA1
Text GLabel 8550 3850 0 60 Input ~ 0
SDRAM_CLK
Text GLabel 8550 3950 0 60 Input ~ 0
SDRAM_CKE
$Comp
L LFE5U-25F-6BG381C U1
U 4 1 58EBA210
P 9300 5250
F 0 "U1" H 9300 6200 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 9300 6100 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 8250 6200 60 0001 C CNN
F 3 "" H 8250 6200 60 0000 C CNN
4 9300 5250
1 0 0 -1
$EndComp
Text GLabel 10050 4550 2 60 Input ~ 0
SDRAM_DQM0
Text GLabel 10050 4650 2 60 Input ~ 0
SDRAM_DQM1
Text GLabel 8550 4550 0 60 Input ~ 0
SDRAM_nRAS
Text GLabel 8550 4650 0 60 Input ~ 0
SDRAM_nCAS
Text GLabel 8550 4750 0 60 Input ~ 0
SDRAM_nWE
Text GLabel 8550 4850 0 60 Input ~ 0
SDRAM_nCS
$EndSCHEMATC

@ -225,4 +225,102 @@ Text GLabel 4650 4400 0 60 Input ~ 0
SDRAM_nWE
Text GLabel 4650 4550 0 60 Input ~ 0
SDRAM_nCS
$Comp
L LFE5U-25F-6BG381C U1
U 3 1 58EB8856
P 9300 3150
F 0 "U1" H 9300 4100 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 9300 4000 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 8250 4100 60 0001 C CNN
F 3 "" H 8250 4100 60 0000 C CNN
3 9300 3150
1 0 0 -1
$EndComp
Text GLabel 10050 2450 2 60 Input ~ 0
SDRAM_D0
Text GLabel 10050 2550 2 60 Input ~ 0
SDRAM_D1
Text GLabel 10050 2650 2 60 Input ~ 0
SDRAM_D2
Text GLabel 10050 2750 2 60 Input ~ 0
SDRAM_D3
Text GLabel 10050 2850 2 60 Input ~ 0
SDRAM_D4
Text GLabel 10050 2950 2 60 Input ~ 0
SDRAM_D5
Text GLabel 10050 3050 2 60 Input ~ 0
SDRAM_D6
Text GLabel 10050 3150 2 60 Input ~ 0
SDRAM_D7
Text GLabel 10050 3250 2 60 Input ~ 0
SDRAM_D8
Text GLabel 10050 3350 2 60 Input ~ 0
SDRAM_D9
Text GLabel 10050 3450 2 60 Input ~ 0
SDRAM_D10
Text GLabel 10050 3550 2 60 Input ~ 0
SDRAM_D11
Text GLabel 10050 3650 2 60 Input ~ 0
SDRAM_D12
Text GLabel 10050 3750 2 60 Input ~ 0
SDRAM_D13
Text GLabel 10050 3850 2 60 Input ~ 0
SDRAM_D14
Text GLabel 10050 3950 2 60 Input ~ 0
SDRAM_D15
Text GLabel 8550 2450 0 60 Input ~ 0
SDRAM_A0
Text GLabel 8550 2550 0 60 Input ~ 0
SDRAM_A1
Text GLabel 8550 2650 0 60 Input ~ 0
SDRAM_A2
Text GLabel 8550 2750 0 60 Input ~ 0
SDRAM_A3
Text GLabel 8550 2850 0 60 Input ~ 0
SDRAM_A4
Text GLabel 8550 2950 0 60 Input ~ 0
SDRAM_A5
Text GLabel 8550 3050 0 60 Input ~ 0
SDRAM_A6
Text GLabel 8550 3150 0 60 Input ~ 0
SDRAM_A7
Text GLabel 8550 3250 0 60 Input ~ 0
SDRAM_A8
Text GLabel 8550 3350 0 60 Input ~ 0
SDRAM_A9
Text GLabel 8550 3450 0 60 Input ~ 0
SDRAM_A10
Text GLabel 8550 3550 0 60 Input ~ 0
SDRAM_A11
Text GLabel 8550 3650 0 60 Input ~ 0
SDRAM_BA0
Text GLabel 8550 3750 0 60 Input ~ 0
SDRAM_BA1
Text GLabel 8550 3850 0 60 Input ~ 0
SDRAM_CLK
Text GLabel 8550 3950 0 60 Input ~ 0
SDRAM_CKE
$Comp
L LFE5U-25F-6BG381C U1
U 4 1 58EBA210
P 9300 5250
F 0 "U1" H 9300 6200 60 0000 C CNN
F 1 "LFE5U-25F-6BG381C" H 9300 6100 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 8250 6200 60 0001 C CNN
F 3 "" H 8250 6200 60 0000 C CNN
4 9300 5250
1 0 0 -1
$EndComp
Text GLabel 10050 4550 2 60 Input ~ 0
SDRAM_DQM0
Text GLabel 10050 4650 2 60 Input ~ 0
SDRAM_DQM1
Text GLabel 8550 4550 0 60 Input ~ 0
SDRAM_nRAS
Text GLabel 8550 4650 0 60 Input ~ 0
SDRAM_nCAS
Text GLabel 8550 4750 0 60 Input ~ 0
SDRAM_nWE
Text GLabel 8550 4850 0 60 Input ~ 0
SDRAM_nCS
$EndSCHEMATC

@ -82,10 +82,10 @@ Wire Wire Line
Wire Wire Line
1850 6450 2400 6450
$Comp
L GND #PWR0101
L GND #PWR90
U 1 1 58DA7C6F
P 1850 6150
F 0 "#PWR0101" H 1850 5900 50 0001 C CNN
F 0 "#PWR90" H 1850 5900 50 0001 C CNN
F 1 "GND" H 1850 6000 50 0000 C CNN
F 2 "" H 1850 6150 50 0000 C CNN
F 3 "" H 1850 6150 50 0000 C CNN
@ -93,10 +93,10 @@ F 3 "" H 1850 6150 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR0102
L GND #PWR91
U 1 1 58DA7C70
P 1850 6450
F 0 "#PWR0102" H 1850 6200 50 0001 C CNN
F 0 "#PWR91" H 1850 6200 50 0001 C CNN
F 1 "GND" H 1850 6300 50 0000 C CNN
F 2 "" H 1850 6450 50 0000 C CNN
F 3 "" H 1850 6450 50 0000 C CNN
@ -112,10 +112,10 @@ Wire Wire Line
Text Label 4250 6150 0 60 ~ 0
SD_WP
$Comp
L GND #PWR0103
L GND #PWR92
U 1 1 58DA7C71
P 4300 6550
F 0 "#PWR0103" H 4300 6300 50 0001 C CNN
F 0 "#PWR92" H 4300 6300 50 0001 C CNN
F 1 "GND" H 4300 6400 50 0000 C CNN
F 2 "" H 4300 6550 50 0000 C CNN
F 3 "" H 4300 6550 50 0000 C CNN
@ -130,10 +130,10 @@ Wire Wire Line
4200 6450 4300 6450
Connection ~ 4300 6450
$Comp
L +3V3 #PWR0104
L +3V3 #PWR89
U 1 1 58DA7C72
P 1700 6250
F 0 "#PWR0104" H 1700 6100 50 0001 C CNN
F 0 "#PWR89" H 1700 6100 50 0001 C CNN
F 1 "+3V3" H 1700 6390 50 0000 C CNN
F 2 "" H 1700 6250 50 0000 C CNN
F 3 "" H 1700 6250 50 0000 C CNN

@ -966,6 +966,388 @@ X TMS U5 -700 -150 200 R 50 50 10 1 I
ENDDRAW
ENDDEF
#
# LFE5U-25F-6BG381C
#
DEF LFE5U-25F-6BG381C U 0 40 Y Y 10 L N
F0 "U" 0 950 60 H V C CNN
F1 "LFE5U-25F-6BG381C" 0 850 60 H V C CNN
F2 "" -1050 950 60 H V C CNN
F3 "" -1050 950 60 H V C CNN
$FPLIST
BGA381
$ENDFPLIST
DRAW
T 0 0 -950 60 0 2 0 BANK1 Normal 0 C C
T 0 0 -950 60 0 3 0 BANK2 Normal 0 C C
T 0 0 -950 60 0 4 0 BANK3 Normal 0 C C
T 0 0 -950 60 0 5 0 BANK6 Normal 0 C C
T 0 0 -950 60 0 6 0 BANK7 Normal 0 C C
T 0 0 -950 60 0 7 0 BANK8 Normal 0 C C
T 0 0 -950 60 0 8 0 EMPTY Normal 0 C C
T 0 0 50 60 0 10 0 JTAG Normal 0 C C
T 0 0 -550 60 0 1 1 BANK0 Normal 0 C C
S -550 800 550 -500 1 1 0 N
X PT4A A6 -750 -400 200 R 50 50 1 1 I
X PT4B B6 750 -400 200 L 50 50 1 1 I
X PT11A C6 -750 -100 200 R 50 50 1 1 I
X PT6B D6 750 -300 200 L 50 50 1 1 I
X PT6A E6 -750 -300 200 R 50 50 1 1 I
X PT18A A7 -750 200 200 R 50 50 1 1 I
X PT11B C7 750 -100 200 L 50 50 1 1 I
X PT9B D7 750 -200 200 L 50 50 1 1 I
X PT9A E7 -750 -200 200 R 50 50 1 1 I
X PT18B A8 750 200 200 L 50 50 1 1 I
X PT15B B8 750 100 200 L 50 50 1 1 I
X PT15A C8 -750 100 200 R 50 50 1 1 I
X PT13A D8 750 0 200 L 50 50 1 1 I
X PT13B E8 -750 0 200 R 50 50 1 1 I
X GR_PCLK0_1 A9 750 500 200 L 50 50 1 1 I
X PT22A B9 -750 400 200 R 50 50 1 1 I
X PT20A D9 -750 300 200 R 50 50 1 1 I
X PT20B E9 750 300 200 L 50 50 1 1 I
X PCLKT0_1 A10 -750 600 200 R 50 50 1 1 I
X GR_PCLK0_0 B10 -750 500 200 R 50 50 1 1 I
X PT22B C10 750 400 200 L 50 50 1 1 I
X PCLKC0_1 A11 750 600 200 L 50 50 1 1 I
X PCLKT0_0 B11 -750 700 200 R 50 50 1 1 I
X PCLKC0_0 C11 750 700 200 L 50 50 1 1 I
S -550 800 550 -900 2 1 0 N
X PT67B B20 750 700 200 L 50 50 2 1 I
X PCLKT1_1 D11 -750 -800 200 R 50 50 2 1 I
X PCLKC1_1 E11 750 -800 200 L 50 50 2 1 I
X PT40A A12 -750 -500 200 R 50 50 2 1 I
X PCLKT1_0 B12 -750 -700 200 R 50 50 2 1 I
X PCLKC1_0 C12 750 -700 200 L 50 50 2 1 I
X GR_PCLK1_0 D12 -750 -600 200 R 50 50 2 1 I
X GR_PCLK1_1 E12 750 -600 200 L 50 50 2 1 I
X PT40B A13 750 -500 200 L 50 50 2 1 I
X PT42A B13 -750 -400 200 R 50 50 2 1 I
X PT42B C13 750 -400 200 L 50 50 2 1 I
X PT44A D13 -750 -300 200 R 50 50 2 1 I
X PT44B E13 750 -300 200 L 50 50 2 1 I
X PT47A A14 -750 -200 200 R 50 50 2 1 I
X PT47B C14 750 -200 200 L 50 50 2 1 I
X PT49A D14 -750 -100 200 R 50 50 2 1 I
X PT49B E14 750 -100 200 L 50 50 2 1 I
X PT51A B15 -750 0 200 R 50 50 2 1 I
X PT51B C15 750 0 200 L 50 50 2 1 I
X PT53A D15 -750 100 200 R 50 50 2 1 I
X PT53B E15 750 100 200 L 50 50 2 1 I
X PT56A A16 -750 200 200 R 50 50 2 1 I
X PT56B B16 750 200 200 L 50 50 2 1 I
X PT58A C16 -750 300 200 R 50 50 2 1 I
X PT58B D16 750 300 200 L 50 50 2 1 I
X PT62A A17 -750 500 200 R 50 50 2 1 I
X PT60A B17 -750 400 200 R 50 50 2 1 I
X PT60B C17 750 400 200 L 50 50 2 1 I
X PT65A A18 -750 600 200 R 50 50 2 1 I
X PT62B B18 750 500 200 L 50 50 2 1 I
X PT67A A19 -750 700 200 R 50 50 2 1 I
X PT65B B19 750 600 200 L 50 50 2 1 I
S -550 800 550 -900 3 1 0 N
X PR14A C20 -750 0 200 R 50 50 3 1 I
X VREF1_2 D20 -750 100 200 R 50 50 3 1 I
X PR17A E20 -750 200 200 R 50 50 3 1 I
X PR17C F20 -750 300 200 R 50 50 3 1 I
X PR17D G20 750 300 200 L 50 50 3 1 I
X PR20B H20 750 400 200 L 50 50 3 1 I
X PCLKT2_0 J20 -750 700 200 R 50 50 3 1 I
X PCLKC2_0 K20 750 700 200 L 50 50 3 1 I
X PR2C E16 -750 -700 200 R 50 50 3 1 I
X PR2D F16 750 -700 200 L 50 50 3 1 I
X PR8C G16 -750 -300 200 R 50 50 3 1 I
X PR8D H16 750 -300 200 L 50 50 3 1 I
X PR11D J16 750 -100 200 L 50 50 3 1 I
X PR2B D17 750 -800 200 L 50 50 3 1 I
X PR5B E17 750 -600 200 L 50 50 3 1 I
X PR8A F17 -750 -400 200 R 50 50 3 1 I
X PR11B H17 750 -200 200 L 50 50 3 1 I
X PR11C J17 -750 -100 200 R 50 50 3 1 I
X PR2A C18 -750 -800 200 R 50 50 3 1 I
X PR5A D18 -750 -600 200 R 50 50 3 1 I
X PR5C E18 -750 -500 200 R 50 50 3 1 I
X PR5D F18 750 -500 200 L 50 50 3 1 I
X PR8B G18 750 -400 200 L 50 50 3 1 I
X PR11A H18 -750 -200 200 R 50 50 3 1 I
X GR_PCLK2_0 J18 -750 500 200 R 50 50 3 1 I
X PR20D K18 750 500 200 L 50 50 3 1 I
X PR14B D19 750 0 200 L 50 50 3 1 I
X PR14D E19 750 100 200 L 50 50 3 1 I
X PR17B F19 750 200 200 L 50 50 3 1 I
X GR_PCLK2_1 G19 -750 400 200 R 50 50 3 1 I
X PCLKT2_1 J19 -750 600 200 R 50 50 3 1 I
X PCLKC2_1 K19 750 600 200 L 50 50 3 1 I
S -550 800 550 -900 4 1 0 N
X PCLKT3_1 L20 -750 -700 200 R 50 50 4 1 I
X PCLKC3_1 M20 750 -700 200 L 50 50 4 1 I
X PR38B N20 750 100 200 L 50 50 4 1 I
X PR41C T20 -750 300 200 R 50 50 4 1 I
X PR41D U20 750 300 200 L 50 50 4 1 I
X GR_PCLK3_0 L16 -750 -500 200 R 50 50 4 1 I
X PR32A N16 -750 -300 200 R 50 50 4 1 I
X VREF1_3 P16 750 -100 200 L 50 50 4 1 I
X PR35C R16 -750 0 200 R 50 50 4 1 I
X GPLL0T_IN U16 -750 700 200 R 50 50 4 1 I
X PR29B L17 750 -500 200 L 50 50 4 1 I
X PR32B M17 750 -300 200 L 50 50 4 1 I
X PR35A N17 -750 -100 200 R 50 50 4 1 I
X PR32D P17 750 -200 200 L 50 50 4 1 I
X PR35D R17 750 0 200 L 50 50 4 1 I
X GPLL0C_IN T17 750 700 200 L 50 50 4 1 I
X PR47B U17 750 600 200 L 50 50 4 1 I
X GR_PCLK3_1 L18 -750 -400 200 R 50 50 4 1 I
X PR29D M18 750 -400 200 L 50 50 4 1 I
X PR32C N18 -750 -200 200 R 50 50 4 1 I
X PR38D P18 750 200 200 L 50 50 4 1 I
X PR44B R18 750 400 200 L 50 50 4 1 I
X PR44D T18 750 500 200 L 50 50 4 1 I
X PR47A U18 -750 600 200 R 50 50 4 1 I
X PCLKT3_0 L19 -750 -600 200 R 50 50 4 1 I
X PCLKC3_0 M19 750 -600 200 L 50 50 4 1 I
X PR38A N19 -750 100 200 R 50 50 4 1 I
X PR38C P19 -750 200 200 R 50 50 4 1 I
X PR44A T19 -750 400 200 R 50 50 4 1 I
X PR44C U19 -750 500 200 R 50 50 4 1 I
S -550 800 550 -900 5 1 0 N
X PL32C H1 -750 500 200 R 50 50 5 1 I
X PL32B J1 750 200 200 L 50 50 5 1 I
X PL32B J1 750 400 200 L 50 50 5 1 I
X PL32D K1 750 500 200 L 50 50 5 1 I
X PL44C L1 -750 -500 200 R 50 50 5 1 I
X PL44B M1 750 -600 200 L 50 50 5 1 I
X PL44D N1 750 -500 200 L 50 50 5 1 I
X PL47A P1 -750 -800 200 R 50 50 5 1 I
X PL32A K2 -750 200 200 R 50 50 5 1 I
X PL32A K2 -750 400 200 R 50 50 5 1 I
X PL41D L2 750 -300 200 L 50 50 5 1 I
X PL44A N2 -750 -600 200 R 50 50 5 1 I
X PL47B P2 750 -800 200 L 50 50 5 1 I
X GR_PCLK6_1 J3 -750 700 200 R 50 50 5 1 I
X PL29D K3 750 700 200 L 50 50 5 1 I
X PL41C L3 -750 -300 200 R 50 50 5 1 I
X PL41B M3 750 -400 200 L 50 50 5 1 I
X PL41A N3 -750 -400 200 R 50 50 5 1 I
X GPLL0T_IN P3 -750 -700 200 R 50 50 5 1 I
X GR_PCLK6_0 J4 -750 600 200 R 50 50 5 1 I
X PL35A K4 -750 0 200 R 50 50 5 1 I
X PL32C L4 -750 300 200 R 50 50 5 1 I
X PL35C L4 -750 100 200 R 50 50 5 1 I
X PL38A M4 -750 -200 200 R 50 50 5 1 I
X PL38C N4 -750 -100 200 R 50 50 5 1 I
X GPLL0C_IN P4 750 -700 200 L 50 50 5 1 I
X PL29B J5 750 600 200 L 50 50 5 1 I
X VREF1_6 K5 750 0 200 L 50 50 5 1 I
X PL35D L5 750 100 200 L 50 50 5 1 I
X PL35D L5 750 300 200 L 50 50 5 1 I
X PL38B N5 750 -200 200 L 50 50 5 1 I
X PL38D P5 750 -100 200 L 50 50 5 1 I
S -550 800 550 -900 6 1 0 N
X PL14B B1 750 -200 200 L 50 50 6 1 I
X PL17A C1 -750 -400 200 R 50 50 6 1 I
X PL17B D1 750 -400 200 L 50 50 6 1 I
X PL17D E1 750 -300 200 L 50 50 6 1 I
X PL14A A2 -750 -200 200 R 50 50 6 1 I
X VREF1_7 B2 -750 -100 200 R 50 50 6 1 I
X PL14D C2 750 -100 200 L 50 50 6 1 I
X PL17C D2 -750 -300 200 R 50 50 6 1 I
X PCLKC7_0 E2 750 -700 200 L 50 50 6 1 I
X PCLKT7_0 F2 -750 -700 200 R 50 50 6 1 I
X PL5C A3 -750 500 200 R 50 50 6 1 I
X PL5D B3 750 500 200 L 50 50 6 1 I
X PL8C C3 -750 300 200 R 50 50 6 1 I
X PL8D D3 750 300 200 L 50 50 6 1 I
X PL11B E3 750 0 200 L 50 50 6 1 I
X PCLKC7_1 F3 750 -800 200 L 50 50 6 1 I
X PCLKT7_1 G3 -750 -800 200 R 50 50 6 1 I
X PL20D H3 750 -500 200 L 50 50 6 1 I
X PL2A A4 -750 600 200 R 50 50 6 1 I
X PL5B B4 750 400 200 L 50 50 6 1 I
X PL5A C4 -750 400 200 R 50 50 6 1 I
X PL8A E4 -750 200 200 R 50 50 6 1 I
X PL11A F4 -750 0 200 R 50 50 6 1 I
X GR_PCLK7_1 H4 -750 -600 200 R 50 50 6 1 I
X PL2B A5 750 600 200 L 50 50 6 1 I
X PL2C B5 -750 700 200 R 50 50 6 1 I
X PL2D C5 750 700 200 L 50 50 6 1 I
X PL8B D5 750 200 200 L 50 50 6 1 I
X PL11C E5 -750 100 200 R 50 50 6 1 I
X PL11D F5 750 100 200 L 50 50 6 1 I
X PL20B G5 750 -600 200 L 50 50 6 1 I
X GR_PCLK7_0 H5 -750 -500 200 R 50 50 6 1 I
S -550 800 550 -900 7 1 0 N
X D7 R1 -750 700 200 R 50 50 7 1 I
X D6 T1 750 700 200 L 50 50 7 1 I
X D5/MISO2 U1 -750 600 200 R 50 50 7 1 I
X D4/MOSI2 V1 750 600 200 L 50 50 7 1 I
X D3 W1 -750 500 200 R 50 50 7 1 I
X HOLDN/DI R2 -750 200 200 R 50 50 7 1 I
X SN/CSN T2 -750 300 200 R 50 50 7 1 I
X CS1N U2 750 300 200 L 50 50 7 1 I
X D1/MISO V2 -750 400 200 R 50 50 7 1 I
X D0/MOSI W2 750 400 200 L 50 50 7 1 I
X D2 Y2 750 500 200 L 50 50 7 1 I
X DOUT/CSON R3 750 200 200 L 50 50 7 1 I
X WRITEN T3 -750 -100 200 R 50 50 7 1 I
X CCLK U3 -750 -200 200 R 50 50 7 1 I
X INITN V3 -750 100 200 R 50 50 7 1 I
X PROGRAMN W3 -750 0 200 R 50 50 7 1 I
X DONE Y3 -750 -300 200 R 50 50 7 1 I
X CFG_2 R4 -750 -400 200 R 50 50 7 1 I
X CFG_1 T4 -750 -500 200 R 50 50 7 1 I
X CFG_0 U4 -750 -600 200 R 50 50 7 1 I
S -550 800 550 -900 8 1 0 N
T 0 0 -100 60 0 9 1 POWER Normal 0 C C
S -5100 450 5050 -500 9 1 0 N
X GND J2 1850 -700 200 U 50 50 9 1 I
X GND M2 1950 -700 200 U 50 50 9 1 I
X GND D4 1650 -700 200 U 50 50 9 1 I
X GND G4 1750 -700 200 U 50 50 9 1 I
X GND V5 2850 -700 200 U 50 50 9 1 I
X GND Y5 -4900 -700 200 U 50 50 9 1 I
X VCCAUX F6 -1550 650 200 D 50 50 9 1 I
X GND G6 1350 -700 200 U 50 50 9 1 I
X VCCio7 H6 1150 650 200 D 50 50 9 1 I
X VCCio7 J6 1250 650 200 D 50 50 9 1 I
X GND K6 1450 -700 200 U 50 50 9 1 I
X VCCio6 L6 750 650 200 D 50 50 9 1 I
X VCCio6 M6 850 650 200 D 50 50 9 1 I
X GND N6 1550 -700 200 U 50 50 9 1 I
X VCCAUX P6 -1450 650 200 D 50 50 9 1 I
X GND T6 4750 -700 200 U 50 50 9 1 I
X GND U6 4650 -700 200 U 50 50 9 1 I
X GND V6 3050 -700 200 U 50 50 9 1 I
X GND W6 2950 -700 200 U 50 50 9 1 I
X GND Y6 -4700 -700 200 U 50 50 9 1 I
X GND B7 550 -700 200 U 50 50 9 1 I
X GND F7 650 -700 200 U 50 50 9 1 I
X GND G7 750 -700 200 U 50 50 9 1 I
X VCCio7 H7 1050 650 200 D 50 50 9 1 I
X GND J7 850 -700 200 U 50 50 9 1 I
X GND K7 950 -700 200 U 50 50 9 1 I
X VCCio6 L7 650 650 200 D 50 50 9 1 I
X GND M7 1050 -700 200 U 50 50 9 1 I
X GND N7 1150 -700 200 U 50 50 9 1 I
X GND P7 1250 -700 200 U 50 50 9 1 I
X GND T7 -5000 -700 200 U 50 50 9 1 I
X GND U7 2350 -700 200 U 50 50 9 1 I
X GND V7 2050 -700 200 U 50 50 9 1 I
X GND W7 3150 -700 200 U 50 50 9 1 I
X GND Y7 -4600 -700 200 U 50 50 9 1 I
X GND F8 250 -700 200 U 50 50 9 1 I
X GND G8 350 -700 200 U 50 50 9 1 I
X VCC H8 -2450 650 200 D 50 50 9 1 I
X VCC J8 -2350 650 200 D 50 50 9 1 I
X VCC K8 -2250 650 200 D 50 50 9 1 I
X VCC L8 -2150 650 200 D 50 50 9 1 I
X VCC M8 -2050 650 200 D 50 50 9 1 I
X VCC N8 -1950 650 200 D 50 50 9 1 I
X GND P8 450 -700 200 U 50 50 9 1 I
X GND T8 -4800 -700 200 U 50 50 9 1 I
X GND U8 2450 -700 200 U 50 50 9 1 I
X GND V8 2150 -700 200 U 50 50 9 1 I
X GND Y8 -4400 -700 200 U 50 50 9 1 I
X VCCio0 F9 -1150 650 200 D 50 50 9 1 I
X GND G9 -250 -700 200 U 50 50 9 1 I
X VCC H9 -2650 650 200 D 50 50 9 1 I
X GND J9 -150 -700 200 U 50 50 9 1 I
X GND K9 -50 -700 200 U 50 50 9 1 I
X GND L9 50 -700 200 U 50 50 9 1 I
X GND M9 150 -700 200 U 50 50 9 1 I
X VCC N9 -2550 650 200 D 50 50 9 1 I
X VCCio8 P9 450 650 200 D 50 50 9 1 I
X GND T9 -4500 -700 200 U 50 50 9 1 I
X GND U9 2550 -700 200 U 50 50 9 1 I
X GND V9 2250 -700 200 U 50 50 9 1 I
X VCCio0 F10 -1250 650 200 D 50 50 9 1 I
X GND G10 -750 -700 200 U 50 50 9 1 I
X VCC H10 -2850 650 200 D 50 50 9 1 I
X GND J10 -650 -700 200 U 50 50 9 1 I
X GND K10 -550 -700 200 U 50 50 9 1 I
X GND L10 -450 -700 200 U 50 50 9 1 I
X GND M10 -350 -700 200 U 50 50 9 1 I
X VCC N10 -2750 650 200 D 50 50 9 1 I
X VCCio8 P10 350 650 200 D 50 50 9 1 I
X GND T10 -4300 -700 200 U 50 50 9 1 I
X GND U10 2650 -700 200 U 50 50 9 1 I
X GND V10 4850 -700 200 U 50 50 9 1 I
X GND V20 2750 -700 200 U 50 50 9 1 I
X VCCio1 F11 -850 650 200 D 50 50 9 1 I
X GND G11 -1350 -700 200 U 50 50 9 1 I
X VCC H11 -3050 650 200 D 50 50 9 1 I
X GND J11 -1250 -700 200 U 50 50 9 1 I
X GND K11 -1150 -700 200 U 50 50 9 1 I
X GND L11 -1050 -700 200 U 50 50 9 1 I
X GND M11 -950 -700 200 U 50 50 9 1 I
X VCC N11 -2950 650 200 D 50 50 9 1 I
X GND P11 -850 -700 200 U 50 50 9 1 I
X GND U11 4550 -700 200 U 50 50 9 1 I
X GND V11 4950 -700 200 U 50 50 9 1 I
X GND Y11 -4200 -700 200 U 50 50 9 1 I
X VCCio1 F12 -950 650 200 D 50 50 9 1 I
X GND G12 -1950 -700 200 U 50 50 9 1 I
X VCC H12 -3250 650 200 D 50 50 9 1 I
X GND J12 -1850 -700 200 U 50 50 9 1 I
X GND K12 -1750 -700 200 U 50 50 9 1 I
X GND L12 -1650 -700 200 U 50 50 9 1 I
X GND M12 -1550 -700 200 U 50 50 9 1 I
X VCC N12 -3150 650 200 D 50 50 9 1 I
X GND P12 -1450 -700 200 U 50 50 9 1 I
X GND U12 4250 -700 200 U 50 50 9 1 I
X GND V12 4350 -700 200 U 50 50 9 1 I
X GND W12 4450 -700 200 U 50 50 9 1 I
X GND Y12 -4100 -700 200 U 50 50 9 1 I
X GND F13 -2250 -700 200 U 50 50 9 1 I
X GND G13 -2150 -700 200 U 50 50 9 1 I
X VCC H13 -3850 650 200 D 50 50 9 1 I
X VCC J13 -3750 650 200 D 50 50 9 1 I
X VCC K13 -3650 650 200 D 50 50 9 1 I
X VCC L13 -3550 650 200 D 50 50 9 1 I
X VCC M13 -3450 650 200 D 50 50 9 1 I
X VCC N13 -3350 650 200 D 50 50 9 1 I
X GND P13 -2050 -700 200 U 50 50 9 1 I
X GND U13 4050 -700 200 U 50 50 9 1 I
X GND V13 4150 -700 200 U 50 50 9 1 I
X GND B14 -3050 -700 200 U 50 50 9 1 I
X GND F14 -2950 -700 200 U 50 50 9 1 I
X GND G14 -2850 -700 200 U 50 50 9 1 I
X VCCio2 H14 -450 650 200 D 50 50 9 1 I
X GND J14 -2750 -700 200 U 50 50 9 1 I
X GND K14 -2650 -700 200 U 50 50 9 1 I
X VCCio3 L14 -50 650 200 D 50 50 9 1 I
X GND M14 -2550 -700 200 U 50 50 9 1 I
X GND N14 -2450 -700 200 U 50 50 9 1 I
X GND P14 -2350 -700 200 U 50 50 9 1 I
X GND U14 3850 -700 200 U 50 50 9 1 I
X GND V14 3750 -700 200 U 50 50 9 1 I
X VCCAUX F15 -1750 650 200 D 50 50 9 1 I
X GND G15 -3350 -700 200 U 50 50 9 1 I
X VCCio2 H15 -650 650 200 D 50 50 9 1 I
X VCCio2 J15 -550 650 200 D 50 50 9 1 I
X GND K15 -3250 -700 200 U 50 50 9 1 I
X VCCio3 L15 -250 650 200 D 50 50 9 1 I
X VCCio3 M15 -150 650 200 D 50 50 9 1 I
X GND N15 -3150 -700 200 U 50 50 9 1 I
X VCCAUX P15 -1650 650 200 D 50 50 9 1 I
X GND V15 3250 -700 200 U 50 50 9 1 I
X GND W15 3950 -700 200 U 50 50 9 1 I
X GND M16 -3450 -700 200 U 50 50 9 1 I
X GND V16 3450 -700 200 U 50 50 9 1 I
X GND W16 3350 -700 200 U 50 50 9 1 I
X GND G17 -3550 -700 200 U 50 50 9 1 I
X GND C19 -3850 -700 200 U 50 50 9 1 I
X GND H19 -3750 -700 200 U 50 50 9 1 I
X GND R19 -3650 -700 200 U 50 50 9 1 I
X GND V19 3650 -700 200 U 50 50 9 1 I
X GND W19 3550 -700 200 U 50 50 9 1 I
T 0 0 -50 60 0 10 1 PROG Normal 0 C C
S -500 250 300 -250 10 1 0 N
X TDO V4 -700 50 200 R 50 50 10 1 I
X TDI R5 -700 150 200 R 50 50 10 1 I
X TCK T5 -700 -50 200 R 50 50 10 1 I
X TMS U5 -700 -150 200 R 50 50 10 1 I
ENDDRAW
ENDDEF
#
# MB85RS16
#
DEF MB85RS16 U 0 40 Y Y 1 F N

@ -159,10 +159,10 @@ F 3 "" H 4800 6350 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR01
L GND #PWR1
U 1 1 58E6BC0E
P 3150 6550
F 0 "#PWR01" H 3150 6300 50 0001 C CNN
F 0 "#PWR1" H 3150 6300 50 0001 C CNN
F 1 "GND" H 3150 6400 50 0000 C CNN
F 2 "" H 3150 6550 50 0000 C CNN
F 3 "" H 3150 6550 50 0000 C CNN
@ -170,10 +170,10 @@ F 3 "" H 3150 6550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR02
L GND #PWR2
U 1 1 58E6BC2E
P 3700 6550
F 0 "#PWR02" H 3700 6300 50 0001 C CNN
F 0 "#PWR2" H 3700 6300 50 0001 C CNN
F 1 "GND" H 3700 6400 50 0000 C CNN
F 2 "" H 3700 6550 50 0000 C CNN
F 3 "" H 3700 6550 50 0000 C CNN
@ -181,10 +181,10 @@ F 3 "" H 3700 6550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR03
L GND #PWR3
U 1 1 58E6BC56
P 4250 6550
F 0 "#PWR03" H 4250 6300 50 0001 C CNN
F 0 "#PWR3" H 4250 6300 50 0001 C CNN
F 1 "GND" H 4250 6400 50 0000 C CNN
F 2 "" H 4250 6550 50 0000 C CNN
F 3 "" H 4250 6550 50 0000 C CNN
@ -192,10 +192,10 @@ F 3 "" H 4250 6550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR04
L GND #PWR4
U 1 1 58E6BC72
P 4800 6550
F 0 "#PWR04" H 4800 6300 50 0001 C CNN
F 0 "#PWR4" H 4800 6300 50 0001 C CNN
F 1 "GND" H 4800 6400 50 0000 C CNN
F 2 "" H 4800 6550 50 0000 C CNN
F 3 "" H 4800 6550 50 0000 C CNN

Binary file not shown.

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

@ -1,7 +1,7 @@
(export (version D)
(design
(source /home/davor/src/circuits/fpga/ulx3s/ulx3s.sch)
(date "Pon 10 Tra 2017 12:50:56")
(date "Pon 10 Tra 2017 15:51:46")
(tool "Eeschema 4.0.5+dfsg1-4")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -1605,46 +1605,32 @@
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name shield) (type passive)))))
(libraries
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical conn)
(uri /usr/share/kicad/library/conn.lib))
(library (logical memory)
(uri /usr/share/kicad/library/memory.lib))
(library (logical philips)
(uri /usr/share/kicad/library/philips.lib))
(library (logical micro-hdmi-d)
(uri footprints/micro-hdmi-d/micro-hdmi-d.lib))
(library (logical lfe5bg381)
(uri footprints/lattice/lfe5bg381.lib))
(library (logical ap3429a)
(uri footprints/dcdc_converter/ap3429a.lib))
(library (logical philips)
(uri /usr/share/kicad/library/philips.lib))
(library (logical memory)
(uri /usr/share/kicad/library/memory.lib))
(library (logical ESP32)
(uri footprints/esp32/ESP32.lib))
(library (logical ssd_13xx)
(uri footprints/oled/ssd_13xx.lib))
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical ap3429a)
(uri footprints/dcdc_converter/ap3429a.lib))
(library (logical ftdi)
(uri footprints/usbserial/ftdi.lib)))
(nets
(net (code 1) (name GND)
(node (ref U1) (pin T10))
(node (ref U1) (pin M11))
(node (ref U1) (pin K9))
(node (ref U1) (pin M10))
(node (ref U1) (pin K11))
(node (ref U1) (pin J11))
(node (ref U1) (pin G11))
(node (ref U1) (pin V20))
(node (ref U1) (pin V10))
(node (ref U1) (pin U10))
(node (ref U1) (pin M11))
(node (ref U1) (pin P11))
(node (ref U1) (pin L11))
(node (ref U1) (pin W6))
(node (ref U1) (pin V6))
(node (ref U1) (pin U6))
(node (ref U1) (pin T6))
(node (ref U1) (pin N6))
(node (ref U1) (pin K6))
(node (ref U1) (pin P8))
(node (ref U1) (pin U11))
(node (ref U1) (pin V11))
(node (ref U1) (pin Y11))
@ -1657,24 +1643,19 @@
(node (ref U1) (pin Y8))
(node (ref U1) (pin G9))
(node (ref U1) (pin J9))
(node (ref U1) (pin K9))
(node (ref U1) (pin K11))
(node (ref U1) (pin L9))
(node (ref U1) (pin M9))
(node (ref U1) (pin T9))
(node (ref U1) (pin U9))
(node (ref U1) (pin V9))
(node (ref U1) (pin G10))
(node (ref U1) (pin J10))
(node (ref U1) (pin K10))
(node (ref U1) (pin L10))
(node (ref U1) (pin G6))
(node (ref U1) (pin Y6))
(node (ref U1) (pin L12))
(node (ref C1) (pin 2))
(node (ref RB1) (pin 1))
(node (ref U1) (pin U7))
(node (ref U1) (pin B7))
(node (ref U1) (pin F7))
(node (ref U1) (pin G7))
(node (ref U1) (pin J7))
(node (ref U1) (pin K7))
(node (ref U1) (pin M7))
(node (ref U1) (pin N7))
(node (ref U1) (pin P7))
(node (ref U1) (pin T7))
(node (ref H2) (pin 1))
(node (ref U1) (pin V7))
(node (ref U1) (pin W7))
(node (ref U1) (pin Y7))
(node (ref U1) (pin F8))
@ -1685,60 +1666,61 @@
(node (ref U1) (pin G4))
(node (ref U1) (pin V5))
(node (ref U1) (pin Y5))
(node (ref U1) (pin G6))
(node (ref U1) (pin T9))
(node (ref U1) (pin U9))
(node (ref U1) (pin V9))
(node (ref U1) (pin G10))
(node (ref U1) (pin J10))
(node (ref U1) (pin K10))
(node (ref U1) (pin L10))
(node (ref R5) (pin 2))
(node (ref C14) (pin 2))
(node (ref Q2) (pin 2))
(node (ref U4) (pin 2))
(node (ref U1) (pin P8))
(node (ref U1) (pin K6))
(node (ref U1) (pin N6))
(node (ref U1) (pin T6))
(node (ref U1) (pin U6))
(node (ref U1) (pin V6))
(node (ref U1) (pin W6))
(node (ref U1) (pin L11))
(node (ref U1) (pin T10))
(node (ref U1) (pin U10))
(node (ref U1) (pin V10))
(node (ref U1) (pin V20))
(node (ref U1) (pin G11))
(node (ref U1) (pin J11))
(node (ref H2) (pin 1))
(node (ref U1) (pin T7))
(node (ref BTN0) (pin 2))
(node (ref R13) (pin 2))
(node (ref D13) (pin 2))
(node (ref U7) (pin 4))
(node (ref C7) (pin 2))
(node (ref J2) (pin 3))
(node (ref J2) (pin 4))
(node (ref J2) (pin 21))
(node (ref C8) (pin 2))
(node (ref C5) (pin 2))
(node (ref RB3) (pin 1))
(node (ref R2) (pin 2))
(node (ref BAT1) (pin 2))
(node (ref U1) (pin M14))
(node (ref U1) (pin N14))
(node (ref U1) (pin P14))
(node (ref U1) (pin U14))
(node (ref U1) (pin V14))
(node (ref C9) (pin 2))
(node (ref RB2) (pin 1))
(node (ref C11) (pin 2))
(node (ref C12) (pin 2))
(node (ref U5) (pin 2))
(node (ref C3) (pin 2))
(node (ref U1) (pin W12))
(node (ref U1) (pin Y12))
(node (ref U1) (pin F13))
(node (ref U1) (pin G13))
(node (ref U1) (pin R19))
(node (ref U1) (pin P13))
(node (ref U1) (pin U13))
(node (ref U1) (pin V13))
(node (ref U1) (pin B14))
(node (ref U1) (pin F14))
(node (ref U1) (pin G14))
(node (ref U1) (pin J14))
(node (ref U1) (pin V12))
(node (ref U1) (pin G15))
(node (ref U4) (pin 2))
(node (ref Q2) (pin 2))
(node (ref C14) (pin 2))
(node (ref R5) (pin 2))
(node (ref C4) (pin 2))
(node (ref U1) (pin V19))
(node (ref U1) (pin W19))
(node (ref U3) (pin 2))
(node (ref U1) (pin V14))
(node (ref R2) (pin 2))
(node (ref BAT1) (pin 2))
(node (ref U1) (pin M14))
(node (ref U1) (pin N14))
(node (ref U1) (pin P14))
(node (ref U1) (pin U14))
(node (ref U1) (pin G15))
(node (ref C3) (pin 2))
(node (ref U1) (pin L12))
(node (ref C1) (pin 2))
(node (ref RB1) (pin 1))
(node (ref U1) (pin U7))
(node (ref U1) (pin B7))
(node (ref U1) (pin F7))
(node (ref U1) (pin G7))
(node (ref U1) (pin J7))
(node (ref U1) (pin K7))
(node (ref U1) (pin M7))
(node (ref U1) (pin N7))
(node (ref U1) (pin P7))
(node (ref U1) (pin W12))
(node (ref U1) (pin K15))
(node (ref U1) (pin K14))
(node (ref U1) (pin N15))
@ -1753,1025 +1735,949 @@
(node (ref U1) (pin M12))
(node (ref U1) (pin P12))
(node (ref U1) (pin U12))
(node (ref GPDI1) (pin 7))
(node (ref GPDI1) (pin 4))
(node (ref U1) (pin V12))
(node (ref U1) (pin Y12))
(node (ref U1) (pin F13))
(node (ref U1) (pin G13))
(node (ref U1) (pin R19))
(node (ref U1) (pin P13))
(node (ref U1) (pin U13))
(node (ref U1) (pin V13))
(node (ref U1) (pin B14))
(node (ref U1) (pin F14))
(node (ref U1) (pin G14))
(node (ref U1) (pin J14))
(node (ref GPDI1) (pin 0))
(node (ref GPDI1) (pin 4))
(node (ref GPDI1) (pin 7))
(node (ref GPDI1) (pin 10))
(node (ref GPDI1) (pin 13))
(node (ref GPDI1) (pin 16))
(node (ref U11) (pin 1))
(node (ref U6) (pin 16))
(node (ref U6) (pin 6))
(node (ref D1) (pin 1))
(node (ref US2) (pin 6))
(node (ref D7) (pin 1))
(node (ref D6) (pin 1))
(node (ref D5) (pin 1))
(node (ref D4) (pin 1))
(node (ref D3) (pin 1))
(node (ref D2) (pin 1))
(node (ref D1) (pin 1))
(node (ref OLED1) (pin 1))
(node (ref D0) (pin 1))
(node (ref C18) (pin 2))
(node (ref U9) (pin 54))
(node (ref U10) (pin 4))
(node (ref AUDIO1) (pin 2))
(node (ref U9) (pin 46))
(node (ref U10) (pin 4))
(node (ref SD1) (pin 12))
(node (ref U9) (pin 28))
(node (ref U9) (pin 6))
(node (ref U9) (pin 41))
(node (ref U9) (pin 12))
(node (ref U9) (pin 52))
(node (ref SD1) (pin 6))
(node (ref SD1) (pin 3))
(node (ref SD1) (pin 13))
(node (ref US2) (pin 6))
(node (ref U9) (pin 54))
(node (ref US2) (pin 5))
(node (ref US1) (pin 5))
(node (ref US1) (pin 6))
(node (ref U2) (pin 1))
(node (ref U1) (pin V7))
(node (ref J2) (pin 22))
(node (ref U9) (pin 46))
(node (ref U9) (pin 28))
(node (ref U9) (pin 6))
(node (ref U9) (pin 41))
(node (ref U9) (pin 12))
(node (ref U9) (pin 52))
(node (ref J2) (pin 37))
(node (ref J1) (pin 3))
(node (ref J1) (pin 4))
(node (ref J1) (pin 21))
(node (ref J2) (pin 38))
(node (ref J2) (pin 3))
(node (ref C8) (pin 2))
(node (ref J2) (pin 21))
(node (ref J2) (pin 22))
(node (ref J2) (pin 4))
(node (ref J1) (pin 22))
(node (ref J1) (pin 37))
(node (ref J1) (pin 38))
(node (ref J1) (pin 22))
(node (ref H1) (pin 1))
(node (ref H3) (pin 1))
(node (ref H4) (pin 1))
(node (ref H3) (pin 1)))
(net (code 2) (name /gpio/B8)
(node (ref U1) (pin C8))
(node (ref J1) (pin 17)))
(net (code 3) (name /gpio/A8)
(node (ref U1) (pin A7))
(node (ref J1) (pin 15)))
(net (code 4) (name /gpio/E9)
(node (ref J1) (pin 13))
(node (ref U1) (pin D9)))
(net (code 5) (name /gpio/C10)
(node (ref J1) (pin 11))
(node (ref U1) (pin B9)))
(net (code 6) (name /gpio/B10)
(node (ref U1) (pin B10))
(node (ref J1) (pin 9)))
(net (code 7) (name /gpio/A10)
(node (ref J1) (pin 7))
(node (ref U1) (pin A10)))
(net (code 8) (name /gpio/B11)
(node (ref U1) (pin B11))
(node (ref J1) (pin 5)))
(net (code 9) (name /gpio/C13)
(node (ref H1) (pin 1))
(node (ref J1) (pin 3))
(node (ref J1) (pin 4))
(node (ref J1) (pin 21))
(node (ref C5) (pin 2))
(node (ref C7) (pin 2))
(node (ref RB3) (pin 1)))
(net (code 2) (name /gpio/D12)
(node (ref J2) (pin 31))
(node (ref U1) (pin D12)))
(net (code 3) (name /gpio/C13)
(node (ref U1) (pin C13))
(node (ref J2) (pin 28)))
(net (code 10) (name /gpio/C6)
(node (ref J1) (pin 26))
(node (ref U1) (pin C7)))
(net (code 11) (name /gpio/A13)
(node (ref U1) (pin A13))
(node (ref J2) (pin 30)))
(net (code 12) (name /gpio/E12)
(node (ref J2) (pin 32))
(node (ref U1) (pin E12)))
(net (code 13) (name /gpio/C12)
(net (code 4) (name /gpio/B12)
(node (ref U1) (pin B12))
(node (ref J2) (pin 33)))
(net (code 5) (name /gpio/D11)
(node (ref U1) (pin D11))
(node (ref J2) (pin 35)))
(net (code 6) (name /gpio/C14)
(node (ref U1) (pin C14))
(node (ref J2) (pin 24)))
(net (code 7) (name /gpio/E13)
(node (ref U1) (pin E13))
(node (ref J2) (pin 26)))
(net (code 8) (name /gpio/E15)
(node (ref J2) (pin 14))
(node (ref U1) (pin E15)))
(net (code 9) (name /gpio/A12)
(node (ref J2) (pin 29))
(node (ref U1) (pin A12)))
(net (code 10) (name /gpio/B13)
(node (ref J2) (pin 27))
(node (ref U1) (pin B13)))
(net (code 11) (name /gpio/D13)
(node (ref U1) (pin D13))
(node (ref J2) (pin 25)))
(net (code 12) (name /gpio/A14)
(node (ref U1) (pin A14))
(node (ref J2) (pin 23)))
(net (code 13) (name /gpio/E14)
(node (ref J2) (pin 18))
(node (ref U1) (pin E14)))
(net (code 14) (name /gpio/C15)
(node (ref J2) (pin 16))
(node (ref U1) (pin C15)))
(net (code 15) (name /gpio/B11)
(node (ref J1) (pin 5))
(node (ref U1) (pin B11)))
(net (code 16) (name /gpio/A10)
(node (ref U1) (pin A10))
(node (ref J1) (pin 7)))
(net (code 17) (name /gpio/B10)
(node (ref U1) (pin B10))
(node (ref J1) (pin 9)))
(net (code 18) (name /gpio/C10)
(node (ref J1) (pin 11))
(node (ref U1) (pin B9)))
(net (code 19) (name /gpio/E9)
(node (ref J1) (pin 13))
(node (ref U1) (pin D9)))
(net (code 20) (name /gpio/A8)
(node (ref J1) (pin 15))
(node (ref U1) (pin A7)))
(net (code 21) (name /gpio/B8)
(node (ref U1) (pin C8))
(node (ref J1) (pin 17)))
(net (code 22) (name /gpio/A13)
(node (ref J2) (pin 30))
(node (ref U1) (pin A13)))
(net (code 23) (name /gpio/E11)
(node (ref U1) (pin E11))
(node (ref J2) (pin 36)))
(net (code 24) (name /gpio/C12)
(node (ref U1) (pin C12))
(node (ref J2) (pin 34)))
(net (code 14) (name /gpio/E11)
(node (ref J2) (pin 36))
(node (ref U1) (pin E11)))
(net (code 15) (name /gpio/B6)
(node (ref U1) (pin A6))
(node (ref J1) (pin 31)))
(net (code 16) (name /gpio/D8)
(node (ref U1) (pin E8))
(node (ref J1) (pin 23)))
(net (code 17) (name /gpio/C7)
(node (ref J1) (pin 25))
(node (ref U1) (pin C6)))
(net (code 18) (name /gpio/D7)
(node (ref J1) (pin 27))
(node (ref U1) (pin E7)))
(net (code 19) (name /gpio/D6)
(node (ref J1) (pin 29))
(node (ref U1) (pin E6)))
(net (code 20) (name /gpio/E8)
(node (ref J1) (pin 24))
(node (ref U1) (pin D8)))
(net (code 21) (name /gpio/C8)
(node (ref U1) (pin B8))
(node (ref J1) (pin 18)))
(net (code 22) (name /gpio/A7)
(node (ref J1) (pin 16))
(node (ref U1) (pin A8)))
(net (code 23) (name /gpio/D9)
(node (ref U1) (pin E9))
(node (ref J1) (pin 14)))
(net (code 24) (name /gpio/B9)
(node (ref J1) (pin 12))
(node (ref U1) (pin C10)))
(net (code 25) (name /gpio/A9)
(node (ref J1) (pin 10))
(node (ref U1) (pin A9)))
(net (code 26) (name /gpio/A11)
(node (ref U1) (pin A11))
(node (ref J1) (pin 8)))
(net (code 27) (name /gpio/C11)
(node (ref J1) (pin 6))
(node (ref U1) (pin C11)))
(net (code 28) (name /gpio/C16)
(node (ref J2) (pin 9))
(node (ref U1) (pin C16)))
(net (code 29) (name +3V3)
(node (ref U1) (pin P10))
(node (ref U1) (pin H6))
(node (ref L2) (pin 2))
(node (ref OLED1) (pin 2))
(node (ref D14) (pin 1))
(node (ref U1) (pin H7))
(node (ref R7) (pin 1))
(node (ref J1) (pin 1))
(node (ref J1) (pin 2))
(node (ref U1) (pin P9))
(node (ref U1) (pin L6))
(node (ref U1) (pin M6))
(node (ref U1) (pin H14))
(node (ref U1) (pin F10))
(node (ref U1) (pin F9))
(node (ref U1) (pin F12))
(node (ref D11) (pin 2))
(node (ref U1) (pin F11))
(node (ref U1) (pin H15))
(node (ref U1) (pin L7))
(net (code 25) (name /gpio/E12)
(node (ref J2) (pin 32))
(node (ref U1) (pin E12)))
(net (code 26) (name +3V3)
(node (ref U9) (pin 9))
(node (ref J2) (pin 19))
(node (ref U1) (pin M15))
(node (ref U1) (pin J15))
(node (ref U1) (pin F10))
(node (ref U1) (pin J6))
(node (ref U1) (pin L14))
(node (ref U1) (pin L15))
(node (ref U9) (pin 14))
(node (ref U2) (pin 2))
(node (ref U9) (pin 43))
(node (ref U1) (pin L6))
(node (ref C6) (pin 1))
(node (ref J1) (pin 40))
(node (ref U10) (pin 8))
(node (ref J1) (pin 20))
(node (ref RA3) (pin 1))
(node (ref U1) (pin P9))
(node (ref U1) (pin F9))
(node (ref U1) (pin F12))
(node (ref U1) (pin F11))
(node (ref U1) (pin M6))
(node (ref L2) (pin 2))
(node (ref U1) (pin P10))
(node (ref C8) (pin 1))
(node (ref U1) (pin H14))
(node (ref SD1) (pin 4))
(node (ref J2) (pin 20))
(node (ref J2) (pin 1))
(node (ref C7) (pin 1))
(node (ref U1) (pin H6))
(node (ref U1) (pin L7))
(node (ref U1) (pin H7))
(node (ref J2) (pin 2))
(node (ref J1) (pin 19))
(node (ref U9) (pin 9))
(node (ref U9) (pin 3))
(node (ref U9) (pin 1))
(node (ref U9) (pin 49))
(node (ref J2) (pin 1))
(node (ref J1) (pin 39))
(node (ref C7) (pin 1))
(node (ref U9) (pin 27))
(node (ref U11) (pin 2))
(node (ref J1) (pin 19))
(node (ref R22) (pin 1))
(node (ref R23) (pin 1)))
(net (code 30) (name +5V)
(node (ref U4) (pin 4))
(node (ref R3) (pin 1))
(node (ref C5) (pin 1))
(node (ref Q1) (pin 2))
(node (ref C9) (pin 1))
(node (ref U3) (pin 4))
(node (ref C1) (pin 1))
(node (ref D52) (pin 2))
(node (ref C18) (pin 1))
(node (ref D51) (pin 1))
(node (ref R24) (pin 1))
(node (ref R25) (pin 1))
(node (ref R26) (pin 1))
(node (ref U6) (pin 15))
(node (ref C13) (pin 1))
(node (ref D8) (pin 1))
(node (ref D9) (pin 2))
(node (ref GPDI1) (pin 19))
(node (ref U5) (pin 4)))
(net (code 31) (name /gpio/A17)
(node (ref J2) (pin 5))
(node (ref U1) (pin A17)))
(net (code 32) (name /gpio/B17)
(node (ref J2) (pin 7))
(node (ref U1) (pin B17)))
(net (code 33) (name /gpio/A16)
(node (ref J2) (pin 11))
(node (ref U1) (pin A16)))
(net (code 34) (name /gpio/D15)
(node (ref U1) (pin D15))
(node (ref J2) (pin 13)))
(net (code 35) (name /gpio/B15)
(node (ref U1) (pin B15))
(node (ref J2) (pin 15)))
(net (code 36) (name /gpio/D14)
(node (ref U1) (pin D14))
(node (ref J2) (pin 17)))
(net (code 37) (name /gpio/D11)
(node (ref J2) (pin 35))
(node (ref U1) (pin D11)))
(net (code 38) (name /gpio/A14)
(node (ref U1) (pin A14))
(node (ref J2) (pin 23)))
(net (code 39) (name /gpio/D13)
(node (ref J2) (pin 25))
(node (ref U1) (pin D13)))
(net (code 40) (name /gpio/B13)
(node (ref J2) (pin 27))
(node (ref U1) (pin B13)))
(net (code 41) (name /gpio/A12)
(node (ref U1) (pin A12))
(node (ref J2) (pin 29)))
(net (code 42) (name /gpio/D12)
(node (ref U1) (pin D12))
(node (ref J2) (pin 31)))
(net (code 43) (name /gpio/B12)
(node (ref J2) (pin 33))
(node (ref U1) (pin B12)))
(net (code 44) (name /gpio/C14)
(node (ref J2) (pin 24))
(node (ref U1) (pin C14)))
(net (code 45) (name /gpio/E13)
(node (ref U1) (pin E13))
(node (ref J2) (pin 26)))
(net (code 46) (name /gpio/E14)
(node (ref J2) (pin 18))
(node (ref U1) (pin E14)))
(net (code 47) (name /gpio/C15)
(node (ref U1) (pin C15))
(node (ref J2) (pin 16)))
(net (code 48) (name /gpio/E15)
(node (ref J2) (pin 14))
(node (ref U1) (pin E15)))
(net (code 49) (name /gpio/B16)
(node (ref J2) (pin 12))
(node (ref U1) (pin B16)))
(net (code 50) (name /gpio/D16)
(node (ref J2) (pin 10))
(node (ref U1) (pin D16)))
(net (code 51) (name /gpio/C17)
(node (ref U1) (pin C17))
(node (ref J2) (pin 8)))
(net (code 52) (name /gpio/B18)
(node (ref J2) (pin 6))
(node (ref U1) (pin B18)))
(net (code 53) (name "Net-(U1-PadR18)")
(node (ref U1) (pin R18)))
(net (code 54) (name "Net-(U1-PadP17)")
(node (ref U1) (pin P17)))
(net (code 55) (name "Net-(U1-PadR17)")
(node (ref U1) (pin R17)))
(net (code 56) (name "Net-(U1-PadT17)")
(node (ref U1) (pin T17)))
(net (code 57) (name "Net-(U1-PadU17)")
(node (ref U1) (pin U17)))
(net (code 58) (name "Net-(U1-PadL18)")
(node (ref U1) (pin L18)))
(net (code 59) (name "Net-(U1-PadM18)")
(node (ref U1) (pin M18)))
(net (code 60) (name "Net-(U1-PadN18)")
(node (ref U1) (pin N18)))
(net (code 61) (name "Net-(U1-PadP18)")
(node (ref U1) (pin P18)))
(net (code 62) (name "Net-(U1-PadN17)")
(node (ref U1) (pin N17)))
(net (code 63) (name "Net-(U1-PadT18)")
(node (ref U1) (pin T18)))
(net (code 64) (name "Net-(U1-PadU18)")
(node (ref U1) (pin U18)))
(net (code 65) (name "Net-(U1-PadL19)")
(node (ref U1) (pin L19)))
(net (code 66) (name "Net-(U1-PadM19)")
(node (ref U1) (pin M19)))
(net (code 67) (name "Net-(U1-PadN19)")
(node (ref U1) (pin N19)))
(net (code 68) (name "Net-(U1-PadP19)")
(node (ref U1) (pin P19)))
(net (code 69) (name "Net-(U1-PadT19)")
(node (ref U1) (pin T19)))
(net (code 70) (name "Net-(U1-PadU20)")
(node (ref U1) (pin U20)))
(net (code 71) (name "Net-(U1-PadL20)")
(node (ref U1) (pin L20)))
(net (code 72) (name "Net-(U1-PadM20)")
(node (ref U1) (pin M20)))
(net (code 73) (name "Net-(U1-PadN20)")
(node (ref U1) (pin N20)))
(net (code 74) (name "Net-(U1-PadT20)")
(node (ref U1) (pin T20)))
(net (code 75) (name "Net-(U1-PadU19)")
(node (ref U1) (pin U19)))
(net (code 76) (name "Net-(U1-PadL16)")
(node (ref U1) (pin L16)))
(net (code 77) (name "Net-(U1-PadN16)")
(node (ref U1) (pin N16)))
(net (code 78) (name "Net-(U1-PadP16)")
(node (ref U1) (pin P16)))
(net (code 79) (name "Net-(U1-PadR16)")
(node (ref U1) (pin R16)))
(net (code 80) (name "Net-(U1-PadU16)")
(node (ref U1) (pin U16)))
(net (code 81) (name "Net-(U1-PadL17)")
(node (ref U1) (pin L17)))
(net (code 82) (name "Net-(U1-PadM17)")
(node (ref U1) (pin M17)))
(net (code 83) (name "Net-(U1-PadN4)")
(node (ref U1) (pin N4)))
(net (code 84) (name "Net-(U1-PadM3)")
(node (ref U1) (pin M3)))
(net (code 85) (name "Net-(U1-PadN3)")
(node (ref U1) (pin N3)))
(net (code 86) (name "Net-(U1-PadP3)")
(node (ref U1) (pin P3)))
(net (code 87) (name "Net-(U1-PadJ4)")
(node (ref U1) (pin J4)))
(net (code 88) (name "Net-(U1-PadK4)")
(node (ref U1) (pin K4)))
(net (code 90) (name "Net-(U1-PadL4)")
(node (ref U1) (pin L4)))
(net (code 91) (name "Net-(U1-PadM4)")
(node (ref U1) (pin M4)))
(net (code 92) (name "Net-(U1-PadL3)")
(node (ref U1) (pin L3)))
(net (code 93) (name "Net-(U1-PadP4)")
(node (ref U1) (pin P4)))
(net (code 94) (name "Net-(U1-PadJ5)")
(node (ref U1) (pin J5)))
(net (code 95) (name "Net-(U1-PadK5)")
(node (ref U1) (pin K5)))
(net (code 97) (name "Net-(U1-PadL5)")
(node (ref U1) (pin L5)))
(net (code 98) (name "Net-(U1-PadN5)")
(node (ref U1) (pin N5)))
(net (code 99) (name "Net-(U1-PadP5)")
(node (ref U1) (pin P5)))
(net (code 100) (name "Net-(U1-PadK3)")
(node (ref U1) (pin K3)))
(net (code 101) (name "Net-(U1-PadJ3)")
(node (ref U1) (pin J3)))
(net (code 102) (name "Net-(U1-PadP2)")
(node (ref U1) (pin P2)))
(net (code 103) (name "Net-(U1-PadN2)")
(node (ref U1) (pin N2)))
(net (code 104) (name "Net-(U1-PadL2)")
(node (ref U1) (pin L2)))
(net (code 106) (name "Net-(U1-PadK2)")
(node (ref U1) (pin K2)))
(net (code 107) (name "Net-(U1-PadP1)")
(node (ref U1) (pin P1)))
(net (code 108) (name "Net-(U1-PadN1)")
(node (ref U1) (pin N1)))
(net (code 109) (name "Net-(U1-PadM1)")
(node (ref U1) (pin M1)))
(net (code 110) (name "Net-(U1-PadL1)")
(node (ref U1) (pin L1)))
(net (code 111) (name "Net-(U1-PadK1)")
(node (ref U1) (pin K1)))
(net (code 113) (name "Net-(U1-PadJ1)")
(node (ref U1) (pin J1)))
(net (code 114) (name "Net-(U1-PadH1)")
(node (ref U1) (pin H1)))
(net (code 115) (name /gpio/B19)
(node (ref R23) (pin 1))
(node (ref U10) (pin 8))
(node (ref R7) (pin 1))
(node (ref OLED1) (pin 2))
(node (ref U9) (pin 3))
(node (ref U9) (pin 1))
(node (ref U9) (pin 49))
(node (ref U9) (pin 14))
(node (ref U9) (pin 27))
(node (ref U2) (pin 2))
(node (ref J1) (pin 1))
(node (ref J1) (pin 20))
(node (ref J1) (pin 40))
(node (ref J1) (pin 2))
(node (ref U11) (pin 2))
(node (ref RA3) (pin 1))
(node (ref U1) (pin L14))
(node (ref U1) (pin L15))
(node (ref J2) (pin 20))
(node (ref D11) (pin 2))
(node (ref D14) (pin 1))
(node (ref U1) (pin M15))
(node (ref U1) (pin H15))
(node (ref U1) (pin J15)))
(net (code 27) (name /gpio/A16)
(node (ref U1) (pin A16))
(node (ref J2) (pin 11)))
(net (code 28) (name /gpio/D16)
(node (ref U1) (pin D16))
(node (ref J2) (pin 10)))
(net (code 29) (name /gpio/C17)
(node (ref J2) (pin 8))
(node (ref U1) (pin C17)))
(net (code 30) (name /gpio/B18)
(node (ref U1) (pin B18))
(node (ref J2) (pin 6)))
(net (code 31) (name /gpio/D14)
(node (ref U1) (pin D14))
(node (ref J2) (pin 17)))
(net (code 32) (name /gpio/B15)
(node (ref U1) (pin B15))
(node (ref J2) (pin 15)))
(net (code 33) (name /gpio/D15)
(node (ref J2) (pin 13))
(node (ref U1) (pin D15)))
(net (code 34) (name /gpio/B16)
(node (ref U1) (pin B16))
(node (ref J2) (pin 12)))
(net (code 35) (name /gpio/C16)
(node (ref J2) (pin 9))
(node (ref U1) (pin C16)))
(net (code 36) (name /gpio/B17)
(node (ref J2) (pin 7))
(node (ref U1) (pin B17)))
(net (code 37) (name /gpio/A17)
(node (ref U1) (pin A17))
(node (ref J2) (pin 5)))
(net (code 38) (name +5V)
(node (ref D51) (pin 1))
(node (ref C13) (pin 1))
(node (ref U5) (pin 4))
(node (ref C9) (pin 1))
(node (ref R3) (pin 1))
(node (ref C18) (pin 1))
(node (ref D52) (pin 2))
(node (ref R25) (pin 1))
(node (ref U6) (pin 15))
(node (ref GPDI1) (pin 19))
(node (ref R24) (pin 1))
(node (ref C5) (pin 1))
(node (ref U4) (pin 4))
(node (ref U3) (pin 4))
(node (ref R26) (pin 1))
(node (ref C1) (pin 1))
(node (ref D9) (pin 2))
(node (ref D8) (pin 1))
(node (ref Q1) (pin 2)))
(net (code 39) (name /gpio/A11)
(node (ref J1) (pin 8))
(node (ref U1) (pin A11)))
(net (code 40) (name /gpio/D8)
(node (ref U1) (pin E8))
(node (ref J1) (pin 23)))
(net (code 41) (name /gpio/C8)
(node (ref U1) (pin B8))
(node (ref J1) (pin 18)))
(net (code 42) (name /gpio/A7)
(node (ref J1) (pin 16))
(node (ref U1) (pin A8)))
(net (code 43) (name /gpio/D9)
(node (ref J1) (pin 14))
(node (ref U1) (pin E9)))
(net (code 44) (name /gpio/B9)
(node (ref J1) (pin 12))
(node (ref U1) (pin C10)))
(net (code 45) (name /gpio/A9)
(node (ref U1) (pin A9))
(node (ref J1) (pin 10)))
(net (code 46) (name /gpio/C7)
(node (ref J1) (pin 25))
(node (ref U1) (pin C6)))
(net (code 47) (name /gpio/C11)
(node (ref U1) (pin C11))
(node (ref J1) (pin 6)))
(net (code 48) (name /gpio/A6)
(node (ref J1) (pin 32))
(node (ref U1) (pin B6)))
(net (code 49) (name /gpio/B19)
(node (ref U1) (pin B19))
(node (ref J1) (pin 36)))
(net (code 116) (name /gpio/B20)
(node (ref J1) (pin 34))
(node (ref U1) (pin B20)))
(net (code 117) (name /gpio/A18)
(node (ref U1) (pin A18))
(node (ref J1) (pin 35)))
(net (code 118) (name /gpio/A19)
(net (code 50) (name /gpio/B20)
(node (ref U1) (pin B20))
(node (ref J1) (pin 34)))
(net (code 51) (name /gpio/A18)
(node (ref J1) (pin 35))
(node (ref U1) (pin A18)))
(net (code 52) (name /gpio/A19)
(node (ref J1) (pin 33))
(node (ref U1) (pin A19)))
(net (code 119) (name /gpio/A6)
(node (ref J1) (pin 32))
(node (ref U1) (pin B6)))
(net (code 120) (name /gpio/E6)
(node (ref U1) (pin D6))
(node (ref J1) (pin 30)))
(net (code 121) (name /gpio/E7)
(node (ref U1) (pin D7))
(node (ref J1) (pin 28)))
(net (code 122) (name "Net-(U1-PadC20)")
(node (ref U1) (pin C20)))
(net (code 123) (name "Net-(U1-PadD20)")
(node (ref U1) (pin D20)))
(net (code 124) (name "Net-(U1-PadE20)")
(node (ref U1) (pin E20)))
(net (code 125) (name "Net-(U1-PadF20)")
(node (ref U1) (pin F20)))
(net (code 126) (name "Net-(U1-PadG20)")
(node (ref U1) (pin G20)))
(net (code 127) (name "Net-(U1-PadH20)")
(node (ref U1) (pin H20)))
(net (code 128) (name "Net-(U1-PadJ20)")
(node (ref U1) (pin J20)))
(net (code 129) (name "Net-(U1-PadK19)")
(node (ref U1) (pin K19)))
(net (code 130) (name "Net-(U1-PadH18)")
(node (ref U1) (pin H18)))
(net (code 131) (name "Net-(U1-PadJ18)")
(node (ref U1) (pin J18)))
(net (code 132) (name "Net-(U1-PadK18)")
(node (ref U1) (pin K18)))
(net (code 133) (name "Net-(U1-PadD19)")
(node (ref U1) (pin D19)))
(net (code 134) (name "Net-(U1-PadE19)")
(node (ref U1) (pin E19)))
(net (code 135) (name "Net-(U1-PadF19)")
(node (ref U1) (pin F19)))
(net (code 136) (name "Net-(U1-PadG19)")
(node (ref U1) (pin G19)))
(net (code 137) (name "Net-(U1-PadJ19)")
(node (ref U1) (pin J19)))
(net (code 138) (name "Net-(U1-PadG18)")
(node (ref U1) (pin G18)))
(net (code 139) (name "Net-(U1-PadF18)")
(node (ref U1) (pin F18)))
(net (code 140) (name "Net-(U1-PadE18)")
(node (ref U1) (pin E18)))
(net (code 141) (name "Net-(U1-PadD18)")
(node (ref U1) (pin D18)))
(net (code 142) (name "Net-(U1-PadC18)")
(node (ref U1) (pin C18)))
(net (code 143) (name "Net-(U1-PadJ17)")
(node (ref U1) (pin J17)))
(net (code 144) (name "Net-(U1-PadH17)")
(node (ref U1) (pin H17)))
(net (code 145) (name "Net-(U1-PadF17)")
(node (ref U1) (pin F17)))
(net (code 146) (name "Net-(U1-PadE17)")
(node (ref U1) (pin E17)))
(net (code 147) (name "Net-(U1-PadD17)")
(node (ref U1) (pin D17)))
(net (code 148) (name "Net-(U1-PadJ16)")
(node (ref U1) (pin J16)))
(net (code 149) (name "Net-(U1-PadH16)")
(node (ref U1) (pin H16)))
(net (code 150) (name "Net-(U1-PadG16)")
(node (ref U1) (pin G16)))
(net (code 151) (name "Net-(U1-PadF16)")
(node (ref U1) (pin F16)))
(net (code 152) (name "Net-(U1-PadE16)")
(node (ref U1) (pin E16)))
(net (code 153) (name "Net-(U1-PadK20)")
(node (ref U1) (pin K20)))
(net (code 154) (name /gpio/OUT5V)
(node (ref J2) (pin 40))
(node (ref D52) (pin 1)))
(net (code 155) (name /gpio/IN5V)
(net (code 53) (name /gpio/E6)
(node (ref J1) (pin 30))
(node (ref U1) (pin D6)))
(net (code 54) (name /gpio/E7)
(node (ref J1) (pin 28))
(node (ref U1) (pin D7)))
(net (code 55) (name /gpio/C6)
(node (ref J1) (pin 26))
(node (ref U1) (pin C7)))
(net (code 56) (name /gpio/E8)
(node (ref U1) (pin D8))
(node (ref J1) (pin 24)))
(net (code 57) (name /gpio/B6)
(node (ref J1) (pin 31))
(node (ref U1) (pin A6)))
(net (code 58) (name /gpio/D6)
(node (ref U1) (pin E6))
(node (ref J1) (pin 29)))
(net (code 59) (name /gpio/D7)
(node (ref U1) (pin E7))
(node (ref J1) (pin 27)))
(net (code 60) (name /gpio/OUT5V)
(node (ref D52) (pin 1))
(node (ref J2) (pin 40)))
(net (code 61) (name /gpio/IN5V)
(node (ref J2) (pin 39))
(node (ref D51) (pin 2)))
(net (code 156) (name "Net-(L2-Pad1)")
(node (ref U4) (pin 3))
(node (ref L2) (pin 1)))
(net (code 157) (name +2V5)
(net (code 62) (name "Net-(L2-Pad1)")
(node (ref L2) (pin 1))
(node (ref U4) (pin 3)))
(net (code 63) (name +2V5)
(node (ref U1) (pin P6))
(node (ref RA2) (pin 1))
(node (ref U1) (pin F6))
(node (ref U7) (pin 8))
(node (ref C12) (pin 1))
(node (ref U1) (pin F15))
(node (ref C11) (pin 1))
(node (ref C10) (pin 1))
(node (ref L3) (pin 2))
(node (ref U7) (pin 8))
(node (ref U1) (pin P15))
(node (ref U1) (pin F15))
(node (ref U1) (pin F6)))
(net (code 158) (name /power/FB2)
(node (ref C10) (pin 2))
(node (ref L3) (pin 2))
(node (ref C10) (pin 1))
(node (ref RA2) (pin 1)))
(net (code 64) (name /power/FB2)
(node (ref RB2) (pin 2))
(node (ref U5) (pin 5))
(node (ref RA2) (pin 2))
(node (ref RB2) (pin 2)))
(net (code 159) (name "Net-(L3-Pad1)")
(node (ref C10) (pin 2)))
(net (code 65) (name "Net-(L3-Pad1)")
(node (ref U5) (pin 3))
(node (ref L3) (pin 1)))
(net (code 160) (name "Net-(L1-Pad1)")
(node (ref L1) (pin 1))
(node (ref U3) (pin 3)))
(net (code 161) (name +1V2)
(node (ref U1) (pin N12))
(node (ref U1) (pin H13))
(node (ref U1) (pin M8))
(node (ref U1) (pin N10))
(node (ref U1) (pin M13))
(node (ref U1) (pin N8))
(node (ref C4) (pin 1))
(node (ref U1) (pin K8))
(node (ref U1) (pin H11))
(node (ref U1) (pin L13))
(net (code 66) (name "Net-(L1-Pad1)")
(node (ref U3) (pin 3))
(node (ref L1) (pin 1)))
(net (code 67) (name +1V2)
(node (ref U1) (pin H10))
(node (ref U1) (pin H9))
(node (ref L1) (pin 2))
(node (ref U1) (pin N9))
(node (ref U1) (pin K13))
(node (ref C3) (pin 1))
(node (ref U1) (pin H12))
(node (ref U1) (pin N11))
(node (ref U1) (pin N13))
(node (ref U1) (pin N10))
(node (ref U1) (pin H11))
(node (ref C2) (pin 1))
(node (ref U1) (pin H8))
(node (ref U1) (pin J8))
(node (ref RA1) (pin 1))
(node (ref C2) (pin 1))
(node (ref U1) (pin J8))
(node (ref U1) (pin K8))
(node (ref U1) (pin L8))
(node (ref U1) (pin M8))
(node (ref U1) (pin N8))
(node (ref C3) (pin 1))
(node (ref U1) (pin N13))
(node (ref U1) (pin M13))
(node (ref U1) (pin L13))
(node (ref U1) (pin J13))
(node (ref U1) (pin H10))
(node (ref U1) (pin H9))
(node (ref L1) (pin 2))
(node (ref U1) (pin L8)))
(net (code 162) (name /power/OSCI_32k)
(node (ref U1) (pin H13))
(node (ref U1) (pin N12))
(node (ref U1) (pin K13))
(node (ref C4) (pin 1)))
(net (code 68) (name /power/OSCI_32k)
(node (ref U7) (pin 1))
(node (ref Y1) (pin 1)))
(net (code 163) (name /power/WKn)
(node (ref R6) (pin 1))
(node (ref Q1) (pin 1)))
(net (code 164) (name FTDI_nSUSPEND)
(net (code 69) (name /power/WKn)
(node (ref Q1) (pin 1))
(node (ref R6) (pin 1)))
(net (code 70) (name FTDI_nSUSPEND)
(node (ref D12) (pin 2))
(node (ref R10) (pin 1)))
(net (code 165) (name "Net-(Q2-Pad3)")
(node (ref Q2) (pin 3))
(node (ref R8) (pin 2)))
(net (code 166) (name "Net-(Y1-Pad2)")
(net (code 71) (name "Net-(Q2-Pad3)")
(node (ref R8) (pin 2))
(node (ref Q2) (pin 3)))
(net (code 72) (name "Net-(Y1-Pad2)")
(node (ref Y1) (pin 2)))
(net (code 167) (name "Net-(Y1-Pad3)")
(net (code 73) (name "Net-(Y1-Pad3)")
(node (ref Y1) (pin 3)))
(net (code 168) (name /power/OSCO_32k)
(node (ref U7) (pin 2))
(node (ref Y1) (pin 4)))
(net (code 169) (name /power/WAKEUPn)
(node (ref R6) (pin 2))
(net (code 74) (name /power/OSCO_32k)
(node (ref Y1) (pin 4))
(node (ref U7) (pin 2)))
(net (code 75) (name /power/WAKEUPn)
(node (ref U7) (pin 7))
(node (ref D16) (pin 2)))
(net (code 170) (name /power/SHUT)
(node (ref R5) (pin 1))
(node (ref D15) (pin 1))
(node (ref D14) (pin 2))
(node (ref D16) (pin 2))
(node (ref R6) (pin 2)))
(net (code 76) (name /power/SHUT)
(node (ref C14) (pin 1))
(node (ref D14) (pin 2))
(node (ref D15) (pin 1))
(node (ref R5) (pin 1))
(node (ref Q2) (pin 1)))
(net (code 171) (name /power/WAKE)
(node (ref D10) (pin 1))
(node (ref R1) (pin 1)))
(net (code 172) (name SHUTDOWN)
(node (ref D15) (pin 2))
(node (ref R13) (pin 1)))
(net (code 173) (name BTN_PWRn)
(net (code 77) (name SHUTDOWN)
(node (ref R13) (pin 1))
(node (ref D15) (pin 2)))
(net (code 78) (name BTN_PWRn)
(node (ref U1) (pin H4))
(node (ref D17) (pin 2)))
(net (code 174) (name "Net-(BTN0-Pad1)")
(net (code 79) (name "Net-(BTN0-Pad1)")
(node (ref D17) (pin 1))
(node (ref D16) (pin 1))
(node (ref R3) (pin 2))
(node (ref BTN0) (pin 1)))
(net (code 175) (name /power/WKUP)
(node (ref C13) (pin 2))
(node (ref D10) (pin 2))
(net (code 80) (name /power/WKUP)
(node (ref Q1) (pin 3))
(node (ref D13) (pin 1)))
(net (code 176) (name /power/FB1)
(node (ref D13) (pin 1))
(node (ref C13) (pin 2))
(node (ref D10) (pin 2)))
(net (code 81) (name /power/FB1)
(node (ref RA1) (pin 2))
(node (ref RB1) (pin 2))
(node (ref U3) (pin 5))
(node (ref RB1) (pin 2))
(node (ref C2) (pin 2)))
(net (code 177) (name /power/PWREN)
(node (ref R1) (pin 2))
(node (ref U3) (pin 1))
(net (code 82) (name /power/PWREN)
(node (ref R4) (pin 2))
(node (ref R2) (pin 1))
(node (ref R8) (pin 1))
(node (ref D12) (pin 1))
(node (ref R1) (pin 2))
(node (ref U5) (pin 1))
(node (ref U4) (pin 1)))
(net (code 178) (name /power/FB3)
(node (ref U4) (pin 5))
(node (ref R2) (pin 1))
(node (ref U3) (pin 1))
(node (ref U4) (pin 1))
(node (ref D12) (pin 1)))
(net (code 83) (name /power/FB3)
(node (ref RB3) (pin 2))
(node (ref C6) (pin 2))
(node (ref RA3) (pin 2))
(node (ref RB3) (pin 2)))
(net (code 179) (name I2C_SDA)
(node (ref U4) (pin 5))
(node (ref RA3) (pin 2)))
(net (code 84) (name I2C_SDA)
(node (ref U7) (pin 5)))
(net (code 180) (name /power/VBAT)
(net (code 85) (name I2C_SCL)
(node (ref U7) (pin 6)))
(net (code 86) (name /power/WAKE)
(node (ref D10) (pin 1))
(node (ref R1) (pin 1)))
(net (code 87) (name /power/VBAT)
(node (ref BAT1) (pin 1))
(node (ref U7) (pin 3)))
(net (code 181) (name /power/HOLD)
(node (ref R4) (pin 1))
(node (ref D11) (pin 1)))
(net (code 182) (name I2C_SCL)
(node (ref U7) (pin 6)))
(net (code 183) (name LED2)
(node (ref D2) (pin 2))
(node (ref U1) (pin C2)))
(net (code 184) (name LED7)
(net (code 88) (name /power/HOLD)
(node (ref D11) (pin 1))
(node (ref R4) (pin 1)))
(net (code 89) (name LED2)
(node (ref U1) (pin C2))
(node (ref D2) (pin 2)))
(net (code 90) (name LED7)
(node (ref D7) (pin 2))
(node (ref U1) (pin G5)))
(net (code 185) (name LED6)
(net (code 91) (name LED6)
(node (ref U1) (pin H3))
(node (ref D6) (pin 2)))
(net (code 186) (name LED5)
(node (ref U1) (pin D1))
(node (ref D5) (pin 2)))
(net (code 187) (name LED4)
(node (ref D4) (pin 2))
(node (ref U1) (pin E1)))
(net (code 188) (name OLED_MOSI)
(net (code 92) (name LED5)
(node (ref D5) (pin 2))
(node (ref U1) (pin D1)))
(net (code 93) (name LED4)
(node (ref U1) (pin E1))
(node (ref D4) (pin 2)))
(net (code 94) (name OLED_MOSI)
(node (ref OLED1) (pin 4)))
(net (code 189) (name LED3)
(node (ref D3) (pin 2))
(node (ref U1) (pin B1)))
(net (code 190) (name LED1)
(net (code 95) (name LED3)
(node (ref U1) (pin B1))
(node (ref D3) (pin 2)))
(net (code 96) (name LED1)
(node (ref D1) (pin 2))
(node (ref U1) (pin E3)))
(net (code 191) (name LED0)
(node (ref U1) (pin F5))
(node (ref D0) (pin 2)))
(net (code 192) (name OLED_RES)
(net (code 97) (name LED0)
(node (ref D0) (pin 2))
(node (ref U1) (pin F5)))
(net (code 98) (name OLED_RES)
(node (ref OLED1) (pin 5)))
(net (code 193) (name /blinkey/BTNPU)
(net (code 99) (name /blinkey/BTNPU)
(node (ref BTN2) (pin 1))
(node (ref BTN6) (pin 1))
(node (ref R7) (pin 2))
(node (ref BTN4) (pin 1))
(node (ref BTN3) (pin 1))
(node (ref BTN5) (pin 1))
(node (ref BTN2) (pin 1))
(node (ref BTN1) (pin 1))
(node (ref BTN6) (pin 1))
(node (ref BTN3) (pin 1)))
(net (code 194) (name OLED_CS)
(node (ref BTN4) (pin 1)))
(net (code 100) (name OLED_CS)
(node (ref OLED1) (pin 7)))
(net (code 195) (name OLED_DC)
(net (code 101) (name OLED_DC)
(node (ref OLED1) (pin 6)))
(net (code 196) (name OLED_CLK)
(net (code 102) (name OLED_CLK)
(node (ref OLED1) (pin 3)))
(net (code 197) (name BTN_R)
(net (code 103) (name BTN_R)
(node (ref BTN6) (pin 2))
(node (ref U1) (pin D2)))
(net (code 198) (name BTN_L)
(node (ref U1) (pin A2))
(node (ref BTN5) (pin 2)))
(net (code 199) (name BTN_D)
(net (code 104) (name BTN_L)
(node (ref BTN5) (pin 2))
(node (ref U1) (pin A2)))
(net (code 105) (name BTN_D)
(node (ref U1) (pin B2))
(node (ref BTN4) (pin 2)))
(net (code 200) (name BTN_U)
(node (ref BTN3) (pin 2))
(node (ref U1) (pin F4)))
(net (code 201) (name BTN_F2)
(node (ref BTN2) (pin 2))
(node (ref U1) (pin H5)))
(net (code 202) (name BTN_F1)
(net (code 106) (name BTN_U)
(node (ref U1) (pin F4))
(node (ref BTN3) (pin 2)))
(net (code 107) (name BTN_F2)
(node (ref U1) (pin H5))
(node (ref BTN2) (pin 2)))
(net (code 108) (name BTN_F1)
(node (ref BTN1) (pin 2))
(node (ref U1) (pin C1)))
(net (code 203) (name "Net-(U1-PadE2)")
(net (code 109) (name "Net-(U1-PadE2)")
(node (ref U1) (pin E2)))
(net (code 204) (name "Net-(U1-PadF2)")
(net (code 110) (name "Net-(U1-PadF2)")
(node (ref U1) (pin F2)))
(net (code 205) (name GPDI_D2_P)
(node (ref U1) (pin A3))
(node (ref GPDI1) (pin 3)))
(net (code 206) (name GPDI_ETH_P)
(node (ref U1) (pin C3))
(node (ref GPDI1) (pin 2)))
(net (code 207) (name GPDI_ETH_N)
(net (code 111) (name GPDI_D2_P)
(node (ref GPDI1) (pin 3))
(node (ref U1) (pin A3)))
(net (code 112) (name GPDI_ETH_P)
(node (ref GPDI1) (pin 2))
(node (ref U1) (pin C3)))
(net (code 113) (name GPDI_ETH_N)
(node (ref U1) (pin D3))
(node (ref GPDI1) (pin 1)))
(net (code 208) (name "Net-(U1-PadF3)")
(net (code 114) (name "Net-(U1-PadF3)")
(node (ref U1) (pin F3)))
(net (code 209) (name "Net-(U1-PadG3)")
(net (code 115) (name "Net-(U1-PadG3)")
(node (ref U1) (pin G3)))
(net (code 210) (name GPDI_D1_P)
(node (ref U1) (pin A4))
(node (ref GPDI1) (pin 6)))
(net (code 211) (name GPDI_CLK_N)
(node (ref U1) (pin B4))
(node (ref GPDI1) (pin 14)))
(net (code 212) (name GPDI_CLK_P)
(node (ref GPDI1) (pin 12))
(node (ref U1) (pin C4)))
(net (code 213) (name GPDI_D2_N)
(net (code 116) (name GPDI_D1_P)
(node (ref GPDI1) (pin 6))
(node (ref U1) (pin A4)))
(net (code 117) (name GPDI_CLK_N)
(node (ref GPDI1) (pin 14))
(node (ref U1) (pin B4)))
(net (code 118) (name GPDI_CLK_P)
(node (ref U1) (pin C4))
(node (ref GPDI1) (pin 12)))
(net (code 119) (name GPDI_D2_N)
(node (ref GPDI1) (pin 5))
(node (ref U1) (pin B3)))
(net (code 214) (name GPDI_D1_N)
(node (ref GPDI1) (pin 8))
(node (ref U1) (pin A5)))
(net (code 215) (name GPDI_D0_P)
(net (code 120) (name GPDI_D1_N)
(node (ref U1) (pin A5))
(node (ref GPDI1) (pin 8)))
(net (code 121) (name GPDI_D0_P)
(node (ref U1) (pin B5))
(node (ref GPDI1) (pin 9)))
(net (code 216) (name GPDI_D0_N)
(net (code 122) (name GPDI_D0_N)
(node (ref GPDI1) (pin 11))
(node (ref U1) (pin C5)))
(net (code 217) (name GPDI_CEC)
(net (code 123) (name GPDI_CEC)
(node (ref U1) (pin E5))
(node (ref GPDI1) (pin 15)))
(net (code 218) (name GPDI_5V_SDA)
(node (ref U11) (pin 5))
(node (ref R26) (pin 2))
(node (ref GPDI1) (pin 18)))
(net (code 219) (name /gpdi/VREF2)
(net (code 124) (name GPDI_5V_SCL)
(node (ref GPDI1) (pin 17))
(node (ref R25) (pin 2))
(node (ref U11) (pin 6)))
(net (code 125) (name /gpdi/VREF2)
(node (ref U11) (pin 7))
(node (ref U11) (pin 8))
(node (ref R24) (pin 2)))
(net (code 220) (name GPDI_SCL)
(net (code 126) (name GPDI_SCL)
(node (ref U11) (pin 3))
(node (ref R23) (pin 2))
(node (ref U1) (pin E4))
(node (ref U11) (pin 3)))
(net (code 221) (name GPDI_SDA)
(node (ref R22) (pin 2))
(node (ref U1) (pin E4)))
(net (code 127) (name GPDI_SDA)
(node (ref U1) (pin D5))
(node (ref R22) (pin 2))
(node (ref U11) (pin 4)))
(net (code 222) (name GPDI_5V_SCL)
(node (ref GPDI1) (pin 17))
(node (ref U11) (pin 6))
(node (ref R25) (pin 2)))
(net (code 223) (name JTAG_TDI)
(node (ref U2) (pin 31))
(node (ref U6) (pin 17))
(node (ref U1) (pin R5)))
(net (code 224) (name JTAG_TCK)
(node (ref U6) (pin 18))
(node (ref U2) (pin 30))
(node (ref U1) (pin T5)))
(net (code 225) (name "Net-(D9-Pad1)")
(node (ref US2) (pin 1))
(node (ref D9) (pin 1)))
(net (code 226) (name USB_FTDI_DM)
(node (ref US1) (pin 2))
(node (ref U6) (pin 12)))
(net (code 227) (name USB_FTDI_DP)
(node (ref U6) (pin 11))
(node (ref US1) (pin 3)))
(net (code 228) (name USB_FPGA_DM)
(net (code 128) (name GPDI_5V_SDA)
(node (ref R26) (pin 2))
(node (ref GPDI1) (pin 18))
(node (ref U11) (pin 5)))
(net (code 129) (name "Net-(D9-Pad1)")
(node (ref D9) (pin 1))
(node (ref US2) (pin 1)))
(net (code 130) (name USB_FTDI_DM)
(node (ref U6) (pin 12))
(node (ref US1) (pin 2)))
(net (code 131) (name USB_FTDI_DP)
(node (ref US1) (pin 3))
(node (ref U6) (pin 11)))
(net (code 132) (name USB_FPGA_DM)
(node (ref US2) (pin 2)))
(net (code 229) (name USB_FPGA_DP)
(net (code 133) (name USB_FPGA_DP)
(node (ref US2) (pin 3)))
(net (code 230) (name "Net-(US2-Pad4)")
(net (code 134) (name "Net-(US2-Pad4)")
(node (ref US2) (pin 4)))
(net (code 231) (name "Net-(US1-Pad4)")
(node (ref US1) (pin 4)))
(net (code 232) (name FTDI_nDSR)
(node (ref U6) (pin 7)))
(net (code 233) (name "Net-(U1-PadR4)")
(net (code 135) (name "Net-(U1-PadY3)")
(node (ref U1) (pin Y3)))
(net (code 136) (name "Net-(U1-PadR4)")
(node (ref U1) (pin R4)))
(net (code 234) (name "Net-(U1-PadT4)")
(net (code 137) (name "Net-(U1-PadT4)")
(node (ref U1) (pin T4)))
(net (code 235) (name "Net-(U1-PadU4)")
(net (code 138) (name "Net-(U1-PadU4)")
(node (ref U1) (pin U4)))
(net (code 236) (name FTDI_nRTS)
(net (code 139) (name FTDI_nRTS)
(node (ref U6) (pin 2)))
(net (code 237) (name FTDI_RXD)
(net (code 140) (name FTDI_RXD)
(node (ref U6) (pin 4)))
(net (code 238) (name FTDI_nRI)
(net (code 141) (name FTDI_nRI)
(node (ref U6) (pin 5)))
(net (code 239) (name FTDI_nDCD)
(net (code 142) (name FTDI_nDSR)
(node (ref U6) (pin 7)))
(net (code 143) (name FTDI_nDCD)
(node (ref U6) (pin 8)))
(net (code 240) (name FTDI_nCTS)
(net (code 144) (name FTDI_nCTS)
(node (ref U6) (pin 9)))
(net (code 241) (name FTDI_TXD)
(net (code 145) (name FTDI_TXD)
(node (ref U6) (pin 20)))
(net (code 242) (name /usb/FT3V3)
(node (ref U6) (pin 13))
(net (code 146) (name /usb/FT3V3)
(node (ref U6) (pin 3))
(node (ref R9) (pin 2))
(node (ref U6) (pin 3)))
(net (code 243) (name nRESET)
(node (ref U6) (pin 13)))
(net (code 147) (name nRESET)
(node (ref U6) (pin 14))
(node (ref R9) (pin 1)))
(net (code 244) (name FTDI_nDTR)
(net (code 148) (name FTDI_nDTR)
(node (ref U6) (pin 1))
(node (ref R10) (pin 2)))
(net (code 245) (name JTAG_TMS)
(node (ref U2) (pin 33))
(node (ref U6) (pin 19))
(node (ref U1) (pin U5)))
(net (code 246) (name USB5V)
(node (ref US1) (pin 1))
(node (ref D8) (pin 2)))
(net (code 247) (name "Net-(U1-PadW3)")
(net (code 149) (name "Net-(US1-Pad4)")
(node (ref US1) (pin 4)))
(net (code 150) (name USB5V)
(node (ref D8) (pin 2))
(node (ref US1) (pin 1)))
(net (code 151) (name "Net-(U1-PadW3)")
(node (ref U1) (pin W3)))
(net (code 248) (name "Net-(U1-PadU2)")
(node (ref U1) (pin U2)))
(net (code 249) (name "Net-(U1-PadR1)")
(net (code 152) (name "Net-(U1-PadV3)")
(node (ref U1) (pin V3)))
(net (code 153) (name "Net-(U1-PadR2)")
(node (ref U1) (pin R2)))
(net (code 154) (name "Net-(U1-PadR1)")
(node (ref U1) (pin R1)))
(net (code 250) (name "Net-(U1-PadT1)")
(net (code 155) (name "Net-(U1-PadT1)")
(node (ref U1) (pin T1)))
(net (code 251) (name "Net-(U1-PadU1)")
(net (code 156) (name "Net-(U1-PadU1)")
(node (ref U1) (pin U1)))
(net (code 252) (name "Net-(U1-PadV1)")
(net (code 157) (name "Net-(U1-PadV1)")
(node (ref U1) (pin V1)))
(net (code 253) (name "Net-(U1-PadW1)")
(net (code 158) (name "Net-(U1-PadW1)")
(node (ref U1) (pin W1)))
(net (code 254) (name "Net-(U1-PadR2)")
(node (ref U1) (pin R2)))
(net (code 255) (name "Net-(U1-PadT2)")
(net (code 159) (name "Net-(U1-PadT2)")
(node (ref U1) (pin T2)))
(net (code 256) (name "Net-(U1-PadV2)")
(net (code 160) (name "Net-(U1-PadU2)")
(node (ref U1) (pin U2)))
(net (code 161) (name "Net-(U1-PadV2)")
(node (ref U1) (pin V2)))
(net (code 257) (name "Net-(U1-PadW2)")
(net (code 162) (name "Net-(U1-PadW2)")
(node (ref U1) (pin W2)))
(net (code 258) (name "Net-(U1-PadY2)")
(net (code 163) (name "Net-(U1-PadY2)")
(node (ref U1) (pin Y2)))
(net (code 259) (name "Net-(U1-PadR3)")
(net (code 164) (name "Net-(U1-PadR3)")
(node (ref U1) (pin R3)))
(net (code 260) (name "Net-(U1-PadT3)")
(net (code 165) (name "Net-(U1-PadT3)")
(node (ref U1) (pin T3)))
(net (code 261) (name "Net-(U1-PadU3)")
(net (code 166) (name "Net-(U1-PadU3)")
(node (ref U1) (pin U3)))
(net (code 262) (name "Net-(U1-PadV3)")
(node (ref U1) (pin V3)))
(net (code 263) (name "Net-(U1-PadY3)")
(node (ref U1) (pin Y3)))
(net (code 264) (name JTAG_TDO)
(net (code 167) (name JTAG_TMS)
(node (ref U1) (pin U5))
(node (ref U2) (pin 33))
(node (ref U6) (pin 19)))
(net (code 168) (name JTAG_TDO)
(node (ref U2) (pin 32))
(node (ref U1) (pin V4))
(node (ref U6) (pin 10)))
(net (code 265) (name "Net-(U2-Pad36)")
(node (ref U2) (pin 36)))
(net (code 266) (name "Net-(U2-Pad26)")
(node (ref U6) (pin 10))
(node (ref U1) (pin V4)))
(net (code 169) (name JTAG_TDI)
(node (ref U2) (pin 31))
(node (ref U1) (pin R5))
(node (ref U6) (pin 17)))
(net (code 170) (name JTAG_TCK)
(node (ref U1) (pin T5))
(node (ref U6) (pin 18))
(node (ref U2) (pin 30)))
(net (code 171) (name "Net-(U2-Pad26)")
(node (ref U2) (pin 26)))
(net (code 267) (name "Net-(U2-Pad16)")
(net (code 172) (name "Net-(U2-Pad16)")
(node (ref U2) (pin 16)))
(net (code 268) (name WIFI_TXD)
(net (code 173) (name WIFI_TXD)
(node (ref U2) (pin 35)))
(net (code 269) (name WIFI_GPIO0)
(net (code 174) (name WIFI_GPIO0)
(node (ref U2) (pin 25)))
(net (code 270) (name "Net-(U2-Pad15)")
(net (code 175) (name "Net-(U2-Pad15)")
(node (ref U2) (pin 15)))
(net (code 271) (name WIFI_RXD)
(net (code 176) (name WIFI_RXD)
(node (ref U2) (pin 34)))
(net (code 272) (name WIFI_GPIO2)
(net (code 177) (name WIFI_GPIO2)
(node (ref U2) (pin 24)))
(net (code 273) (name "Net-(U2-Pad12)")
(node (ref U2) (pin 12)))
(net (code 274) (name "Net-(U2-Pad14)")
(net (code 178) (name "Net-(U2-Pad14)")
(node (ref U2) (pin 14)))
(net (code 275) (name WIFI_GPIO15)
(net (code 179) (name WIFI_GPIO15)
(node (ref U2) (pin 23)))
(net (code 276) (name "Net-(U2-Pad13)")
(net (code 180) (name "Net-(U2-Pad13)")
(node (ref U2) (pin 13)))
(net (code 277) (name SD_D1)
(node (ref SD1) (pin 8))
(node (ref U2) (pin 22)))
(net (code 278) (name SD_2)
(node (ref U2) (pin 17))
(node (ref SD1) (pin 9)))
(net (code 279) (name "Net-(U2-Pad27)")
(net (code 181) (name SD_D1)
(node (ref U2) (pin 22))
(node (ref SD1) (pin 8)))
(net (code 182) (name "Net-(U2-Pad12)")
(node (ref U2) (pin 12)))
(net (code 183) (name "Net-(U2-Pad36)")
(node (ref U2) (pin 36)))
(net (code 184) (name SD_2)
(node (ref SD1) (pin 9))
(node (ref U2) (pin 17)))
(net (code 185) (name "Net-(U2-Pad27)")
(node (ref U2) (pin 27)))
(net (code 280) (name "Net-(U2-Pad37)")
(net (code 186) (name "Net-(U2-Pad37)")
(node (ref U2) (pin 37)))
(net (code 281) (name SD_3)
(node (ref U2) (pin 18))
(node (ref SD1) (pin 1)))
(net (code 282) (name "Net-(U2-Pad28)")
(net (code 187) (name SD_3)
(node (ref SD1) (pin 1))
(node (ref U2) (pin 18)))
(net (code 188) (name "Net-(U2-Pad28)")
(node (ref U2) (pin 28)))
(net (code 283) (name "Net-(U2-Pad38)")
(net (code 189) (name "Net-(U2-Pad38)")
(node (ref U2) (pin 38)))
(net (code 284) (name SD_CMD)
(node (ref SD1) (pin 2))
(node (ref U2) (pin 19)))
(net (code 285) (name "Net-(U2-Pad29)")
(net (code 190) (name SD_CMD)
(node (ref U2) (pin 19))
(node (ref SD1) (pin 2)))
(net (code 191) (name "Net-(U2-Pad29)")
(node (ref U2) (pin 29)))
(net (code 286) (name "Net-(U2-Pad39)")
(net (code 192) (name "Net-(U2-Pad39)")
(node (ref U2) (pin 39)))
(net (code 287) (name WIFI_RESET)
(node (ref U2) (pin 7)))
(net (code 288) (name SD_D0)
(net (code 193) (name "Net-(U2-Pad11)")
(node (ref U2) (pin 11)))
(net (code 194) (name SD_D0)
(node (ref U2) (pin 21))
(node (ref SD1) (pin 7)))
(net (code 289) (name "Net-(U2-Pad11)")
(node (ref U2) (pin 11)))
(net (code 290) (name SD_CLK)
(net (code 195) (name SD_CLK)
(node (ref SD1) (pin 5))
(node (ref U2) (pin 20)))
(net (code 291) (name "Net-(U2-Pad10)")
(net (code 196) (name "Net-(U2-Pad10)")
(node (ref U2) (pin 10)))
(net (code 292) (name "Net-(U2-Pad9)")
(net (code 197) (name "Net-(U2-Pad9)")
(node (ref U2) (pin 9)))
(net (code 293) (name "Net-(U2-Pad8)")
(net (code 198) (name "Net-(U2-Pad8)")
(node (ref U2) (pin 8)))
(net (code 294) (name "Net-(U2-Pad6)")
(node (ref U2) (pin 6)))
(net (code 295) (name "Net-(U2-Pad5)")
(net (code 199) (name WIFI_RESET)
(node (ref U2) (pin 7)))
(net (code 200) (name "Net-(U2-Pad5)")
(node (ref U2) (pin 5)))
(net (code 296) (name "Net-(U2-Pad4)")
(net (code 201) (name "Net-(U2-Pad4)")
(node (ref U2) (pin 4)))
(net (code 297) (name WIFI_PD)
(net (code 202) (name WIFI_PD)
(node (ref U2) (pin 3)))
(net (code 298) (name SDRAM_D6)
(net (code 203) (name "Net-(U2-Pad6)")
(node (ref U2) (pin 6)))
(net (code 204) (name SDRAM_D1)
(node (ref U9) (pin 4))
(node (ref U1) (pin K19)))
(net (code 205) (name SDRAM_A1)
(node (ref U9) (pin 24))
(node (ref U1) (pin J19)))
(net (code 206) (name SDRAM_A3)
(node (ref U9) (pin 26))
(node (ref U1) (pin G19)))
(net (code 207) (name SDRAM_D5)
(node (ref U1) (pin F19))
(node (ref U9) (pin 10)))
(net (code 208) (name SDRAM_D6)
(node (ref U1) (pin E19))
(node (ref U9) (pin 11)))
(net (code 299) (name SDRAM_D15)
(node (ref U9) (pin 53)))
(net (code 300) (name SDRAM_A8)
(node (ref U9) (pin 33)))
(net (code 301) (name SDRAM_A0)
(node (ref U9) (pin 23)))
(net (code 302) (name SDRAM_D7)
(net (code 209) (name SDRAM_D7)
(node (ref U1) (pin D19))
(node (ref U9) (pin 13)))
(net (code 303) (name SDRAM_D8)
(node (ref U9) (pin 42)))
(net (code 304) (name SDRAM_A7)
(node (ref U9) (pin 32)))
(net (code 305) (name SDRAM_A10)
(node (ref U9) (pin 22)))
(net (code 306) (name SDRAM_D14)
(node (ref U9) (pin 51)))
(net (code 307) (name SDRAM_A6)
(net (code 210) (name SDRAM_D2)
(node (ref U1) (pin K18))
(node (ref U9) (pin 5)))
(net (code 211) (name SDRAM_A2)
(node (ref U9) (pin 25))
(node (ref U1) (pin J18)))
(net (code 212) (name SDRAM_A9)
(node (ref U9) (pin 34))
(node (ref U1) (pin H18)))
(net (code 213) (name SDRAM_D11)
(node (ref U9) (pin 47))
(node (ref U1) (pin G18)))
(net (code 214) (name SDRAM_D12)
(node (ref U9) (pin 48))
(node (ref U1) (pin F18)))
(net (code 215) (name SDRAM_D4)
(node (ref U1) (pin G20))
(node (ref U9) (pin 8)))
(net (code 216) (name SDRAM_A7)
(node (ref U9) (pin 32))
(node (ref U1) (pin C20)))
(net (code 217) (name SDRAM_A6)
(node (ref U1) (pin D20))
(node (ref U9) (pin 31)))
(net (code 308) (name SDRAM_BA1)
(node (ref U9) (pin 21)))
(net (code 309) (name SDRAM_D13)
(node (ref U9) (pin 50)))
(net (code 310) (name SDRAM_A5)
(net (code 218) (name SDRAM_A5)
(node (ref U1) (pin E20))
(node (ref U9) (pin 30)))
(net (code 311) (name SDRAM_BA0)
(node (ref U9) (pin 20)))
(net (code 312) (name SDRAM_D5)
(node (ref U9) (pin 10)))
(net (code 313) (name SDRAM_D4)
(node (ref U9) (pin 8)))
(net (code 314) (name SDRAM_D3)
(node (ref U9) (pin 7)))
(net (code 315) (name SDRAM_D2)
(node (ref U9) (pin 5)))
(net (code 316) (name SDRAM_D1)
(node (ref U9) (pin 4)))
(net (code 317) (name SDRAM_D0)
(net (code 219) (name SDRAM_A4)
(node (ref U1) (pin F20))
(node (ref U9) (pin 29)))
(net (code 220) (name SDRAM_A8)
(node (ref U9) (pin 33))
(node (ref U1) (pin J17)))
(net (code 221) (name SDRAM_D3)
(node (ref U9) (pin 7))
(node (ref U1) (pin H20)))
(net (code 222) (name SDRAM_A0)
(node (ref U9) (pin 23))
(node (ref U1) (pin J20)))
(net (code 223) (name SDRAM_D0)
(node (ref U1) (pin K20))
(node (ref U9) (pin 2)))
(net (code 318) (name SDRAM_A9)
(node (ref U9) (pin 34)))
(net (code 319) (name SDRAM_DQM1)
(net (code 224) (name SDRAM_D14)
(node (ref U1) (pin F16))
(node (ref U9) (pin 51)))
(net (code 225) (name SDRAM_D10)
(node (ref U1) (pin H16))
(node (ref U9) (pin 45)))
(net (code 226) (name SDRAM_D8)
(node (ref U1) (pin J16))
(node (ref U9) (pin 42)))
(net (code 227) (name SDRAM_D15)
(node (ref U1) (pin D17))
(node (ref U9) (pin 53)))
(net (code 228) (name SDRAM_D13)
(node (ref U9) (pin 50))
(node (ref U1) (pin E17)))
(net (code 229) (name SDRAM_D9)
(node (ref U9) (pin 44))
(node (ref U1) (pin H17)))
(net (code 230) (name "Net-(U1-PadL17)")
(node (ref U1) (pin L17)))
(net (code 231) (name "Net-(U1-PadM17)")
(node (ref U1) (pin M17)))
(net (code 232) (name "Net-(U1-PadN17)")
(node (ref U1) (pin N17)))
(net (code 233) (name "Net-(U1-PadP17)")
(node (ref U1) (pin P17)))
(net (code 234) (name "Net-(U1-PadR17)")
(node (ref U1) (pin R17)))
(net (code 235) (name SDRAM_DQM0)
(node (ref U9) (pin 15))
(node (ref U1) (pin T17)))
(net (code 236) (name SDRAM_DQM1)
(node (ref U1) (pin U17))
(node (ref U9) (pin 39)))
(net (code 320) (name SDRAM_A4)
(node (ref U9) (pin 29)))
(net (code 321) (name SDRAM_nCS)
(node (ref U9) (pin 19)))
(net (code 322) (name SDRAM_D12)
(node (ref U9) (pin 48)))
(net (code 323) (name SDRAM_CLK)
(node (ref U9) (pin 38)))
(net (code 324) (name SDRAM_D11)
(node (ref U9) (pin 47)))
(net (code 325) (name SDRAM_nRAS)
(net (code 237) (name "Net-(U1-PadL18)")
(node (ref U1) (pin L18)))
(net (code 238) (name "Net-(U1-PadM18)")
(node (ref U1) (pin M18)))
(net (code 239) (name "Net-(U1-PadN18)")
(node (ref U1) (pin N18)))
(net (code 240) (name "Net-(U1-PadP18)")
(node (ref U1) (pin P18)))
(net (code 241) (name "Net-(U1-PadR18)")
(node (ref U1) (pin R18)))
(net (code 242) (name "Net-(U1-PadT18)")
(node (ref U1) (pin T18)))
(net (code 243) (name "Net-(U1-PadL19)")
(node (ref U1) (pin L19)))
(net (code 244) (name "Net-(U1-PadM19)")
(node (ref U1) (pin M19)))
(net (code 245) (name "Net-(U1-PadN19)")
(node (ref U1) (pin N19)))
(net (code 246) (name "Net-(U1-PadP19)")
(node (ref U1) (pin P19)))
(net (code 247) (name "Net-(U1-PadL20)")
(node (ref U1) (pin L20)))
(net (code 248) (name "Net-(U1-PadM20)")
(node (ref U1) (pin M20)))
(net (code 249) (name "Net-(U1-PadN20)")
(node (ref U1) (pin N20)))
(net (code 250) (name "Net-(U1-PadT20)")
(node (ref U1) (pin T20)))
(net (code 251) (name "Net-(U1-PadU20)")
(node (ref U1) (pin U20)))
(net (code 252) (name "Net-(U1-PadL16)")
(node (ref U1) (pin L16)))
(net (code 253) (name "Net-(U1-PadN16)")
(node (ref U1) (pin N16)))
(net (code 254) (name "Net-(U1-PadP16)")
(node (ref U1) (pin P16)))
(net (code 255) (name "Net-(U1-PadR16)")
(node (ref U1) (pin R16)))
(net (code 256) (name SDRAM_A11)
(node (ref U9) (pin 35))
(node (ref U1) (pin F17)))
(net (code 257) (name SDRAM_nWE)
(node (ref U9) (pin 16))
(node (ref U1) (pin U19)))
(net (code 258) (name SDRAM_nCAS)
(node (ref U9) (pin 17))
(node (ref U1) (pin U18)))
(net (code 259) (name SDRAM_CKE)
(node (ref U9) (pin 37))
(node (ref U1) (pin C18)))
(net (code 260) (name SDRAM_nRAS)
(node (ref U1) (pin U16))
(node (ref U9) (pin 18)))
(net (code 326) (name SDRAM_A1)
(node (ref U9) (pin 24)))
(net (code 327) (name SDRAM_D9)
(node (ref U9) (pin 44)))
(net (code 328) (name SDRAM_DQM0)
(node (ref U9) (pin 15)))
(net (code 329) (name SDRAM_A2)
(node (ref U9) (pin 25)))
(net (code 330) (name SDRAM_A11)
(node (ref U9) (pin 35)))
(net (code 331) (name SDRAM_nWE)
(node (ref U9) (pin 16)))
(net (code 332) (name SDRAM_A3)
(node (ref U9) (pin 26)))
(net (code 333) (name SDRAM_nCAS)
(node (ref U9) (pin 17)))
(net (code 334) (name SDRAM_CKE)
(node (ref U9) (pin 37)))
(net (code 335) (name SDRAM_D10)
(node (ref U9) (pin 45)))
(net (code 336) (name AUDIO_R3)
(net (code 261) (name SDRAM_CLK)
(node (ref U9) (pin 38))
(node (ref U1) (pin E16)))
(net (code 262) (name SDRAM_nCS)
(node (ref U1) (pin T19))
(node (ref U9) (pin 19)))
(net (code 263) (name SDRAM_BA0)
(node (ref U1) (pin E18))
(node (ref U9) (pin 20)))
(net (code 264) (name SDRAM_BA1)
(node (ref U1) (pin D18))
(node (ref U9) (pin 21)))
(net (code 265) (name SDRAM_A10)
(node (ref U1) (pin G16))
(node (ref U9) (pin 22)))
(net (code 266) (name AUDIO_R3)
(node (ref R18) (pin 1)))
(net (code 337) (name AUDIO_R2)
(net (code 267) (name AUDIO_R2)
(node (ref R19) (pin 1)))
(net (code 338) (name AUDIO_R1)
(net (code 268) (name AUDIO_R1)
(node (ref R20) (pin 1)))
(net (code 339) (name AUDIO_R0)
(net (code 269) (name AUDIO_R0)
(node (ref R21) (pin 1)))
(net (code 340) (name "Net-(AUDIO1-Pad4)")
(net (code 270) (name "Net-(AUDIO1-Pad4)")
(node (ref AUDIO1) (pin 4)))
(net (code 341) (name "Net-(AUDIO1-Pad5)")
(net (code 271) (name "Net-(AUDIO1-Pad5)")
(node (ref AUDIO1) (pin 5)))
(net (code 342) (name "Net-(AUDIO1-Pad6)")
(net (code 272) (name "Net-(AUDIO1-Pad6)")
(node (ref AUDIO1) (pin 6)))
(net (code 343) (name /analog/AUDIO_R)
(node (ref R20) (pin 2))
(net (code 273) (name /analog/AUDIO_R)
(node (ref R21) (pin 2))
(node (ref R20) (pin 2))
(node (ref R19) (pin 2))
(node (ref R18) (pin 2))
(node (ref AUDIO1) (pin 3)))
(net (code 344) (name AUDIO_L0)
(net (code 274) (name AUDIO_L0)
(node (ref R17) (pin 1)))
(net (code 345) (name AUDIO_L1)
(net (code 275) (name AUDIO_L1)
(node (ref R16) (pin 1)))
(net (code 346) (name AUDIO_L2)
(node (ref R15) (pin 1)))
(net (code 347) (name AUDIO_L3)
(node (ref R14) (pin 1)))
(net (code 348) (name /analog/AUDIO_L)
(node (ref AUDIO1) (pin 1))
(node (ref R17) (pin 2))
(net (code 276) (name /analog/AUDIO_L)
(node (ref R16) (pin 2))
(node (ref R17) (pin 2))
(node (ref R15) (pin 2))
(node (ref AUDIO1) (pin 1))
(node (ref R14) (pin 2)))
(net (code 349) (name "Net-(U10-Pad1)")
(net (code 277) (name AUDIO_L3)
(node (ref R14) (pin 1)))
(net (code 278) (name AUDIO_L2)
(node (ref R15) (pin 1)))
(net (code 279) (name "Net-(U10-Pad1)")
(node (ref U10) (pin 1)))
(net (code 350) (name "Net-(U10-Pad2)")
(net (code 280) (name "Net-(U10-Pad2)")
(node (ref U10) (pin 2)))
(net (code 351) (name "Net-(U10-Pad3)")
(net (code 281) (name "Net-(U10-Pad3)")
(node (ref U10) (pin 3)))
(net (code 352) (name "Net-(U10-Pad5)")
(net (code 282) (name "Net-(U10-Pad5)")
(node (ref U10) (pin 5)))
(net (code 353) (name "Net-(U10-Pad6)")
(net (code 283) (name "Net-(U10-Pad6)")
(node (ref U10) (pin 6)))
(net (code 354) (name "Net-(U10-Pad7)")
(net (code 284) (name "Net-(U10-Pad7)")
(node (ref U10) (pin 7)))
(net (code 355) (name SD_CD)
(net (code 285) (name SD_CD)
(node (ref SD1) (pin 10)))
(net (code 356) (name SD_WP)
(net (code 286) (name SD_WP)
(node (ref SD1) (pin 11)))))

@ -0,0 +1,156 @@
(rules PCB ulx3s
(snap_angle
fortyfive_degree
)
(autoroute_settings
(fanout off)
(autoroute on)
(postroute on)
(vias on)
(via_costs 50)
(plane_via_costs 5)
(start_ripup_costs 100)
(start_pass_no 1)
(layer_rule F.Cu
(active on)
(preferred_direction horizontal)
(preferred_direction_trace_costs 1.8)
(against_preferred_direction_trace_costs 3.3)
)
(layer_rule In1.Cu
(active on)
(preferred_direction vertical)
(preferred_direction_trace_costs 1.0)
(against_preferred_direction_trace_costs 1.6)
)
(layer_rule In2.Cu
(active on)
(preferred_direction horizontal)
(preferred_direction_trace_costs 1.0)
(against_preferred_direction_trace_costs 2.5)
)
(layer_rule B.Cu
(active on)
(preferred_direction vertical)
(preferred_direction_trace_costs 1.8)
(against_preferred_direction_trace_costs 2.4)
)
)
(rule
(width 250.0)
(clear 200.2)
(clear 125.0 (type smd_to_turn_gap))
(clear 50.0 (type smd_smd))
(clear 100.2 (type BGA_BGA))
)
(padstack "Via[0-3]_600:400_um"
(shape
(circle F.Cu 600.0 0.0 0.0)
)
(shape
(circle In1.Cu 600.0 0.0 0.0)
)
(shape
(circle In2.Cu 600.0 0.0 0.0)
)
(shape
(circle B.Cu 600.0 0.0 0.0)
)
(attach off)
)
(padstack "Via[0-3]_330:150_um"
(shape
(circle F.Cu 330.0 0.0 0.0)
)
(shape
(circle In1.Cu 330.0 0.0 0.0)
)
(shape
(circle In2.Cu 330.0 0.0 0.0)
)
(shape
(circle B.Cu 330.0 0.0 0.0)
)
(attach off)
)
(via
"Via[0-3]_600:400_um" "Via[0-3]_600:400_um" default
)
(via
"Via[0-3]_330:150_um" "Via[0-3]_330:150_um" default
)
(via
"Via[0-3]_600:400_um-kicad_default" "Via[0-3]_600:400_um" "kicad_default"
)
(via
"Via[0-3]_330:150_um-kicad_default" "Via[0-3]_330:150_um" "kicad_default"
)
(via
"Via[0-3]_600:400_um-BGA" "Via[0-3]_600:400_um" BGA
)
(via
"Via[0-3]_330:150_um-BGA" "Via[0-3]_330:150_um" BGA
)
(via_rule
default "Via[0-3]_330:150_um"
)
(via_rule
"kicad_default" "Via[0-3]_600:400_um-kicad_default"
)
(via_rule
BGA "Via[0-3]_330:150_um-BGA"
)
(class default
(clearance_class default)
(via_rule default)
(rule
(width 250.0)
)
(circuit
(use_layer F.Cu In1.Cu In2.Cu B.Cu)
)
)
(class "kicad_default"
+5V /gpio/IN5V /gpio/OUT5V +3V3 "Net-(L1-Pad1)" "Net-(L2-Pad1)" +1V2 /power/FB1
+2V5 "Net-(L3-Pad1)" /power/PWREN /power/FB3 /power/FB2 "Net-(D9-Pad1)" /power/VBAT /power/WAKEUPn
/power/WKUP /power/SHUT /power/WAKE /power/HOLD /power/WKn "/power/OSCI_32k" "/power/OSCO_32k" "FTDI_nSUSPEND"
"USB_FTDI_DM" "USB_FTDI_DP" "Net-(Q2-Pad3)" SHUTDOWN "/analog/AUDIO_L" "/analog/AUDIO_R" /gpdi/VREF2 /blinkey/BTNPU
USB5V "Net-(BTN0-Pad1)" nRESET /usb/FT3V3 "FTDI_nDTR"
(clearance_class "kicad_default")
(via_rule kicad_default)
(rule
(width 250.0)
)
(circuit
(use_layer F.Cu In1.Cu In2.Cu B.Cu)
)
)
(class BGA
GND "BTN_D" "BTN_F1" "BTN_F2" "BTN_L" "BTN_R" "BTN_U" "SD_3"
"JTAG_TDI" "JTAG_TCK" "JTAG_TMS" "JTAG_TDO" "GPDI_5V_SCL" "GPDI_5V_SDA" "GPDI_SDA" "GPDI_SCL"
"SD_CMD" "SD_CLK" "SD_D0" "SD_D1" /gpio/B11 /gpio/C11 /gpio/A10 /gpio/A11
/gpio/B10 /gpio/A9 /gpio/C10 /gpio/B9 /gpio/E9 /gpio/D9 /gpio/A8 /gpio/A7
/gpio/B8 /gpio/C8 /gpio/D8 /gpio/E8 /gpio/C7 /gpio/C6 /gpio/D7 /gpio/E7
/gpio/D6 /gpio/E6 /gpio/B6 /gpio/A6 /gpio/A19 /gpio/B20 /gpio/A18 /gpio/B19
/gpio/A17 /gpio/B18 /gpio/B17 /gpio/C17 /gpio/C16 /gpio/D16 /gpio/A16 /gpio/B16
/gpio/D15 /gpio/E15 /gpio/B15 /gpio/C15 /gpio/D14 /gpio/E14 /gpio/A14 /gpio/C14
/gpio/D13 /gpio/E13 /gpio/B13 /gpio/C13 /gpio/A12 /gpio/A13 /gpio/D12 /gpio/E12
/gpio/B12 /gpio/C12 /gpio/D11 /gpio/E11 LED0 LED1 LED2 LED3
LED4 LED5 LED6 LED7 "BTN_PWRn" "GPDI_ETH_N" "GPDI_ETH_P" "GPDI_D2_P"
"GPDI_D2_N" "GPDI_D1_P" "GPDI_D1_N" "GPDI_D0_P" "GPDI_D0_N" "GPDI_CLK_P" "GPDI_CLK_N" "GPDI_CEC"
"SDRAM_CKE" "SDRAM_A7" "SDRAM_D15" "SDRAM_BA1" "SDRAM_D7" "SDRAM_A6" "SDRAM_CLK" "SDRAM_D13"
"SDRAM_BA0" "SDRAM_D6" "SDRAM_A5" "SDRAM_D14" "SDRAM_A11" "SDRAM_D12" "SDRAM_D5" "SDRAM_A4"
"SDRAM_A10" "SDRAM_D11" "SDRAM_A3" "SDRAM_D4" "SDRAM_D10" "SDRAM_D9" "SDRAM_A9" "SDRAM_D3"
"SDRAM_D8" "SDRAM_A8" "SDRAM_A2" "SDRAM_A1" "SDRAM_A0" "SDRAM_D2" "SDRAM_D1" "SDRAM_D0"
"SDRAM_DQM0" "SDRAM_nCS" "SDRAM_nRAS" "SDRAM_DQM1" "SDRAM_nCAS" "SDRAM_nWE"
(clearance_class BGA)
(via_rule BGA)
(rule
(width 200.0)
)
(circuit
(use_layer F.Cu In1.Cu In2.Cu B.Cu)
)
)
)

10173
ulx3s.ses

File diff suppressed because it is too large Load Diff

@ -64,10 +64,10 @@ F 3 "" V 2650 1700 60 0000 C CNN
-1 0 0 1
$EndComp
$Comp
L +5V #PWR074
L +5V #PWR78
U 1 1 58D6C83B
P 2800 1700
F 0 "#PWR074" H 2800 1550 50 0001 C CNN
F 0 "#PWR78" H 2800 1550 50 0001 C CNN
F 1 "+5V" H 2800 1840 50 0000 C CNN
F 2 "" H 2800 1700 60 0000 C CNN
F 3 "" H 2800 1700 60 0000 C CNN
@ -86,10 +86,10 @@ F 3 "" V 2650 2650 60 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR075
L +5V #PWR79
U 1 1 58D6C83D
P 2850 2650
F 0 "#PWR075" H 2850 2500 50 0001 C CNN
F 0 "#PWR79" H 2850 2500 50 0001 C CNN
F 1 "+5V" H 2850 2790 50 0000 C CNN
F 2 "" H 2850 2650 60 0000 C CNN
F 3 "" H 2850 2650 60 0000 C CNN
@ -119,10 +119,10 @@ F 3 "" V 1450 2750 50 0000 C CNN
0 -1 1 0
$EndComp
$Comp
L GND #PWR076
L GND #PWR76
U 1 1 58D6C842
P 1900 2100
F 0 "#PWR076" H 1900 1850 50 0001 C CNN
F 0 "#PWR76" H 1900 1850 50 0001 C CNN
F 1 "GND" H 1900 1950 50 0000 C CNN
F 2 "" H 1900 2100 50 0000 C CNN
F 3 "" H 1900 2100 50 0000 C CNN
@ -130,10 +130,10 @@ F 3 "" H 1900 2100 50 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
L GND #PWR077
L GND #PWR77
U 1 1 58D6C843
P 1900 3050
F 0 "#PWR077" H 1900 2800 50 0001 C CNN
F 0 "#PWR77" H 1900 2800 50 0001 C CNN
F 1 "GND" H 1900 2900 50 0000 C CNN
F 2 "" H 1900 3050 50 0000 C CNN
F 3 "" H 1900 3050 50 0000 C CNN
@ -151,10 +151,10 @@ USB_FPGA_DP
NoConn ~ 1850 2950
NoConn ~ 1850 2000
$Comp
L GND #PWR078
L GND #PWR74
U 1 1 58D82518
P 1400 2300
F 0 "#PWR078" H 1400 2050 50 0001 C CNN
F 0 "#PWR74" H 1400 2050 50 0001 C CNN
F 1 "GND" H 1400 2150 50 0000 C CNN
F 2 "" H 1400 2300 50 0000 C CNN
F 3 "" H 1400 2300 50 0000 C CNN
@ -162,10 +162,10 @@ F 3 "" H 1400 2300 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR079
L GND #PWR75
U 1 1 58D8254A
P 1400 3250
F 0 "#PWR079" H 1400 3000 50 0001 C CNN
F 0 "#PWR75" H 1400 3000 50 0001 C CNN
F 1 "GND" H 1400 3100 50 0000 C CNN
F 2 "" H 1400 3250 50 0000 C CNN
F 3 "" H 1400 3250 50 0000 C CNN
@ -173,10 +173,10 @@ F 3 "" H 1400 3250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR080
L GND #PWR81
U 1 1 58D8877C
P 5600 4500
F 0 "#PWR080" H 5600 4250 50 0001 C CNN
F 0 "#PWR81" H 5600 4250 50 0001 C CNN
F 1 "GND" H 5600 4350 50 0000 C CNN
F 2 "" H 5600 4500 50 0000 C CNN
F 3 "" H 5600 4500 50 0000 C CNN
@ -257,10 +257,10 @@ F 3 "" H 5700 3400 50 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR?
L +5V #PWR80
U 1 1 58EB8213
P 5600 2500
F 0 "#PWR?" H 5600 2350 50 0001 C CNN
F 0 "#PWR80" H 5600 2350 50 0001 C CNN
F 1 "+5V" H 5600 2640 50 0000 C CNN
F 2 "" H 5600 2500 50 0000 C CNN
F 3 "" H 5600 2500 50 0000 C CNN
@ -342,12 +342,12 @@ nRESET
Wire Wire Line
4850 3400 5000 3400
$Comp
L R R?
L R R9
U 1 1 58EB9CB5
P 4700 3600
F 0 "R?" V 4780 3600 50 0000 C CNN
F 0 "R9" V 4780 3600 50 0000 C CNN
F 1 "15k" V 4700 3600 50 0000 C CNN
F 2 "" V 4630 3600 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 4630 3600 50 0001 C CNN
F 3 "" H 4700 3600 50 0000 C CNN
1 4700 3600
0 1 1 0
@ -364,12 +364,12 @@ FT3V3
Text GLabel 6350 5150 0 60 Input ~ 0
FTDI_nDTR
$Comp
L R R?
L R R10
U 1 1 58EBA6BD
P 6600 5150
F 0 "R?" V 6680 5150 50 0000 C CNN
F 1 "1k" V 6600 5150 50 0000 C CNN
F 2 "" V 6530 5150 50 0000 C CNN
F 0 "R10" V 6680 5150 50 0000 C CNN
F 1 "220" V 6600 5150 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" V 6530 5150 50 0001 C CNN
F 3 "" H 6600 5150 50 0000 C CNN
1 6600 5150
0 1 1 0

@ -98,10 +98,10 @@ F 3 "" H 6400 5250 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR094
L GND #PWR83
U 1 1 58E56E8D
P 5500 4100
F 0 "#PWR094" H 5500 3850 50 0001 C CNN
F 0 "#PWR83" H 5500 3850 50 0001 C CNN
F 1 "GND" H 5500 3950 50 0000 C CNN
F 2 "" H 5500 4100 50 0000 C CNN
F 3 "" H 5500 4100 50 0000 C CNN
@ -109,10 +109,10 @@ F 3 "" H 5500 4100 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L +3V3 #PWR095
L +3V3 #PWR82
U 1 1 58E56EA3
P 5350 4200
F 0 "#PWR095" H 5350 4050 50 0001 C CNN
F 0 "#PWR82" H 5350 4050 50 0001 C CNN
F 1 "+3V3" H 5350 4340 50 0000 C CNN
F 2 "" H 5350 4200 50 0000 C CNN
F 3 "" H 5350 4200 50 0000 C CNN

Loading…
Cancel
Save