add missing library footprint/usb_otg

pull/3/head
Davor 7 years ago
parent cf484ec2ff
commit fdfb12770c

@ -0,0 +1,3 @@
EESchema-DOCLIB Version 2.0
#
#End Doc Library

@ -0,0 +1,30 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#
# USB_OTG-RESCUE-ulx3s
#
DEF USB_OTG-RESCUE-ulx3s P 0 40 Y Y 1 F N
F0 "P" 325 -125 50 H V C CNN
F1 "USB_OTG-RESCUE-ulx3s" 0 200 50 H V C CNN
F2 "" -50 -100 60 V V C CNN
F3 "" -50 -100 60 V V C CNN
$FPLIST
USB*
$ENDFPLIST
DRAW
S -250 -150 250 150 0 1 0 N
S -205 -150 -195 -120 0 1 0 N
S -105 -150 -95 -120 0 1 0 N
S -5 -150 5 -120 0 1 0 N
S 95 -150 105 -120 0 1 0 N
S 195 -150 205 -120 0 1 0 N
X VCC 1 -200 -300 150 U 50 50 1 1 w
X D- 2 -100 -300 150 U 50 50 1 1 P
X D+ 3 0 -300 150 U 50 50 1 1 P
X ID 4 100 -300 150 U 50 50 1 1 W
X GND 5 200 -300 150 U 50 50 1 1 W
X shield 6 400 100 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
#End Library

@ -0,0 +1,29 @@
(module Connectors:USB_Micro-B (layer F.Cu) (tedit 5543E447)
(descr "Micro USB Type B Receptacle")
(tags "USB USB_B USB_micro USB_OTG")
(attr smd)
(fp_text reference P1 (at 0 -3.24) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value USB_FTDI (at 0 5.01) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -4.6 -2.59) (end 4.6 -2.59) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 -2.59) (end 4.6 4.26) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 4.26) (end -4.6 4.26) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.6 4.26) (end -4.6 -2.59) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.35 4.03) (end 4.35 4.03) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 -2.38) (end 4.35 -2.38) (layer F.SilkS) (width 0.12))
(fp_line (start 4.35 -2.38) (end 4.35 4.03) (layer F.SilkS) (width 0.12))
(fp_line (start 4.35 2.8) (end -4.35 2.8) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 4.03) (end -4.35 -2.38) (layer F.SilkS) (width 0.12))
(pad 1 smd rect (at -1.3 -1.35 90) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at -0.65 -1.35 90) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 0 -1.35 90) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 0.65 -1.35 90) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1.3 -1.35 90) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 6 thru_hole oval (at -2.5 -1.35 90) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask))
(pad 6 thru_hole oval (at 2.5 -1.35 90) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask))
(pad 6 thru_hole oval (at -3.5 1.35 90) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask))
(pad 6 thru_hole oval (at 3.5 1.35 90) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask))
)

@ -5,4 +5,5 @@
(lib (name ESP8266)(type KiCad)(uri "$(KIPRJMOD)/footprints/esp8266/ESP8266.pretty")(options "")(descr ""))
(lib (name micro-hdmi-d)(type KiCad)(uri "$(KIPRJMOD)/footprints/micro-hdmi-d/micro-hdmi-d.pretty")(options "")(descr ""))
(lib (name micro-sd)(type KiCad)(uri "$(KIPRJMOD)/footprints/micro-sd/micro-sd.pretty")(options "")(descr ""))
(lib (name usb_otg)(type KiCad)(uri "$(KIPRJMOD)/footprints/usb_otg/usb_otg.pretty")(options "")(descr ""))
)

1253
gpio.bak

@ -1,626 +1,627 @@
EESchema Schematic File Version 2
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 2
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L LFE5-BG381 U1
U 1 1 56AC4242
P 7650 3150
F 0 "U1" H 7650 3250 60 0000 C CNN
F 1 "LFE5-BG381" H 7650 3150 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6600 4100 60 0001 C CNN
F 3 "" H 6600 4100 60 0000 C CNN
1 7650 3150
1 0 0 -1
$EndComp
Text Label 6600 2350 0 60 ~ 0
P5
Text Label 6600 2450 0 60 ~ 0
P6
Text Label 6600 2600 0 60 ~ 0
P7
Text Label 6600 2700 0 60 ~ 0
P8
Text Label 6600 2850 0 60 ~ 0
P9
Text Label 6600 2950 0 60 ~ 0
P10
Text Label 6600 3100 0 60 ~ 0
P11
Text Label 6600 3200 0 60 ~ 0
P12
Text Label 6600 3350 0 60 ~ 0
P13
Text Label 6600 3450 0 60 ~ 0
P14
Text Label 6600 3600 0 60 ~ 0
P15
Text Label 6600 3700 0 60 ~ 0
P16
Text Label 6600 3850 0 60 ~ 0
P17
Text Label 6600 3950 0 60 ~ 0
P18
Text Label 8500 2350 0 60 ~ 0
P19
Text Label 8500 2450 0 60 ~ 0
P20
Text Label 8500 2600 0 60 ~ 0
P21
Text Label 8500 2700 0 60 ~ 0
P22
Text Label 8500 2850 0 60 ~ 0
P23
Text Label 8500 2950 0 60 ~ 0
P24
Text Label 8500 3100 0 60 ~ 0
P25
Text Label 8500 3200 0 60 ~ 0
P26
Text Label 8500 3350 0 60 ~ 0
P27
Text Label 8500 3450 0 60 ~ 0
P28
Text Label 8500 3600 0 60 ~ 0
P29
Text Label 8500 3700 0 60 ~ 0
P30
$Comp
L VCC #PWR06
U 1 1 56AC481F
P 3550 4000
F 0 "#PWR06" H 3550 3850 50 0001 C CNN
F 1 "VCC" H 3550 4150 50 0000 C CNN
F 2 "" H 3550 4000 60 0000 C CNN
F 3 "" H 3550 4000 60 0000 C CNN
1 3550 4000
0 1 1 0
$EndComp
$Comp
L GND #PWR07
U 1 1 56AC4825
P 2700 2200
F 0 "#PWR07" H 2700 1950 50 0001 C CNN
F 1 "GND" H 2700 2050 50 0000 C CNN
F 2 "" H 2700 2200 60 0000 C CNN
F 3 "" H 2700 2200 60 0000 C CNN
1 2700 2200
0 1 1 0
$EndComp
$Comp
L GND #PWR08
U 1 1 56AC482D
P 3500 2200
F 0 "#PWR08" H 3500 1950 50 0001 C CNN
F 1 "GND" H 3500 2050 50 0000 C CNN
F 2 "" H 3500 2200 60 0000 C CNN
F 3 "" H 3500 2200 60 0000 C CNN
1 3500 2200
0 -1 1 0
$EndComp
$Comp
L VCC #PWR09
U 1 1 56AC4834
P 2650 4000
F 0 "#PWR09" H 2650 3850 50 0001 C CNN
F 1 "VCC" H 2650 4150 50 0000 C CNN
F 2 "" H 2650 4000 60 0000 C CNN
F 3 "" H 2650 4000 60 0000 C CNN
1 2650 4000
0 -1 1 0
$EndComp
$Comp
L D_Schottky_Small D1
U 1 1 56AC483B
P 2500 2100
F 0 "D1" H 2450 2180 50 0000 L CNN
F 1 "2A" H 2450 2000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 2500 2100 60 0001 C CNN
F 3 "" V 2500 2100 60 0000 C CNN
1 2500 2100
1 0 0 -1
$EndComp
Text Label 2650 2100 0 60 ~ 0
IN5V
$Comp
L D_Schottky_Small D2
U 1 1 56AC4846
P 3800 2100
F 0 "D2" H 3750 2180 50 0000 L CNN
F 1 "2A" H 3750 2000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 3800 2100 60 0001 C CNN
F 3 "" V 3800 2100 60 0000 C CNN
1 3800 2100
1 0 0 -1
$EndComp
Text Label 3400 2100 0 60 ~ 0
OUT5V
Text Label 2250 2100 0 60 ~ 0
+5V
$Comp
L +5V #PWR010
U 1 1 56AC484F
P 2200 2100
F 0 "#PWR010" H 2200 1950 50 0001 C CNN
F 1 "+5V" H 2200 2240 50 0000 C CNN
F 2 "" H 2200 2100 60 0000 C CNN
F 3 "" H 2200 2100 60 0000 C CNN
1 2200 2100
0 -1 -1 0
$EndComp
Text Label 3950 2100 0 60 ~ 0
USB5V
Text Label 3400 2300 0 60 ~ 0
P6
Text Label 2700 2300 0 60 ~ 0
P5
Text Label 2700 2400 0 60 ~ 0
P7
Text Label 3400 2400 0 60 ~ 0
P8
Text Label 3400 2500 0 60 ~ 0
P10
Text Label 2700 2500 0 60 ~ 0
P9
Text Label 2700 2600 0 60 ~ 0
P11
Text Label 3400 2600 0 60 ~ 0
P12
Text Label 3400 2700 0 60 ~ 0
P14
Text Label 2700 2700 0 60 ~ 0
P13
Text Label 2700 2800 0 60 ~ 0
P15
Text Label 3400 2800 0 60 ~ 0
P16
Text Label 2700 2900 0 60 ~ 0
P17
Text Label 3400 2900 0 60 ~ 0
P18
Text Label 2700 3000 0 60 ~ 0
P19
Text Label 3400 3000 0 60 ~ 0
P20
Text Label 2700 3100 0 60 ~ 0
P21
Text Label 3400 3100 0 60 ~ 0
P22
Text Label 2700 3200 0 60 ~ 0
P23
Text Label 3400 3200 0 60 ~ 0
P24
Text Label 2700 3300 0 60 ~ 0
P25
Text Label 3400 3300 0 60 ~ 0
P26
Text Label 2700 3400 0 60 ~ 0
P27
Text Label 3400 3400 0 60 ~ 0
P28
Text Label 2700 3500 0 60 ~ 0
P29
Text Label 3400 3500 0 60 ~ 0
P30
Text Label 2700 3600 0 60 ~ 0
P31
Text Label 3400 3600 0 60 ~ 0
P32
Text Label 2700 3700 0 60 ~ 0
P33
Text Label 3400 3700 0 60 ~ 0
P34
Text Label 2700 3800 0 60 ~ 0
P35
Text Label 3400 3800 0 60 ~ 0
P36
Text Label 2700 3900 0 60 ~ 0
P37
Text Label 3400 3900 0 60 ~ 0
P38
$Comp
L LFE5-BG381 U1
U 2 1 56AC5F89
P 7650 5200
F 0 "U1" H 7650 5300 60 0000 C CNN
F 1 "LFE5-BG381" H 7650 5200 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6600 6150 60 0001 C CNN
F 3 "" H 6600 6150 60 0000 C CNN
2 7650 5200
1 0 0 -1
$EndComp
$Comp
L CONN_02X32 J1
U 1 1 58D39D36
P 3100 3650
F 0 "J1" H 3100 5300 50 0000 C CNN
F 1 "CONN_02X32" V 3100 3650 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x32" H 3100 3250 50 0001 C CNN
F 3 "" H 3100 3250 50 0000 C CNN
1 3100 3650
1 0 0 -1
$EndComp
$Comp
L CONN_02X15 J2
U 1 1 58D3A6D6
P 5350 2800
F 0 "J2" H 5350 3600 50 0000 C CNN
F 1 "CONN_02X15" V 5350 2800 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x15" H 5350 1650 50 0001 C CNN
F 3 "" H 5350 1650 50 0000 C CNN
1 5350 2800
1 0 0 -1
$EndComp
Wire Wire Line
6800 2350 6550 2350
Wire Wire Line
6550 2450 6800 2450
Wire Wire Line
6550 2600 6800 2600
Wire Wire Line
6550 2700 6800 2700
Wire Wire Line
6550 2850 6800 2850
Wire Wire Line
6550 2950 6800 2950
Wire Wire Line
6550 3100 6800 3100
Wire Wire Line
6550 3200 6800 3200
Wire Wire Line
6550 3350 6800 3350
Wire Wire Line
6550 3450 6800 3450
Wire Wire Line
6550 3600 6800 3600
Wire Wire Line
6550 3700 6800 3700
Wire Wire Line
6550 3850 6800 3850
Wire Wire Line
6550 3950 6800 3950
Wire Wire Line
8450 2350 8750 2350
Wire Wire Line
8450 2450 8750 2450
Wire Wire Line
8450 2600 8750 2600
Wire Wire Line
8450 2700 8750 2700
Wire Wire Line
8450 2850 8750 2850
Wire Wire Line
8450 2950 8750 2950
Wire Wire Line
8450 3100 8750 3100
Wire Wire Line
8450 3200 8750 3200
Wire Wire Line
8450 3350 8750 3350
Wire Wire Line
8450 3450 8750 3450
Wire Wire Line
8450 3600 8750 3600
Wire Wire Line
8450 3700 8750 3700
Wire Wire Line
2700 2200 2850 2200
Wire Wire Line
3350 4000 3550 4000
Wire Wire Line
3500 2200 3350 2200
Wire Wire Line
2850 4000 2650 4000
Wire Wire Line
3350 2100 3700 2100
Wire Wire Line
2600 2100 2850 2100
Wire Wire Line
2400 2100 2200 2100
Wire Wire Line
3900 2100 4250 2100
Wire Wire Line
3350 2300 3500 2300
Wire Wire Line
2850 2300 2650 2300
Wire Wire Line
2650 2400 2850 2400
Wire Wire Line
3350 2400 3500 2400
Wire Wire Line
2850 2500 2650 2500
Wire Wire Line
3350 2500 3550 2500
Wire Wire Line
2650 2600 2850 2600
Wire Wire Line
2650 2700 2850 2700
Wire Wire Line
2650 2800 2850 2800
Wire Wire Line
2650 2900 2850 2900
Wire Wire Line
2650 3000 2850 3000
Wire Wire Line
2650 3100 2850 3100
Wire Wire Line
2650 3200 2850 3200
Wire Wire Line
3350 2600 3550 2600
Wire Wire Line
3350 2700 3550 2700
Wire Wire Line
3350 2800 3550 2800
Wire Wire Line
3350 2900 3550 2900
Wire Wire Line
3350 3000 3550 3000
Wire Wire Line
3350 3100 3550 3100
Wire Wire Line
3350 3200 3550 3200
Wire Wire Line
3350 3300 3550 3300
Wire Wire Line
3350 3400 3550 3400
Wire Wire Line
3350 3500 3550 3500
Wire Wire Line
3350 3600 3550 3600
Wire Wire Line
3350 3700 3550 3700
Wire Wire Line
3350 3800 3550 3800
Wire Wire Line
3350 3900 3550 3900
Wire Wire Line
2650 3300 2850 3300
Wire Wire Line
2650 3400 2850 3400
Wire Wire Line
2650 3500 2850 3500
Wire Wire Line
2650 3600 2850 3600
Wire Wire Line
2650 3700 2850 3700
Wire Wire Line
2650 3800 2850 3800
Wire Wire Line
2650 3900 2850 3900
Wire Wire Line
5100 2100 4800 2100
Wire Wire Line
5600 2100 5900 2100
Wire Wire Line
5600 2200 6050 2200
Wire Wire Line
5100 2200 4650 2200
Wire Wire Line
5600 3500 6050 3500
Wire Wire Line
5100 3500 4650 3500
Wire Wire Line
5600 3000 5900 3000
Wire Wire Line
5600 3100 6050 3100
Wire Wire Line
5100 3000 4800 3000
Wire Wire Line
5100 3100 4650 3100
Wire Wire Line
5600 2300 6050 2300
Wire Wire Line
5600 2400 6050 2400
Wire Wire Line
5600 2500 6050 2500
Wire Wire Line
5600 2600 6050 2600
Wire Wire Line
5600 2700 5900 2700
Wire Wire Line
5600 2800 5900 2800
Wire Wire Line
5600 2900 5900 2900
Wire Wire Line
5600 3200 6050 3200
Wire Wire Line
5600 3300 6050 3300
Wire Wire Line
5600 3400 6050 3400
Text Label 4700 2300 0 60 ~ 0
PMODA1
Wire Wire Line
5100 2300 4650 2300
Wire Wire Line
5100 2400 4650 2400
Wire Wire Line
5100 2500 4650 2500
Wire Wire Line
5100 2600 4650 2600
Text Label 5650 2300 0 60 ~ 0
PMODA2
Text Label 5650 2400 0 60 ~ 0
PMODA4
Text Label 5650 2500 0 60 ~ 0
PMODA6
Text Label 5650 2600 0 60 ~ 0
PMODA8
Text Label 4700 2400 0 60 ~ 0
PMODA3
Text Label 4700 2500 0 60 ~ 0
PMODA5
Text Label 4700 2600 0 60 ~ 0
PMODA7
Text Label 5650 2700 0 60 ~ 0
MP2
Text Label 5650 2800 0 60 ~ 0
MP4
Text Label 5650 2900 0 60 ~ 0
MP6
Text Label 5650 3200 0 60 ~ 0
PMODB2
Text Label 5650 3300 0 60 ~ 0
PMODB4
Text Label 5650 3400 0 60 ~ 0
PMODB6
Text Label 5650 3500 0 60 ~ 0
PMODB8
Wire Wire Line
5100 3200 4650 3200
Wire Wire Line
5100 3300 4650 3300
Wire Wire Line
5100 3400 4650 3400
Text Label 4700 3200 0 60 ~ 0
PMODB1
Text Label 4700 3300 0 60 ~ 0
PMODB3
Text Label 4700 3400 0 60 ~ 0
PMODB5
Text Label 4700 3500 0 60 ~ 0
PMODB7
Wire Wire Line
5100 2700 4850 2700
Text Label 4900 2700 0 60 ~ 0
MP1
Wire Wire Line
4850 2800 5100 2800
Wire Wire Line
4850 2900 5100 2900
Text Label 4900 2800 0 60 ~ 0
MP3
Text Label 4900 2900 0 60 ~ 0
MP5
$Comp
L GND #PWR011
U 1 1 58D3C3A8
P 4650 2200
F 0 "#PWR011" H 4650 1950 50 0001 C CNN
F 1 "GND" H 4650 2050 50 0000 C CNN
F 2 "" H 4650 2200 50 0000 C CNN
F 3 "" H 4650 2200 50 0000 C CNN
1 4650 2200
0 1 1 0
$EndComp
$Comp
L GND #PWR012
U 1 1 58D3C3C8
P 6050 2200
F 0 "#PWR012" H 6050 1950 50 0001 C CNN
F 1 "GND" H 6050 2050 50 0000 C CNN
F 2 "" H 6050 2200 50 0000 C CNN
F 3 "" H 6050 2200 50 0000 C CNN
1 6050 2200
0 -1 -1 0
$EndComp
$Comp
L GND #PWR013
U 1 1 58D3C400
P 6050 3100
F 0 "#PWR013" H 6050 2850 50 0001 C CNN
F 1 "GND" H 6050 2950 50 0000 C CNN
F 2 "" H 6050 3100 50 0000 C CNN
F 3 "" H 6050 3100 50 0000 C CNN
1 6050 3100
0 -1 -1 0
$EndComp
$Comp
L GND #PWR014
U 1 1 58D3C426
P 4650 3100
F 0 "#PWR014" H 4650 2850 50 0001 C CNN
F 1 "GND" H 4650 2950 50 0000 C CNN
F 2 "" H 4650 3100 50 0000 C CNN
F 3 "" H 4650 3100 50 0000 C CNN
1 4650 3100
0 1 1 0
$EndComp
$Comp
L VCC #PWR015
U 1 1 58D3C470
P 4800 3000
F 0 "#PWR015" H 4800 2850 50 0001 C CNN
F 1 "VCC" H 4800 3150 50 0000 C CNN
F 2 "" H 4800 3000 50 0000 C CNN
F 3 "" H 4800 3000 50 0000 C CNN
1 4800 3000
0 -1 -1 0
$EndComp
$Comp
L VCC #PWR016
U 1 1 58D3C504
P 5900 3000
F 0 "#PWR016" H 5900 2850 50 0001 C CNN
F 1 "VCC" H 5900 3150 50 0000 C CNN
F 2 "" H 5900 3000 50 0000 C CNN
F 3 "" H 5900 3000 50 0000 C CNN
1 5900 3000
0 1 1 0
$EndComp
$Comp
L VCC #PWR017
U 1 1 58D3C5DE
P 4800 2100
F 0 "#PWR017" H 4800 1950 50 0001 C CNN
F 1 "VCC" H 4800 2250 50 0000 C CNN
F 2 "" H 4800 2100 50 0000 C CNN
F 3 "" H 4800 2100 50 0000 C CNN
1 4800 2100
0 -1 -1 0
$EndComp
$Comp
L VCC #PWR018
U 1 1 58D3C60A
P 5900 2100
F 0 "#PWR018" H 5900 1950 50 0001 C CNN
F 1 "VCC" H 5900 2250 50 0000 C CNN
F 2 "" H 5900 2100 50 0000 C CNN
F 3 "" H 5900 2100 50 0000 C CNN
1 5900 2100
0 1 1 0
$EndComp
$EndSCHEMATC
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 2
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L LFE5-BG381 U1
U 1 1 56AC4242
P 7650 3150
F 0 "U1" H 7650 3250 60 0000 C CNN
F 1 "LFE5-BG381" H 7650 3150 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6600 4100 60 0001 C CNN
F 3 "" H 6600 4100 60 0000 C CNN
1 7650 3150
1 0 0 -1
$EndComp
Text Label 6600 2350 0 60 ~ 0
P5
Text Label 6600 2450 0 60 ~ 0
P6
Text Label 6600 2600 0 60 ~ 0
P7
Text Label 6600 2700 0 60 ~ 0
P8
Text Label 6600 2850 0 60 ~ 0
P9
Text Label 6600 2950 0 60 ~ 0
P10
Text Label 6600 3100 0 60 ~ 0
P11
Text Label 6600 3200 0 60 ~ 0
P12
Text Label 6600 3350 0 60 ~ 0
P13
Text Label 6600 3450 0 60 ~ 0
P14
Text Label 6600 3600 0 60 ~ 0
P15
Text Label 6600 3700 0 60 ~ 0
P16
Text Label 6600 3850 0 60 ~ 0
P17
Text Label 6600 3950 0 60 ~ 0
P18
Text Label 8500 2350 0 60 ~ 0
P19
Text Label 8500 2450 0 60 ~ 0
P20
Text Label 8500 2600 0 60 ~ 0
P21
Text Label 8500 2700 0 60 ~ 0
P22
Text Label 8500 2850 0 60 ~ 0
P23
Text Label 8500 2950 0 60 ~ 0
P24
Text Label 8500 3100 0 60 ~ 0
P25
Text Label 8500 3200 0 60 ~ 0
P26
Text Label 8500 3350 0 60 ~ 0
P27
Text Label 8500 3450 0 60 ~ 0
P28
Text Label 8500 3600 0 60 ~ 0
P29
Text Label 8500 3700 0 60 ~ 0
P30
$Comp
L VCC #PWR12
U 1 1 56AC481F
P 3550 4000
F 0 "#PWR12" H 3550 3850 50 0001 C CNN
F 1 "VCC" H 3550 4150 50 0000 C CNN
F 2 "" H 3550 4000 60 0000 C CNN
F 3 "" H 3550 4000 60 0000 C CNN
1 3550 4000
0 1 1 0
$EndComp
$Comp
L GND #PWR10
U 1 1 56AC4825
P 2700 2200
F 0 "#PWR10" H 2700 1950 50 0001 C CNN
F 1 "GND" H 2700 2050 50 0000 C CNN
F 2 "" H 2700 2200 60 0000 C CNN
F 3 "" H 2700 2200 60 0000 C CNN
1 2700 2200
0 1 1 0
$EndComp
$Comp
L GND #PWR11
U 1 1 56AC482D
P 3500 2200
F 0 "#PWR11" H 3500 1950 50 0001 C CNN
F 1 "GND" H 3500 2050 50 0000 C CNN
F 2 "" H 3500 2200 60 0000 C CNN
F 3 "" H 3500 2200 60 0000 C CNN
1 3500 2200
0 -1 1 0
$EndComp
$Comp
L VCC #PWR9
U 1 1 56AC4834
P 2650 4000
F 0 "#PWR9" H 2650 3850 50 0001 C CNN
F 1 "VCC" H 2650 4150 50 0000 C CNN
F 2 "" H 2650 4000 60 0000 C CNN
F 3 "" H 2650 4000 60 0000 C CNN
1 2650 4000
0 -1 1 0
$EndComp
$Comp
L D_Schottky_Small D1
U 1 1 56AC483B
P 2500 2100
F 0 "D1" H 2450 2180 50 0000 L CNN
F 1 "2A" H 2450 2000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 2500 2100 60 0001 C CNN
F 3 "" V 2500 2100 60 0000 C CNN
1 2500 2100
1 0 0 -1
$EndComp
Text Label 2650 2100 0 60 ~ 0
IN5V
$Comp
L D_Schottky_Small D2
U 1 1 56AC4846
P 3800 2100
F 0 "D2" H 3750 2180 50 0000 L CNN
F 1 "2A" H 3750 2000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 3800 2100 60 0001 C CNN
F 3 "" V 3800 2100 60 0000 C CNN
1 3800 2100
1 0 0 -1
$EndComp
Text Label 3400 2100 0 60 ~ 0
OUT5V
Text Label 2250 2100 0 60 ~ 0
+5V
$Comp
L +5V #PWR8
U 1 1 56AC484F
P 2200 2100
F 0 "#PWR8" H 2200 1950 50 0001 C CNN
F 1 "+5V" H 2200 2240 50 0000 C CNN
F 2 "" H 2200 2100 60 0000 C CNN
F 3 "" H 2200 2100 60 0000 C CNN
1 2200 2100
0 -1 -1 0
$EndComp
Text Label 3950 2100 0 60 ~ 0
USB5V
Text Label 3400 2300 0 60 ~ 0
P6
Text Label 2700 2300 0 60 ~ 0
P5
Text Label 2700 2400 0 60 ~ 0
P7
Text Label 3400 2400 0 60 ~ 0
P8
Text Label 3400 2500 0 60 ~ 0
P10
Text Label 2700 2500 0 60 ~ 0
P9
Text Label 2700 2600 0 60 ~ 0
P11
Text Label 3400 2600 0 60 ~ 0
P12
Text Label 3400 2700 0 60 ~ 0
P14
Text Label 2700 2700 0 60 ~ 0
P13
Text Label 2700 2800 0 60 ~ 0
P15
Text Label 3400 2800 0 60 ~ 0
P16
Text Label 2700 2900 0 60 ~ 0
P17
Text Label 3400 2900 0 60 ~ 0
P18
Text Label 2700 3000 0 60 ~ 0
P19
Text Label 3400 3000 0 60 ~ 0
P20
Text Label 2700 3100 0 60 ~ 0
P21
Text Label 3400 3100 0 60 ~ 0
P22
Text Label 2700 3200 0 60 ~ 0
P23
Text Label 3400 3200 0 60 ~ 0
P24
Text Label 2700 3300 0 60 ~ 0
P25
Text Label 3400 3300 0 60 ~ 0
P26
Text Label 2700 3400 0 60 ~ 0
P27
Text Label 3400 3400 0 60 ~ 0
P28
Text Label 2700 3500 0 60 ~ 0
P29
Text Label 3400 3500 0 60 ~ 0
P30
Text Label 2700 3600 0 60 ~ 0
P31
Text Label 3400 3600 0 60 ~ 0
P32
Text Label 2700 3700 0 60 ~ 0
P33
Text Label 3400 3700 0 60 ~ 0
P34
Text Label 2700 3800 0 60 ~ 0
P35
Text Label 3400 3800 0 60 ~ 0
P36
Text Label 2700 3900 0 60 ~ 0
P37
Text Label 3400 3900 0 60 ~ 0
P38
$Comp
L LFE5-BG381 U1
U 2 1 56AC5F89
P 7650 5200
F 0 "U1" H 7650 5300 60 0000 C CNN
F 1 "LFE5-BG381" H 7650 5200 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6600 6150 60 0001 C CNN
F 3 "" H 6600 6150 60 0000 C CNN
2 7650 5200
1 0 0 -1
$EndComp
$Comp
L CONN_02X32 J1
U 1 1 58D39D36
P 3100 3650
F 0 "J1" H 3100 5300 50 0000 C CNN
F 1 "CONN_02X32" V 3100 3650 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x32" H 3100 3250 50 0001 C CNN
F 3 "" H 3100 3250 50 0000 C CNN
1 3100 3650
1 0 0 -1
$EndComp
$Comp
L CONN_02X15 J2
U 1 1 58D3A6D6
P 5350 2800
F 0 "J2" H 5350 3600 50 0000 C CNN
F 1 "CONN_02X15" V 5350 2800 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x15" H 5350 1650 50 0001 C CNN
F 3 "" H 5350 1650 50 0000 C CNN
1 5350 2800
1 0 0 -1
$EndComp
Wire Wire Line
6800 2350 6550 2350
Wire Wire Line
6550 2450 6800 2450
Wire Wire Line
6550 2600 6800 2600
Wire Wire Line
6550 2700 6800 2700
Wire Wire Line
6550 2850 6800 2850
Wire Wire Line
6550 2950 6800 2950
Wire Wire Line
6550 3100 6800 3100
Wire Wire Line
6550 3200 6800 3200
Wire Wire Line
6550 3350 6800 3350
Wire Wire Line
6550 3450 6800 3450
Wire Wire Line
6550 3600 6800 3600
Wire Wire Line
6550 3700 6800 3700
Wire Wire Line
6550 3850 6800 3850
Wire Wire Line
6550 3950 6800 3950
Wire Wire Line
8450 2350 8750 2350
Wire Wire Line
8450 2450 8750 2450
Wire Wire Line
8450 2600 8750 2600
Wire Wire Line
8450 2700 8750 2700
Wire Wire Line
8450 2850 8750 2850
Wire Wire Line
8450 2950 8750 2950
Wire Wire Line
8450 3100 8750 3100
Wire Wire Line
8450 3200 8750 3200
Wire Wire Line
8450 3350 8750 3350
Wire Wire Line
8450 3450 8750 3450
Wire Wire Line
8450 3600 8750 3600
Wire Wire Line
8450 3700 8750 3700
Wire Wire Line
2700 2200 2850 2200
Wire Wire Line
3350 4000 3550 4000
Wire Wire Line
3500 2200 3350 2200
Wire Wire Line
2850 4000 2650 4000
Wire Wire Line
3350 2100 3700 2100
Wire Wire Line
2600 2100 2850 2100
Wire Wire Line
2400 2100 2200 2100
Wire Wire Line
3900 2100 4250 2100
Wire Wire Line
3350 2300 3500 2300
Wire Wire Line
2850 2300 2650 2300
Wire Wire Line
2650 2400 2850 2400
Wire Wire Line
3350 2400 3500 2400
Wire Wire Line
2850 2500 2650 2500
Wire Wire Line
3350 2500 3550 2500
Wire Wire Line
2650 2600 2850 2600
Wire Wire Line
2650 2700 2850 2700
Wire Wire Line
2650 2800 2850 2800
Wire Wire Line
2650 2900 2850 2900
Wire Wire Line
2650 3000 2850 3000
Wire Wire Line
2650 3100 2850 3100
Wire Wire Line
2650 3200 2850 3200
Wire Wire Line
3350 2600 3550 2600
Wire Wire Line
3350 2700 3550 2700
Wire Wire Line
3350 2800 3550 2800
Wire Wire Line
3350 2900 3550 2900
Wire Wire Line
3350 3000 3550 3000
Wire Wire Line
3350 3100 3550 3100
Wire Wire Line
3350 3200 3550 3200
Wire Wire Line
3350 3300 3550 3300
Wire Wire Line
3350 3400 3550 3400
Wire Wire Line
3350 3500 3550 3500
Wire Wire Line
3350 3600 3550 3600
Wire Wire Line
3350 3700 3550 3700
Wire Wire Line
3350 3800 3550 3800
Wire Wire Line
3350 3900 3550 3900
Wire Wire Line
2650 3300 2850 3300
Wire Wire Line
2650 3400 2850 3400
Wire Wire Line
2650 3500 2850 3500
Wire Wire Line
2650 3600 2850 3600
Wire Wire Line
2650 3700 2850 3700
Wire Wire Line
2650 3800 2850 3800
Wire Wire Line
2650 3900 2850 3900
Wire Wire Line
5100 2100 4800 2100
Wire Wire Line
5600 2100 5900 2100
Wire Wire Line
5600 2200 6050 2200
Wire Wire Line
5100 2200 4650 2200
Wire Wire Line
5600 3500 6050 3500
Wire Wire Line
5100 3500 4650 3500
Wire Wire Line
5600 3000 5900 3000
Wire Wire Line
5600 3100 6050 3100
Wire Wire Line
5100 3000 4800 3000
Wire Wire Line
5100 3100 4650 3100
Wire Wire Line
5600 2300 6050 2300
Wire Wire Line
5600 2400 6050 2400
Wire Wire Line
5600 2500 6050 2500
Wire Wire Line
5600 2600 6050 2600
Wire Wire Line
5600 2700 5900 2700
Wire Wire Line
5600 2800 5900 2800
Wire Wire Line
5600 2900 5900 2900
Wire Wire Line
5600 3200 6050 3200
Wire Wire Line
5600 3300 6050 3300
Wire Wire Line
5600 3400 6050 3400
Text Label 4700 2300 0 60 ~ 0
PMODA1
Wire Wire Line
5100 2300 4650 2300
Wire Wire Line
5100 2400 4650 2400
Wire Wire Line
5100 2500 4650 2500
Wire Wire Line
5100 2600 4650 2600
Text Label 5650 2300 0 60 ~ 0
PMODA2
Text Label 5650 2400 0 60 ~ 0
PMODA4
Text Label 5650 2500 0 60 ~ 0
PMODA6
Text Label 5650 2600 0 60 ~ 0
PMODA8
Text Label 4700 2400 0 60 ~ 0
PMODA3
Text Label 4700 2500 0 60 ~ 0
PMODA5
Text Label 4700 2600 0 60 ~ 0
PMODA7
Text Label 5650 2700 0 60 ~ 0
MP2
Text Label 5650 2800 0 60 ~ 0
MP4
Text Label 5650 2900 0 60 ~ 0
MP6
Text Label 5650 3200 0 60 ~ 0
PMODB2
Text Label 5650 3300 0 60 ~ 0
PMODB4
Text Label 5650 3400 0 60 ~ 0
PMODB6
Text Label 5650 3500 0 60 ~ 0
PMODB8
Wire Wire Line
5100 3200 4650 3200
Wire Wire Line
5100 3300 4650 3300
Wire Wire Line
5100 3400 4650 3400
Text Label 4700 3200 0 60 ~ 0
PMODB1
Text Label 4700 3300 0 60 ~ 0
PMODB3
Text Label 4700 3400 0 60 ~ 0
PMODB5
Text Label 4700 3500 0 60 ~ 0
PMODB7
Wire Wire Line
5100 2700 4850 2700
Text Label 4900 2700 0 60 ~ 0
MP1
Wire Wire Line
4850 2800 5100 2800
Wire Wire Line
4850 2900 5100 2900
Text Label 4900 2800 0 60 ~ 0
MP3
Text Label 4900 2900 0 60 ~ 0
MP5
$Comp
L GND #PWR13
U 1 1 58D3C3A8
P 4650 2200
F 0 "#PWR13" H 4650 1950 50 0001 C CNN
F 1 "GND" H 4650 2050 50 0000 C CNN
F 2 "" H 4650 2200 50 0000 C CNN
F 3 "" H 4650 2200 50 0000 C CNN
1 4650 2200
0 1 1 0
$EndComp
$Comp
L GND #PWR19
U 1 1 58D3C3C8
P 6050 2200
F 0 "#PWR19" H 6050 1950 50 0001 C CNN
F 1 "GND" H 6050 2050 50 0000 C CNN
F 2 "" H 6050 2200 50 0000 C CNN
F 3 "" H 6050 2200 50 0000 C CNN
1 6050 2200
0 -1 -1 0
$EndComp
$Comp
L GND #PWR20
U 1 1 58D3C400
P 6050 3100
F 0 "#PWR20" H 6050 2850 50 0001 C CNN
F 1 "GND" H 6050 2950 50 0000 C CNN
F 2 "" H 6050 3100 50 0000 C CNN
F 3 "" H 6050 3100 50 0000 C CNN
1 6050 3100
0 -1 -1 0
$EndComp
$Comp
L GND #PWR14
U 1 1 58D3C426
P 4650 3100
F 0 "#PWR14" H 4650 2850 50 0001 C CNN
F 1 "GND" H 4650 2950 50 0000 C CNN
F 2 "" H 4650 3100 50 0000 C CNN
F 3 "" H 4650 3100 50 0000 C CNN
1 4650 3100
0 1 1 0
$EndComp
$Comp
L VCC #PWR16
U 1 1 58D3C470
P 4800 3000
F 0 "#PWR16" H 4800 2850 50 0001 C CNN
F 1 "VCC" H 4800 3150 50 0000 C CNN
F 2 "" H 4800 3000 50 0000 C CNN
F 3 "" H 4800 3000 50 0000 C CNN
1 4800 3000
0 -1 -1 0
$EndComp
$Comp
L VCC #PWR18
U 1 1 58D3C504
P 5900 3000
F 0 "#PWR18" H 5900 2850 50 0001 C CNN
F 1 "VCC" H 5900 3150 50 0000 C CNN
F 2 "" H 5900 3000 50 0000 C CNN
F 3 "" H 5900 3000 50 0000 C CNN
1 5900 3000
0 1 1 0
$EndComp
$Comp
L VCC #PWR15
U 1 1 58D3C5DE
P 4800 2100
F 0 "#PWR15" H 4800 1950 50 0001 C CNN
F 1 "VCC" H 4800 2250 50 0000 C CNN
F 2 "" H 4800 2100 50 0000 C CNN
F 3 "" H 4800 2100 50 0000 C CNN
1 4800 2100
0 -1 -1 0
$EndComp
$Comp
L VCC #PWR17
U 1 1 58D3C60A
P 5900 2100
F 0 "#PWR17" H 5900 1950 50 0001 C CNN
F 1 "VCC" H 5900 2250 50 0000 C CNN
F 2 "" H 5900 2100 50 0000 C CNN
F 3 "" H 5900 2100 50 0000 C CNN
1 5900 2100
0 1 1 0
$EndComp
$EndSCHEMATC

1253
gpio.sch

@ -1,626 +1,627 @@
EESchema Schematic File Version 2
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 2
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L LFE5-BG381 U1
U 1 1 56AC4242
P 7650 3150
F 0 "U1" H 7650 3250 60 0000 C CNN
F 1 "LFE5-BG381" H 7650 3150 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6600 4100 60 0001 C CNN
F 3 "" H 6600 4100 60 0000 C CNN
1 7650 3150
1 0 0 -1
$EndComp
Text Label 6600 2350 0 60 ~ 0
P5
Text Label 6600 2450 0 60 ~ 0
P6
Text Label 6600 2600 0 60 ~ 0
P7
Text Label 6600 2700 0 60 ~ 0
P8
Text Label 6600 2850 0 60 ~ 0
P9
Text Label 6600 2950 0 60 ~ 0
P10
Text Label 6600 3100 0 60 ~ 0
P11
Text Label 6600 3200 0 60 ~ 0
P12
Text Label 6600 3350 0 60 ~ 0
P13
Text Label 6600 3450 0 60 ~ 0
P14
Text Label 6600 3600 0 60 ~ 0
P15
Text Label 6600 3700 0 60 ~ 0
P16
Text Label 6600 3850 0 60 ~ 0
P17
Text Label 6600 3950 0 60 ~ 0
P18
Text Label 8500 2350 0 60 ~ 0
P19
Text Label 8500 2450 0 60 ~ 0
P20
Text Label 8500 2600 0 60 ~ 0
P21
Text Label 8500 2700 0 60 ~ 0
P22
Text Label 8500 2850 0 60 ~ 0
P23
Text Label 8500 2950 0 60 ~ 0
P24
Text Label 8500 3100 0 60 ~ 0
P25
Text Label 8500 3200 0 60 ~ 0
P26
Text Label 8500 3350 0 60 ~ 0
P27
Text Label 8500 3450 0 60 ~ 0
P28
Text Label 8500 3600 0 60 ~ 0
P29
Text Label 8500 3700 0 60 ~ 0
P30
$Comp
L VCC #PWR06
U 1 1 56AC481F
P 3550 4000
F 0 "#PWR06" H 3550 3850 50 0001 C CNN
F 1 "VCC" H 3550 4150 50 0000 C CNN
F 2 "" H 3550 4000 60 0000 C CNN
F 3 "" H 3550 4000 60 0000 C CNN
1 3550 4000
0 1 1 0
$EndComp
$Comp
L GND #PWR07
U 1 1 56AC4825
P 2700 2200
F 0 "#PWR07" H 2700 1950 50 0001 C CNN
F 1 "GND" H 2700 2050 50 0000 C CNN
F 2 "" H 2700 2200 60 0000 C CNN
F 3 "" H 2700 2200 60 0000 C CNN
1 2700 2200
0 1 1 0
$EndComp
$Comp
L GND #PWR08
U 1 1 56AC482D
P 3500 2200
F 0 "#PWR08" H 3500 1950 50 0001 C CNN
F 1 "GND" H 3500 2050 50 0000 C CNN
F 2 "" H 3500 2200 60 0000 C CNN
F 3 "" H 3500 2200 60 0000 C CNN
1 3500 2200
0 -1 1 0
$EndComp
$Comp
L VCC #PWR09
U 1 1 56AC4834
P 2650 4000
F 0 "#PWR09" H 2650 3850 50 0001 C CNN
F 1 "VCC" H 2650 4150 50 0000 C CNN
F 2 "" H 2650 4000 60 0000 C CNN
F 3 "" H 2650 4000 60 0000 C CNN
1 2650 4000
0 -1 1 0
$EndComp
$Comp
L D_Schottky_Small D1
U 1 1 56AC483B
P 2500 2100
F 0 "D1" H 2450 2180 50 0000 L CNN
F 1 "2A" H 2450 2000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 2500 2100 60 0001 C CNN
F 3 "" V 2500 2100 60 0000 C CNN
1 2500 2100
1 0 0 -1
$EndComp
Text Label 2650 2100 0 60 ~ 0
IN5V
$Comp
L D_Schottky_Small D2
U 1 1 56AC4846
P 3800 2100
F 0 "D2" H 3750 2180 50 0000 L CNN
F 1 "2A" H 3750 2000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 3800 2100 60 0001 C CNN
F 3 "" V 3800 2100 60 0000 C CNN
1 3800 2100
1 0 0 -1
$EndComp
Text Label 3400 2100 0 60 ~ 0
OUT5V
Text Label 2250 2100 0 60 ~ 0
+5V
$Comp
L +5V #PWR010
U 1 1 56AC484F
P 2200 2100
F 0 "#PWR010" H 2200 1950 50 0001 C CNN
F 1 "+5V" H 2200 2240 50 0000 C CNN
F 2 "" H 2200 2100 60 0000 C CNN
F 3 "" H 2200 2100 60 0000 C CNN
1 2200 2100
0 -1 -1 0
$EndComp
Text Label 3950 2100 0 60 ~ 0
USB5V
Text Label 3400 2300 0 60 ~ 0
P6
Text Label 2700 2300 0 60 ~ 0
P5
Text Label 2700 2400 0 60 ~ 0
P7
Text Label 3400 2400 0 60 ~ 0
P8
Text Label 3400 2500 0 60 ~ 0
P10
Text Label 2700 2500 0 60 ~ 0
P9
Text Label 2700 2600 0 60 ~ 0
P11
Text Label 3400 2600 0 60 ~ 0
P12
Text Label 3400 2700 0 60 ~ 0
P14
Text Label 2700 2700 0 60 ~ 0
P13
Text Label 2700 2800 0 60 ~ 0
P15
Text Label 3400 2800 0 60 ~ 0
P16
Text Label 2700 2900 0 60 ~ 0
P17
Text Label 3400 2900 0 60 ~ 0
P18
Text Label 2700 3000 0 60 ~ 0
P19
Text Label 3400 3000 0 60 ~ 0
P20
Text Label 2700 3100 0 60 ~ 0
P21
Text Label 3400 3100 0 60 ~ 0
P22
Text Label 2700 3200 0 60 ~ 0
P23
Text Label 3400 3200 0 60 ~ 0
P24
Text Label 2700 3300 0 60 ~ 0
P25
Text Label 3400 3300 0 60 ~ 0
P26
Text Label 2700 3400 0 60 ~ 0
P27
Text Label 3400 3400 0 60 ~ 0
P28
Text Label 2700 3500 0 60 ~ 0
P29
Text Label 3400 3500 0 60 ~ 0
P30
Text Label 2700 3600 0 60 ~ 0
P31
Text Label 3400 3600 0 60 ~ 0
P32
Text Label 2700 3700 0 60 ~ 0
P33
Text Label 3400 3700 0 60 ~ 0
P34
Text Label 2700 3800 0 60 ~ 0
P35
Text Label 3400 3800 0 60 ~ 0
P36
Text Label 2700 3900 0 60 ~ 0
P37
Text Label 3400 3900 0 60 ~ 0
P38
$Comp
L LFE5-BG381 U1
U 2 1 56AC5F89
P 7650 5200
F 0 "U1" H 7650 5300 60 0000 C CNN
F 1 "LFE5-BG381" H 7650 5200 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6600 6150 60 0001 C CNN
F 3 "" H 6600 6150 60 0000 C CNN
2 7650 5200
1 0 0 -1
$EndComp
$Comp
L CONN_02X32 J1
U 1 1 58D39D36
P 3100 3650
F 0 "J1" H 3100 5300 50 0000 C CNN
F 1 "CONN_02X32" V 3100 3650 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x32" H 3100 3250 50 0001 C CNN
F 3 "" H 3100 3250 50 0000 C CNN
1 3100 3650
1 0 0 -1
$EndComp
$Comp
L CONN_02X15 J2
U 1 1 58D3A6D6
P 5350 2800
F 0 "J2" H 5350 3600 50 0000 C CNN
F 1 "CONN_02X15" V 5350 2800 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x15" H 5350 1650 50 0001 C CNN
F 3 "" H 5350 1650 50 0000 C CNN
1 5350 2800
1 0 0 -1
$EndComp
Wire Wire Line
6800 2350 6550 2350
Wire Wire Line
6550 2450 6800 2450
Wire Wire Line
6550 2600 6800 2600
Wire Wire Line
6550 2700 6800 2700
Wire Wire Line
6550 2850 6800 2850
Wire Wire Line
6550 2950 6800 2950
Wire Wire Line
6550 3100 6800 3100
Wire Wire Line
6550 3200 6800 3200
Wire Wire Line
6550 3350 6800 3350
Wire Wire Line
6550 3450 6800 3450
Wire Wire Line
6550 3600 6800 3600
Wire Wire Line
6550 3700 6800 3700
Wire Wire Line
6550 3850 6800 3850
Wire Wire Line
6550 3950 6800 3950
Wire Wire Line
8450 2350 8750 2350
Wire Wire Line
8450 2450 8750 2450
Wire Wire Line
8450 2600 8750 2600
Wire Wire Line
8450 2700 8750 2700
Wire Wire Line
8450 2850 8750 2850
Wire Wire Line
8450 2950 8750 2950
Wire Wire Line
8450 3100 8750 3100
Wire Wire Line
8450 3200 8750 3200
Wire Wire Line
8450 3350 8750 3350
Wire Wire Line
8450 3450 8750 3450
Wire Wire Line
8450 3600 8750 3600
Wire Wire Line
8450 3700 8750 3700
Wire Wire Line
2700 2200 2850 2200
Wire Wire Line
3350 4000 3550 4000
Wire Wire Line
3500 2200 3350 2200
Wire Wire Line
2850 4000 2650 4000
Wire Wire Line
3350 2100 3700 2100
Wire Wire Line
2600 2100 2850 2100
Wire Wire Line
2400 2100 2200 2100
Wire Wire Line
3900 2100 4250 2100
Wire Wire Line
3350 2300 3500 2300
Wire Wire Line
2850 2300 2650 2300
Wire Wire Line
2650 2400 2850 2400
Wire Wire Line
3350 2400 3500 2400
Wire Wire Line
2850 2500 2650 2500
Wire Wire Line
3350 2500 3550 2500
Wire Wire Line
2650 2600 2850 2600
Wire Wire Line
2650 2700 2850 2700
Wire Wire Line
2650 2800 2850 2800
Wire Wire Line
2650 2900 2850 2900
Wire Wire Line
2650 3000 2850 3000
Wire Wire Line
2650 3100 2850 3100
Wire Wire Line
2650 3200 2850 3200
Wire Wire Line
3350 2600 3550 2600
Wire Wire Line
3350 2700 3550 2700
Wire Wire Line
3350 2800 3550 2800
Wire Wire Line
3350 2900 3550 2900
Wire Wire Line
3350 3000 3550 3000
Wire Wire Line
3350 3100 3550 3100
Wire Wire Line
3350 3200 3550 3200
Wire Wire Line
3350 3300 3550 3300
Wire Wire Line
3350 3400 3550 3400
Wire Wire Line
3350 3500 3550 3500
Wire Wire Line
3350 3600 3550 3600
Wire Wire Line
3350 3700 3550 3700
Wire Wire Line
3350 3800 3550 3800
Wire Wire Line
3350 3900 3550 3900
Wire Wire Line
2650 3300 2850 3300
Wire Wire Line
2650 3400 2850 3400
Wire Wire Line
2650 3500 2850 3500
Wire Wire Line
2650 3600 2850 3600
Wire Wire Line
2650 3700 2850 3700
Wire Wire Line
2650 3800 2850 3800
Wire Wire Line
2650 3900 2850 3900
Wire Wire Line
5100 2100 4800 2100
Wire Wire Line
5600 2100 5900 2100
Wire Wire Line
5600 2200 6050 2200
Wire Wire Line
5100 2200 4650 2200
Wire Wire Line
5600 3500 6050 3500
Wire Wire Line
5100 3500 4650 3500
Wire Wire Line
5600 3000 5900 3000
Wire Wire Line
5600 3100 6050 3100
Wire Wire Line
5100 3000 4800 3000
Wire Wire Line
5100 3100 4650 3100
Wire Wire Line
5600 2300 6050 2300
Wire Wire Line
5600 2400 6050 2400
Wire Wire Line
5600 2500 6050 2500
Wire Wire Line
5600 2600 6050 2600
Wire Wire Line
5600 2700 5900 2700
Wire Wire Line
5600 2800 5900 2800
Wire Wire Line
5600 2900 5900 2900
Wire Wire Line
5600 3200 6050 3200
Wire Wire Line
5600 3300 6050 3300
Wire Wire Line
5600 3400 6050 3400
Text Label 4700 2300 0 60 ~ 0
PMODA1
Wire Wire Line
5100 2300 4650 2300
Wire Wire Line
5100 2400 4650 2400
Wire Wire Line
5100 2500 4650 2500
Wire Wire Line
5100 2600 4650 2600
Text Label 5650 2300 0 60 ~ 0
PMODA2
Text Label 5650 2400 0 60 ~ 0
PMODA4
Text Label 5650 2500 0 60 ~ 0
PMODA6
Text Label 5650 2600 0 60 ~ 0
PMODA8
Text Label 4700 2400 0 60 ~ 0
PMODA3
Text Label 4700 2500 0 60 ~ 0
PMODA5
Text Label 4700 2600 0 60 ~ 0
PMODA7
Text Label 5650 2700 0 60 ~ 0
MP2
Text Label 5650 2800 0 60 ~ 0
MP4
Text Label 5650 2900 0 60 ~ 0
MP6
Text Label 5650 3200 0 60 ~ 0
PMODB2
Text Label 5650 3300 0 60 ~ 0
PMODB4
Text Label 5650 3400 0 60 ~ 0
PMODB6
Text Label 5650 3500 0 60 ~ 0
PMODB8
Wire Wire Line
5100 3200 4650 3200
Wire Wire Line
5100 3300 4650 3300
Wire Wire Line
5100 3400 4650 3400
Text Label 4700 3200 0 60 ~ 0
PMODB1
Text Label 4700 3300 0 60 ~ 0
PMODB3
Text Label 4700 3400 0 60 ~ 0
PMODB5
Text Label 4700 3500 0 60 ~ 0
PMODB7
Wire Wire Line
5100 2700 4850 2700
Text Label 4900 2700 0 60 ~ 0
MP1
Wire Wire Line
4850 2800 5100 2800
Wire Wire Line
4850 2900 5100 2900
Text Label 4900 2800 0 60 ~ 0
MP3
Text Label 4900 2900 0 60 ~ 0
MP5
$Comp
L GND #PWR011
U 1 1 58D3C3A8
P 4650 2200
F 0 "#PWR011" H 4650 1950 50 0001 C CNN
F 1 "GND" H 4650 2050 50 0000 C CNN
F 2 "" H 4650 2200 50 0000 C CNN
F 3 "" H 4650 2200 50 0000 C CNN
1 4650 2200
0 1 1 0
$EndComp
$Comp
L GND #PWR012
U 1 1 58D3C3C8
P 6050 2200
F 0 "#PWR012" H 6050 1950 50 0001 C CNN
F 1 "GND" H 6050 2050 50 0000 C CNN
F 2 "" H 6050 2200 50 0000 C CNN
F 3 "" H 6050 2200 50 0000 C CNN
1 6050 2200
0 -1 -1 0
$EndComp
$Comp
L GND #PWR013
U 1 1 58D3C400
P 6050 3100
F 0 "#PWR013" H 6050 2850 50 0001 C CNN
F 1 "GND" H 6050 2950 50 0000 C CNN
F 2 "" H 6050 3100 50 0000 C CNN
F 3 "" H 6050 3100 50 0000 C CNN
1 6050 3100
0 -1 -1 0
$EndComp
$Comp
L GND #PWR014
U 1 1 58D3C426
P 4650 3100
F 0 "#PWR014" H 4650 2850 50 0001 C CNN
F 1 "GND" H 4650 2950 50 0000 C CNN
F 2 "" H 4650 3100 50 0000 C CNN
F 3 "" H 4650 3100 50 0000 C CNN
1 4650 3100
0 1 1 0
$EndComp
$Comp
L VCC #PWR015
U 1 1 58D3C470
P 4800 3000
F 0 "#PWR015" H 4800 2850 50 0001 C CNN
F 1 "VCC" H 4800 3150 50 0000 C CNN
F 2 "" H 4800 3000 50 0000 C CNN
F 3 "" H 4800 3000 50 0000 C CNN
1 4800 3000
0 -1 -1 0
$EndComp
$Comp
L VCC #PWR016
U 1 1 58D3C504
P 5900 3000
F 0 "#PWR016" H 5900 2850 50 0001 C CNN
F 1 "VCC" H 5900 3150 50 0000 C CNN
F 2 "" H 5900 3000 50 0000 C CNN
F 3 "" H 5900 3000 50 0000 C CNN
1 5900 3000
0 1 1 0
$EndComp
$Comp
L VCC #PWR017
U 1 1 58D3C5DE
P 4800 2100
F 0 "#PWR017" H 4800 1950 50 0001 C CNN
F 1 "VCC" H 4800 2250 50 0000 C CNN
F 2 "" H 4800 2100 50 0000 C CNN
F 3 "" H 4800 2100 50 0000 C CNN
1 4800 2100
0 -1 -1 0
$EndComp
$Comp
L VCC #PWR018
U 1 1 58D3C60A
P 5900 2100
F 0 "#PWR018" H 5900 1950 50 0001 C CNN
F 1 "VCC" H 5900 2250 50 0000 C CNN
F 2 "" H 5900 2100 50 0000 C CNN
F 3 "" H 5900 2100 50 0000 C CNN
1 5900 2100
0 1 1 0
$EndComp
$EndSCHEMATC
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 2
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L LFE5-BG381 U1
U 1 1 56AC4242
P 7650 3150
F 0 "U1" H 7650 3250 60 0000 C CNN
F 1 "LFE5-BG381" H 7650 3150 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6600 4100 60 0001 C CNN
F 3 "" H 6600 4100 60 0000 C CNN
1 7650 3150
1 0 0 -1
$EndComp
Text Label 6600 2350 0 60 ~ 0
P5
Text Label 6600 2450 0 60 ~ 0
P6
Text Label 6600 2600 0 60 ~ 0
P7
Text Label 6600 2700 0 60 ~ 0
P8
Text Label 6600 2850 0 60 ~ 0
P9
Text Label 6600 2950 0 60 ~ 0
P10
Text Label 6600 3100 0 60 ~ 0
P11
Text Label 6600 3200 0 60 ~ 0
P12
Text Label 6600 3350 0 60 ~ 0
P13
Text Label 6600 3450 0 60 ~ 0
P14
Text Label 6600 3600 0 60 ~ 0
P15
Text Label 6600 3700 0 60 ~ 0
P16
Text Label 6600 3850 0 60 ~ 0
P17
Text Label 6600 3950 0 60 ~ 0
P18
Text Label 8500 2350 0 60 ~ 0
P19
Text Label 8500 2450 0 60 ~ 0
P20
Text Label 8500 2600 0 60 ~ 0
P21
Text Label 8500 2700 0 60 ~ 0
P22
Text Label 8500 2850 0 60 ~ 0
P23
Text Label 8500 2950 0 60 ~ 0
P24
Text Label 8500 3100 0 60 ~ 0
P25
Text Label 8500 3200 0 60 ~ 0
P26
Text Label 8500 3350 0 60 ~ 0
P27
Text Label 8500 3450 0 60 ~ 0
P28
Text Label 8500 3600 0 60 ~ 0
P29
Text Label 8500 3700 0 60 ~ 0
P30
$Comp
L VCC #PWR08
U 1 1 56AC481F
P 3550 4000
F 0 "#PWR08" H 3550 3850 50 0001 C CNN
F 1 "VCC" H 3550 4150 50 0000 C CNN
F 2 "" H 3550 4000 60 0000 C CNN
F 3 "" H 3550 4000 60 0000 C CNN
1 3550 4000
0 1 1 0
$EndComp
$Comp
L GND #PWR09
U 1 1 56AC4825
P 2700 2200
F 0 "#PWR09" H 2700 1950 50 0001 C CNN
F 1 "GND" H 2700 2050 50 0000 C CNN
F 2 "" H 2700 2200 60 0000 C CNN
F 3 "" H 2700 2200 60 0000 C CNN
1 2700 2200
0 1 1 0
$EndComp
$Comp
L GND #PWR010
U 1 1 56AC482D
P 3500 2200
F 0 "#PWR010" H 3500 1950 50 0001 C CNN
F 1 "GND" H 3500 2050 50 0000 C CNN
F 2 "" H 3500 2200 60 0000 C CNN
F 3 "" H 3500 2200 60 0000 C CNN
1 3500 2200
0 -1 1 0
$EndComp
$Comp
L VCC #PWR011
U 1 1 56AC4834
P 2650 4000
F 0 "#PWR011" H 2650 3850 50 0001 C CNN
F 1 "VCC" H 2650 4150 50 0000 C CNN
F 2 "" H 2650 4000 60 0000 C CNN
F 3 "" H 2650 4000 60 0000 C CNN
1 2650 4000
0 -1 1 0
$EndComp
$Comp
L D_Schottky_Small D1
U 1 1 56AC483B
P 2500 2100
F 0 "D1" H 2450 2180 50 0000 L CNN
F 1 "2A" H 2450 2000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 2500 2100 60 0001 C CNN
F 3 "" V 2500 2100 60 0000 C CNN
1 2500 2100
1 0 0 -1
$EndComp
Text Label 2650 2100 0 60 ~ 0
IN5V
$Comp
L D_Schottky_Small D2
U 1 1 56AC4846
P 3800 2100
F 0 "D2" H 3750 2180 50 0000 L CNN
F 1 "2A" H 3750 2000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 3800 2100 60 0001 C CNN
F 3 "" V 3800 2100 60 0000 C CNN
1 3800 2100
1 0 0 -1
$EndComp
Text Label 3400 2100 0 60 ~ 0
OUT5V
Text Label 2250 2100 0 60 ~ 0
+5V
$Comp
L +5V #PWR012
U 1 1 56AC484F
P 2200 2100
F 0 "#PWR012" H 2200 1950 50 0001 C CNN
F 1 "+5V" H 2200 2240 50 0000 C CNN
F 2 "" H 2200 2100 60 0000 C CNN
F 3 "" H 2200 2100 60 0000 C CNN
1 2200 2100
0 -1 -1 0
$EndComp
Text Label 3950 2100 0 60 ~ 0
USB5V
Text Label 3400 2300 0 60 ~ 0
P6
Text Label 2700 2300 0 60 ~ 0
P5
Text Label 2700 2400 0 60 ~ 0
P7
Text Label 3400 2400 0 60 ~ 0
P8
Text Label 3400 2500 0 60 ~ 0
P10
Text Label 2700 2500 0 60 ~ 0
P9
Text Label 2700 2600 0 60 ~ 0
P11
Text Label 3400 2600 0 60 ~ 0
P12
Text Label 3400 2700 0 60 ~ 0
P14
Text Label 2700 2700 0 60 ~ 0
P13
Text Label 2700 2800 0 60 ~ 0
P15
Text Label 3400 2800 0 60 ~ 0
P16
Text Label 2700 2900 0 60 ~ 0
P17
Text Label 3400 2900 0 60 ~ 0
P18
Text Label 2700 3000 0 60 ~ 0
P19
Text Label 3400 3000 0 60 ~ 0
P20
Text Label 2700 3100 0 60 ~ 0
P21
Text Label 3400 3100 0 60 ~ 0
P22
Text Label 2700 3200 0 60 ~ 0
P23
Text Label 3400 3200 0 60 ~ 0
P24
Text Label 2700 3300 0 60 ~ 0
P25
Text Label 3400 3300 0 60 ~ 0
P26
Text Label 2700 3400 0 60 ~ 0
P27
Text Label 3400 3400 0 60 ~ 0
P28
Text Label 2700 3500 0 60 ~ 0
P29
Text Label 3400 3500 0 60 ~ 0
P30
Text Label 2700 3600 0 60 ~ 0
P31
Text Label 3400 3600 0 60 ~ 0
P32
Text Label 2700 3700 0 60 ~ 0
P33
Text Label 3400 3700 0 60 ~ 0
P34
Text Label 2700 3800 0 60 ~ 0
P35
Text Label 3400 3800 0 60 ~ 0
P36
Text Label 2700 3900 0 60 ~ 0
P37
Text Label 3400 3900 0 60 ~ 0
P38
$Comp
L LFE5-BG381 U1
U 2 1 56AC5F89
P 7650 5200
F 0 "U1" H 7650 5300 60 0000 C CNN
F 1 "LFE5-BG381" H 7650 5200 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 6600 6150 60 0001 C CNN
F 3 "" H 6600 6150 60 0000 C CNN
2 7650 5200
1 0 0 -1
$EndComp
$Comp
L CONN_02X32 J1
U 1 1 58D39D36
P 3100 3650
F 0 "J1" H 3100 5300 50 0000 C CNN
F 1 "CONN_02X32" V 3100 3650 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x32" H 3100 3250 50 0001 C CNN
F 3 "" H 3100 3250 50 0000 C CNN
1 3100 3650
1 0 0 -1
$EndComp
$Comp
L CONN_02X15 J2
U 1 1 58D3A6D6
P 5350 2800
F 0 "J2" H 5350 3600 50 0000 C CNN
F 1 "CONN_02X15" V 5350 2800 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x15" H 5350 1650 50 0001 C CNN
F 3 "" H 5350 1650 50 0000 C CNN
1 5350 2800
1 0 0 -1
$EndComp
Wire Wire Line
6800 2350 6550 2350
Wire Wire Line
6550 2450 6800 2450
Wire Wire Line
6550 2600 6800 2600
Wire Wire Line
6550 2700 6800 2700
Wire Wire Line
6550 2850 6800 2850
Wire Wire Line
6550 2950 6800 2950
Wire Wire Line
6550 3100 6800 3100
Wire Wire Line
6550 3200 6800 3200
Wire Wire Line
6550 3350 6800 3350
Wire Wire Line
6550 3450 6800 3450
Wire Wire Line
6550 3600 6800 3600
Wire Wire Line
6550 3700 6800 3700
Wire Wire Line
6550 3850 6800 3850
Wire Wire Line
6550 3950 6800 3950
Wire Wire Line
8450 2350 8750 2350
Wire Wire Line
8450 2450 8750 2450
Wire Wire Line
8450 2600 8750 2600
Wire Wire Line
8450 2700 8750 2700
Wire Wire Line
8450 2850 8750 2850
Wire Wire Line
8450 2950 8750 2950
Wire Wire Line
8450 3100 8750 3100
Wire Wire Line
8450 3200 8750 3200
Wire Wire Line
8450 3350 8750 3350
Wire Wire Line
8450 3450 8750 3450
Wire Wire Line
8450 3600 8750 3600
Wire Wire Line
8450 3700 8750 3700
Wire Wire Line
2700 2200 2850 2200
Wire Wire Line
3350 4000 3550 4000
Wire Wire Line
3500 2200 3350 2200
Wire Wire Line
2850 4000 2650 4000
Wire Wire Line
3350 2100 3700 2100
Wire Wire Line
2600 2100 2850 2100
Wire Wire Line
2400 2100 2200 2100
Wire Wire Line
3900 2100 4250 2100
Wire Wire Line
3350 2300 3500 2300
Wire Wire Line
2850 2300 2650 2300
Wire Wire Line
2650 2400 2850 2400
Wire Wire Line
3350 2400 3500 2400
Wire Wire Line
2850 2500 2650 2500
Wire Wire Line
3350 2500 3550 2500
Wire Wire Line
2650 2600 2850 2600
Wire Wire Line
2650 2700 2850 2700
Wire Wire Line
2650 2800 2850 2800
Wire Wire Line
2650 2900 2850 2900
Wire Wire Line
2650 3000 2850 3000
Wire Wire Line
2650 3100 2850 3100
Wire Wire Line
2650 3200 2850 3200
Wire Wire Line
3350 2600 3550 2600
Wire Wire Line
3350 2700 3550 2700
Wire Wire Line
3350 2800 3550 2800
Wire Wire Line
3350 2900 3550 2900
Wire Wire Line
3350 3000 3550 3000
Wire Wire Line
3350 3100 3550 3100
Wire Wire Line
3350 3200 3550 3200
Wire Wire Line
3350 3300 3550 3300
Wire Wire Line
3350 3400 3550 3400
Wire Wire Line
3350 3500 3550 3500
Wire Wire Line
3350 3600 3550 3600
Wire Wire Line
3350 3700 3550 3700
Wire Wire Line
3350 3800 3550 3800
Wire Wire Line
3350 3900 3550 3900
Wire Wire Line
2650 3300 2850 3300
Wire Wire Line
2650 3400 2850 3400
Wire Wire Line
2650 3500 2850 3500
Wire Wire Line
2650 3600 2850 3600
Wire Wire Line
2650 3700 2850 3700
Wire Wire Line
2650 3800 2850 3800
Wire Wire Line
2650 3900 2850 3900
Wire Wire Line
5100 2100 4800 2100
Wire Wire Line
5600 2100 5900 2100
Wire Wire Line
5600 2200 6050 2200
Wire Wire Line
5100 2200 4650 2200
Wire Wire Line
5600 3500 6050 3500
Wire Wire Line
5100 3500 4650 3500
Wire Wire Line
5600 3000 5900 3000
Wire Wire Line
5600 3100 6050 3100
Wire Wire Line
5100 3000 4800 3000
Wire Wire Line
5100 3100 4650 3100
Wire Wire Line
5600 2300 6050 2300
Wire Wire Line
5600 2400 6050 2400
Wire Wire Line
5600 2500 6050 2500
Wire Wire Line
5600 2600 6050 2600
Wire Wire Line
5600 2700 5900 2700
Wire Wire Line
5600 2800 5900 2800
Wire Wire Line
5600 2900 5900 2900
Wire Wire Line
5600 3200 6050 3200
Wire Wire Line
5600 3300 6050 3300
Wire Wire Line
5600 3400 6050 3400
Text Label 4700 2300 0 60 ~ 0
PMODA1
Wire Wire Line
5100 2300 4650 2300
Wire Wire Line
5100 2400 4650 2400
Wire Wire Line
5100 2500 4650 2500
Wire Wire Line
5100 2600 4650 2600
Text Label 5650 2300 0 60 ~ 0
PMODA2
Text Label 5650 2400 0 60 ~ 0
PMODA4
Text Label 5650 2500 0 60 ~ 0
PMODA6
Text Label 5650 2600 0 60 ~ 0
PMODA8
Text Label 4700 2400 0 60 ~ 0
PMODA3
Text Label 4700 2500 0 60 ~ 0
PMODA5
Text Label 4700 2600 0 60 ~ 0
PMODA7
Text Label 5650 2700 0 60 ~ 0
MP2
Text Label 5650 2800 0 60 ~ 0
MP4
Text Label 5650 2900 0 60 ~ 0
MP6
Text Label 5650 3200 0 60 ~ 0
PMODB2
Text Label 5650 3300 0 60 ~ 0
PMODB4
Text Label 5650 3400 0 60 ~ 0
PMODB6
Text Label 5650 3500 0 60 ~ 0
PMODB8
Wire Wire Line
5100 3200 4650 3200
Wire Wire Line
5100 3300 4650 3300
Wire Wire Line
5100 3400 4650 3400
Text Label 4700 3200 0 60 ~ 0
PMODB1
Text Label 4700 3300 0 60 ~ 0
PMODB3
Text Label 4700 3400 0 60 ~ 0
PMODB5
Text Label 4700 3500 0 60 ~ 0
PMODB7
Wire Wire Line
5100 2700 4850 2700
Text Label 4900 2700 0 60 ~ 0
MP1
Wire Wire Line
4850 2800 5100 2800
Wire Wire Line
4850 2900 5100 2900
Text Label 4900 2800 0 60 ~ 0
MP3
Text Label 4900 2900 0 60 ~ 0
MP5
$Comp
L GND #PWR013
U 1 1 58D3C3A8
P 4650 2200
F 0 "#PWR013" H 4650 1950 50 0001 C CNN
F 1 "GND" H 4650 2050 50 0000 C CNN
F 2 "" H 4650 2200 50 0000 C CNN
F 3 "" H 4650 2200 50 0000 C CNN
1 4650 2200
0 1 1 0
$EndComp
$Comp
L GND #PWR014
U 1 1 58D3C3C8
P 6050 2200
F 0 "#PWR014" H 6050 1950 50 0001 C CNN
F 1 "GND" H 6050 2050 50 0000 C CNN
F 2 "" H 6050 2200 50 0000 C CNN
F 3 "" H 6050 2200 50 0000 C CNN
1 6050 2200
0 -1 -1 0
$EndComp
$Comp
L GND #PWR015
U 1 1 58D3C400
P 6050 3100
F 0 "#PWR015" H 6050 2850 50 0001 C CNN
F 1 "GND" H 6050 2950 50 0000 C CNN
F 2 "" H 6050 3100 50 0000 C CNN
F 3 "" H 6050 3100 50 0000 C CNN
1 6050 3100
0 -1 -1 0
$EndComp
$Comp
L GND #PWR016
U 1 1 58D3C426
P 4650 3100
F 0 "#PWR016" H 4650 2850 50 0001 C CNN
F 1 "GND" H 4650 2950 50 0000 C CNN
F 2 "" H 4650 3100 50 0000 C CNN
F 3 "" H 4650 3100 50 0000 C CNN
1 4650 3100
0 1 1 0
$EndComp
$Comp
L VCC #PWR017
U 1 1 58D3C470
P 4800 3000
F 0 "#PWR017" H 4800 2850 50 0001 C CNN
F 1 "VCC" H 4800 3150 50 0000 C CNN
F 2 "" H 4800 3000 50 0000 C CNN
F 3 "" H 4800 3000 50 0000 C CNN
1 4800 3000
0 -1 -1 0
$EndComp
$Comp
L VCC #PWR018
U 1 1 58D3C504
P 5900 3000
F 0 "#PWR018" H 5900 2850 50 0001 C CNN
F 1 "VCC" H 5900 3150 50 0000 C CNN
F 2 "" H 5900 3000 50 0000 C CNN
F 3 "" H 5900 3000 50 0000 C CNN
1 5900 3000
0 1 1 0
$EndComp
$Comp
L VCC #PWR019
U 1 1 58D3C5DE
P 4800 2100
F 0 "#PWR019" H 4800 1950 50 0001 C CNN
F 1 "VCC" H 4800 2250 50 0000 C CNN
F 2 "" H 4800 2100 50 0000 C CNN
F 3 "" H 4800 2100 50 0000 C CNN
1 4800 2100
0 -1 -1 0
$EndComp
$Comp
L VCC #PWR020
U 1 1 58D3C60A
P 5900 2100
F 0 "#PWR020" H 5900 1950 50 0001 C CNN
F 1 "VCC" H 5900 2250 50 0000 C CNN
F 2 "" H 5900 2100 50 0000 C CNN
F 3 "" H 5900 2100 50 0000 C CNN
1 5900 2100
0 1 1 0
$EndComp
$EndSCHEMATC

@ -1,717 +1,715 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#
# +5V
#
DEF +5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# CONN_02X06
#
DEF CONN_02X06 J 0 1 Y N 1 F N
F0 "J" 0 350 50 H V C CNN
F1 "CONN_02X06" 0 -350 50 H V C CNN
F2 "" 0 -1200 50 H I C CNN
F3 "" 0 -1200 50 H I C CNN
$FPLIST
Pin_Header_Straight_2X*
Pin_Header_Angled_2X*
Socket_Strip_Straight_2X*
Socket_Strip_Angled_2X*
IDC_Header_Straight_*
$ENDFPLIST
DRAW
S -100 -245 -50 -255 0 1 0 N
S -100 -145 -50 -155 0 1 0 N
S -100 -45 -50 -55 0 1 0 N
S -100 55 -50 45 0 1 0 N
S -100 155 -50 145 0 1 0 N
S -100 255 -50 245 0 1 0 N
S -100 300 100 -300 0 1 0 N
S 50 -245 100 -255 0 1 0 N
S 50 -145 100 -155 0 1 0 N
S 50 -45 100 -55 0 1 0 N
S 50 55 100 45 0 1 0 N
S 50 155 100 145 0 1 0 N
S 50 255 100 245 0 1 0 N
X P1 1 -250 250 150 R 50 50 1 1 P
X P2 2 250 250 150 L 50 50 1 1 P
X P3 3 -250 150 150 R 50 50 1 1 P
X P4 4 250 150 150 L 50 50 1 1 P
X P5 5 -250 50 150 R 50 50 1 1 P
X P6 6 250 50 150 L 50 50 1 1 P
X P7 7 -250 -50 150 R 50 50 1 1 P
X P8 8 250 -50 150 L 50 50 1 1 P
X P9 9 -250 -150 150 R 50 50 1 1 P
X P10 10 250 -150 150 L 50 50 1 1 P
X P11 11 -250 -250 150 R 50 50 1 1 P
X P12 12 250 -250 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_02X15
#
DEF CONN_02X15 J 0 1 Y N 1 F N
F0 "J" 0 800 50 H V C CNN
F1 "CONN_02X15" 0 0 50 V V C CNN
F2 "" 0 -1150 50 H I C CNN
F3 "" 0 -1150 50 H I C CNN
$FPLIST
Pin_Header_Straight_2X*
Pin_Header_Angled_2X*
Socket_Strip_Straight_2X*
Socket_Strip_Angled_2X*
IDC_Header_Straight_*
$ENDFPLIST
DRAW
S -100 -695 -50 -705 0 1 0 N
S -100 -595 -50 -605 0 1 0 N
S -100 -495 -50 -505 0 1 0 N
S -100 -395 -50 -405 0 1 0 N
S -100 -295 -50 -305 0 1 0 N
S -100 -195 -50 -205 0 1 0 N
S -100 -95 -50 -105 0 1 0 N
S -100 5 -50 -5 0 1 0 N
S -100 105 -50 95 0 1 0 N
S -100 205 -50 195 0 1 0 N
S -100 305 -50 295 0 1 0 N
S -100 405 -50 395 0 1 0 N
S -100 505 -50 495 0 1 0 N
S -100 605 -50 595 0 1 0 N
S -100 705 -50 695 0 1 0 N
S -100 750 100 -750 0 1 0 N
S 50 -695 100 -705 0 1 0 N
S 50 -595 100 -605 0 1 0 N
S 50 -495 100 -505 0 1 0 N
S 50 -395 100 -405 0 1 0 N
S 50 -295 100 -305 0 1 0 N
S 50 -195 100 -205 0 1 0 N
S 50 -95 100 -105 0 1 0 N
S 50 5 100 -5 0 1 0 N
S 50 105 100 95 0 1 0 N
S 50 205 100 195 0 1 0 N
S 50 305 100 295 0 1 0 N
S 50 405 100 395 0 1 0 N
S 50 505 100 495 0 1 0 N
S 50 605 100 595 0 1 0 N
S 50 705 100 695 0 1 0 N
X P1 1 -250 700 150 R 50 50 1 1 P
X P2 2 250 700 150 L 50 50 1 1 P
X P3 3 -250 600 150 R 50 50 1 1 P
X P4 4 250 600 150 L 50 50 1 1 P
X P5 5 -250 500 150 R 50 50 1 1 P
X P6 6 250 500 150 L 50 50 1 1 P
X P7 7 -250 400 150 R 50 50 1 1 P
X P8 8 250 400 150 L 50 50 1 1 P
X P9 9 -250 300 150 R 50 50 1 1 P
X P10 10 250 300 150 L 50 50 1 1 P
X P20 20 250 -200 150 L 50 50 1 1 P
X P30 30 250 -700 150 L 50 50 1 1 P
X P11 11 -250 200 150 R 50 50 1 1 P
X P21 21 -250 -300 150 R 50 50 1 1 P
X P12 12 250 200 150 L 50 50 1 1 P
X P22 22 250 -300 150 L 50 50 1 1 P
X P13 13 -250 100 150 R 50 50 1 1 P
X P23 23 -250 -400 150 R 50 50 1 1 P
X P14 14 250 100 150 L 50 50 1 1 P
X P24 24 250 -400 150 L 50 50 1 1 P
X P15 15 -250 0 150 R 50 50 1 1 P
X P25 25 -250 -500 150 R 50 50 1 1 P
X P16 16 250 0 150 L 50 50 1 1 P
X P26 26 250 -500 150 L 50 50 1 1 P
X P17 17 -250 -100 150 R 50 50 1 1 P
X P27 27 -250 -600 150 R 50 50 1 1 P
X P18 18 250 -100 150 L 50 50 1 1 P
X P28 28 250 -600 150 L 50 50 1 1 P
X P19 19 -250 -200 150 R 50 50 1 1 P
X P29 29 -250 -700 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_02X32
#
DEF CONN_02X32 J 0 1 Y N 1 F N
F0 "J" 0 1650 50 H V C CNN
F1 "CONN_02X32" 0 0 50 V V C CNN
F2 "" 0 -400 50 H I C CNN
F3 "" 0 -400 50 H I C CNN
$FPLIST
Pin_Header_Straight_2X*
Pin_Header_Angled_2X*
Socket_Strip_Straight_2X*
Socket_Strip_Angled_2X*
IDC_Header_Straight_*
$ENDFPLIST
DRAW
S -100 -1545 -50 -1555 0 1 0 N
S -100 -1445 -50 -1455 0 1 0 N
S -100 -1345 -50 -1355 0 1 0 N
S -100 -1245 -50 -1255 0 1 0 N
S -100 -1145 -50 -1155 0 1 0 N
S -100 -1045 -50 -1055 0 1 0 N
S -100 -945 -50 -955 0 1 0 N
S -100 -845 -50 -855 0 1 0 N
S -100 -745 -50 -755 0 1 0 N
S -100 -645 -50 -655 0 1 0 N
S -100 -545 -50 -555 0 1 0 N
S -100 -445 -50 -455 0 1 0 N
S -100 -345 -50 -355 0 1 0 N
S -100 -245 -50 -255 0 1 0 N
S -100 -145 -50 -155 0 1 0 N
S -100 -45 -50 -55 0 1 0 N
S -100 55 -50 45 0 1 0 N
S -100 155 -50 145 0 1 0 N
S -100 255 -50 245 0 1 0 N
S -100 355 -50 345 0 1 0 N
S -100 455 -50 445 0 1 0 N
S -100 555 -50 545 0 1 0 N
S -100 655 -50 645 0 1 0 N
S -100 755 -50 745 0 1 0 N
S -100 855 -50 845 0 1 0 N
S -100 955 -50 945 0 1 0 N
S -100 1055 -50 1045 0 1 0 N
S -100 1155 -50 1145 0 1 0 N
S -100 1255 -50 1245 0 1 0 N
S -100 1355 -50 1345 0 1 0 N
S -100 1455 -50 1445 0 1 0 N
S -100 1555 -50 1545 0 1 0 N
S -100 1600 100 -1600 0 1 0 N
S 50 -1545 100 -1555 0 1 0 N
S 50 -1445 100 -1455 0 1 0 N
S 50 -1345 100 -1355 0 1 0 N
S 50 -1245 100 -1255 0 1 0 N
S 50 -1145 100 -1155 0 1 0 N
S 50 -1045 100 -1055 0 1 0 N
S 50 -945 100 -955 0 1 0 N
S 50 -845 100 -855 0 1 0 N
S 50 -745 100 -755 0 1 0 N
S 50 -645 100 -655 0 1 0 N
S 50 -545 100 -555 0 1 0 N
S 50 -445 100 -455 0 1 0 N
S 50 -345 100 -355 0 1 0 N
S 50 -245 100 -255 0 1 0 N
S 50 -145 100 -155 0 1 0 N
S 50 -45 100 -55 0 1 0 N
S 50 55 100 45 0 1 0 N
S 50 155 100 145 0 1 0 N
S 50 255 100 245 0 1 0 N
S 50 355 100 345 0 1 0 N
S 50 455 100 445 0 1 0 N
S 50 555 100 545 0 1 0 N
S 50 655 100 645 0 1 0 N
S 50 755 100 745 0 1 0 N
S 50 855 100 845 0 1 0 N
S 50 955 100 945 0 1 0 N
S 50 1055 100 1045 0 1 0 N
S 50 1155 100 1145 0 1 0 N
S 50 1255 100 1245 0 1 0 N
S 50 1355 100 1345 0 1 0 N
S 50 1455 100 1445 0 1 0 N
S 50 1555 100 1545 0 1 0 N
X P1 1 -250 1550 150 R 50 50 1 1 P
X P2 2 250 1550 150 L 50 50 1 1 P
X P3 3 -250 1450 150 R 50 50 1 1 P
X P4 4 250 1450 150 L 50 50 1 1 P
X P5 5 -250 1350 150 R 50 50 1 1 P
X P6 6 250 1350 150 L 50 50 1 1 P
X P7 7 -250 1250 150 R 50 50 1 1 P
X P8 8 250 1250 150 L 50 50 1 1 P
X P9 9 -250 1150 150 R 50 50 1 1 P
X P10 10 250 1150 150 L 50 50 1 1 P
X P20 20 250 650 150 L 50 50 1 1 P
X P30 30 250 150 150 L 50 50 1 1 P
X P40 40 250 -350 150 L 50 50 1 1 P
X P50 50 250 -850 150 L 50 50 1 1 P
X P60 60 250 -1350 150 L 50 50 1 1 P
X P11 11 -250 1050 150 R 50 50 1 1 P
X P21 21 -250 550 150 R 50 50 1 1 P
X P31 31 -250 50 150 R 50 50 1 1 P
X P41 41 -250 -450 150 R 50 50 1 1 P
X P51 51 -250 -950 150 R 50 50 1 1 P
X P61 61 -250 -1450 150 R 50 50 1 1 P
X P12 12 250 1050 150 L 50 50 1 1 P
X P22 22 250 550 150 L 50 50 1 1 P
X P32 32 250 50 150 L 50 50 1 1 P
X P42 42 250 -450 150 L 50 50 1 1 P
X P52 52 250 -950 150 L 50 50 1 1 P
X P62 62 250 -1450 150 L 50 50 1 1 P
X P13 13 -250 950 150 R 50 50 1 1 P
X P23 23 -250 450 150 R 50 50 1 1 P
X P33 33 -250 -50 150 R 50 50 1 1 P
X P43 43 -250 -550 150 R 50 50 1 1 P
X P53 53 -250 -1050 150 R 50 50 1 1 P
X P63 63 -250 -1550 150 R 50 50 1 1 P
X P14 14 250 950 150 L 50 50 1 1 P
X P24 24 250 450 150 L 50 50 1 1 P
X P34 34 250 -50 150 L 50 50 1 1 P
X P44 44 250 -550 150 L 50 50 1 1 P
X P54 54 250 -1050 150 L 50 50 1 1 P
X P64 64 250 -1550 150 L 50 50 1 1 P
X P15 15 -250 850 150 R 50 50 1 1 P
X P25 25 -250 350 150 R 50 50 1 1 P
X P35 35 -250 -150 150 R 50 50 1 1 P
X P45 45 -250 -650 150 R 50 50 1 1 P
X P55 55 -250 -1150 150 R 50 50 1 1 P
X P16 16 250 850 150 L 50 50 1 1 P
X P26 26 250 350 150 L 50 50 1 1 P
X P36 36 250 -150 150 L 50 50 1 1 P
X P46 46 250 -650 150 L 50 50 1 1 P
X P56 56 250 -1150 150 L 50 50 1 1 P
X P17 17 -250 750 150 R 50 50 1 1 P
X P27 27 -250 250 150 R 50 50 1 1 P
X P37 37 -250 -250 150 R 50 50 1 1 P
X P47 47 -250 -750 150 R 50 50 1 1 P
X P57 57 -250 -1250 150 R 50 50 1 1 P
X P18 18 250 750 150 L 50 50 1 1 P
X P28 28 250 250 150 L 50 50 1 1 P
X P38 38 250 -250 150 L 50 50 1 1 P
X P48 48 250 -750 150 L 50 50 1 1 P
X P58 58 250 -1250 150 L 50 50 1 1 P
X P19 19 -250 650 150 R 50 50 1 1 P
X P29 29 -250 150 150 R 50 50 1 1 P
X P39 39 -250 -350 150 R 50 50 1 1 P
X P49 49 -250 -850 150 R 50 50 1 1 P
X P59 59 -250 -1350 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# D_Schottky_Small
#
DEF D_Schottky_Small D 0 10 N N 1 F N
F0 "D" -50 80 50 H V L CNN
F1 "D_Schottky_Small" -280 -80 50 H V L CNN
F2 "" 0 0 50 V I C CNN
F3 "" 0 0 50 V I C CNN
$FPLIST
TO-???*
*SingleDiode
*_Diode_*
*SingleDiode*
D_*
$ENDFPLIST
DRAW
P 2 0 1 0 -30 -40 -30 40 N
P 2 0 1 0 -30 0 30 0 N
P 3 0 1 0 -30 -40 -20 -40 -20 -30 N
P 3 0 1 0 -30 40 -40 40 -40 30 N
P 4 0 1 0 30 -40 -30 0 30 40 30 -40 N
X K 1 -100 0 70 R 50 50 1 1 P
X A 2 100 0 70 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# ESP-12E
#
DEF ESP-12E U 0 40 Y Y 1 F N
F0 "U" 0 -100 50 H V C CNN
F1 "ESP-12E" 0 100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
ESP-12E
$ENDFPLIST
DRAW
S -600 -600 600 600 1 0 0 N
X REST 1 -900 300 300 R 50 50 1 1 I
X ADC 2 -900 200 300 R 50 50 1 1 P
X CH_PD 3 -900 100 300 R 50 50 1 1 I
X GPIO16 4 -900 0 300 R 50 50 1 1 B
X GPIO14 5 -900 -100 300 R 50 50 1 1 B
X GPIO12 6 -900 -200 300 R 50 50 1 1 B
X GPIO13 7 -900 -300 300 R 50 50 1 1 B
X VCC 8 -900 -400 300 R 50 50 1 1 W
X CS0 9 -250 -900 300 U 50 50 1 1 B
X MISO 10 -150 -900 300 U 50 50 1 1 B
X GPIO5 20 900 100 300 L 50 50 1 1 B
X GPIO9 11 -50 -900 300 U 50 50 1 1 B
X RXD 21 900 200 300 L 50 50 1 1 I
X GPIO10 12 50 -900 300 U 50 50 1 1 B
X TXD 22 900 300 300 L 50 50 1 1 O
X MOSI 13 150 -900 300 U 50 50 1 1 B
X SCLK 14 250 -900 300 U 50 50 1 1 B
X GND 15 900 -400 300 L 50 50 1 1 W
X GPIO15 16 900 -300 300 L 50 50 1 1 B
X GPIO2 17 900 -200 300 L 50 50 1 1 B
X GPIO0 18 900 -100 300 L 50 50 1 1 B
X GPIO4 19 900 0 300 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# JACK_TRS_6PINS
#
DEF JACK_TRS_6PINS J 0 30 Y Y 1 F N
F0 "J" 0 400 50 H V C CNN
F1 "JACK_TRS_6PINS" -50 -300 50 H V C CNN
F2 "" 100 -150 50 H I C CNN
F3 "" 100 -150 50 H I C CNN
DRAW
P 3 0 0 0 150 -200 150 -100 300 -100 N
P 3 0 0 0 150 0 150 100 300 100 N
P 3 0 0 0 150 200 150 300 300 300 N
P 4 0 0 0 -350 200 -300 150 -250 200 300 200 N
P 4 0 0 0 -250 0 -200 50 50 -200 300 -200 N
S -450 200 -400 -50 0 1 0 F
S 300 -250 -400 350 0 1 10 f
P 2 0 1 0 50 0 300 0 N
P 4 0 1 0 50 0 0 50 -50 0 -50 0 N
P 4 0 1 0 100 -150 150 -200 200 -150 200 -150 N
P 4 0 1 0 100 50 150 0 200 50 200 50 N
P 4 0 1 0 100 250 150 200 200 250 200 250 N
X S 1 400 200 100 L 50 50 1 1 P
X T 2 400 0 100 L 50 50 1 1 P
X R 3 400 -200 100 L 50 50 1 1 P
X SN 4 400 300 100 L 50 50 1 1 P
X TN 5 400 100 100 L 50 50 1 1 P
X RN 6 400 -100 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# LFE5-BG381
#
DEF LFE5-BG381 U 0 40 Y Y 10 L N
F0 "U" 0 100 60 H V C CNN
F1 "LFE5-BG381" 0 0 60 H V C CNN
F2 "" -1050 950 60 H V C CNN
F3 "" -1050 950 60 H V C CNN
$FPLIST
BGA381
$ENDFPLIST
DRAW
T 0 0 -100 60 0 1 1 BANK0 Normal 0 C C
S -650 950 600 -950 1 1 0 N
X PT4B A6 800 -550 200 L 50 50 1 1 I
X PT4A B6 800 -450 200 L 50 50 1 1 I
X PT11B C6 800 200 200 L 50 50 1 1 I
X PT6A D6 800 -200 200 L 50 50 1 1 I
X PT6B E6 800 -300 200 L 50 50 1 1 I
X PT18B A7 -850 -800 200 R 50 50 1 1 I
X PT11A C7 800 300 200 L 50 50 1 1 I
X PT9A D7 800 50 200 L 50 50 1 1 I
X PT9B E7 800 -50 200 L 50 50 1 1 I
X PT18A A8 -850 -700 200 R 50 50 1 1 I
X PT15A B8 800 800 200 L 50 50 1 1 I
X PT15B C8 800 700 200 L 50 50 1 1 I
X PT13A D8 800 550 200 L 50 50 1 1 I
X PT13B E8 800 450 200 L 50 50 1 1 I
X PT24B A9 -850 -550 200 R 50 50 1 1 I
X PT24B A9 -850 200 200 R 50 50 1 1 I
X PT22B B9 -850 -50 200 R 50 50 1 1 I
X PT20B D9 -850 -300 200 R 50 50 1 1 I
X PT20A E9 -850 -200 200 R 50 50 1 1 I
X PT27A A10 -850 550 200 R 50 50 1 1 I
X PT24A B10 -850 -450 200 R 50 50 1 1 I
X PT24A B10 -850 300 200 R 50 50 1 1 I
X PT22A C10 -850 50 200 R 50 50 1 1 I
X PT27B A11 -850 450 200 R 50 50 1 1 I
X PT29A B11 -850 800 200 R 50 50 1 1 I
X PT29B C11 -850 700 200 R 50 50 1 1 I
S -550 700 550 -650 2 1 0 N
T 0 0 -100 60 0 9 1 POWER Normal 0 C C
S -5100 450 5050 -500 9 1 0 N
X GND J2 1850 -700 200 U 50 50 9 1 I
X GND M2 1950 -700 200 U 50 50 9 1 I
X GND D4 1650 -700 200 U 50 50 9 1 I
X GND G4 1750 -700 200 U 50 50 9 1 I
X GND V5 2850 -700 200 U 50 50 9 1 I
X GND Y5 -4900 -700 200 U 50 50 9 1 I
X VCCAUX F6 -1550 650 200 D 50 50 9 1 I
X GND G6 1350 -700 200 U 50 50 9 1 I
X VCCio7 H6 1150 650 200 D 50 50 9 1 I
X VCCio7 J6 1250 650 200 D 50 50 9 1 I
X GND K6 1450 -700 200 U 50 50 9 1 I
X VCCio6 L6 750 650 200 D 50 50 9 1 I
X VCCio6 M6 850 650 200 D 50 50 9 1 I
X GND N6 1550 -700 200 U 50 50 9 1 I
X VCCAUX P6 -1450 650 200 D 50 50 9 1 I
X GND T6 4750 -700 200 U 50 50 9 1 I
X GND U6 4650 -700 200 U 50 50 9 1 I
X GND V6 3050 -700 200 U 50 50 9 1 I
X GND W6 2950 -700 200 U 50 50 9 1 I
X GND Y6 -4700 -700 200 U 50 50 9 1 I
X GND B7 550 -700 200 U 50 50 9 1 I
X GND F7 650 -700 200 U 50 50 9 1 I
X GND G7 750 -700 200 U 50 50 9 1 I
X VCCio7 H7 1050 650 200 D 50 50 9 1 I
X GND J7 850 -700 200 U 50 50 9 1 I
X GND K7 950 -700 200 U 50 50 9 1 I
X VCCio6 L7 650 650 200 D 50 50 9 1 I
X GND M7 1050 -700 200 U 50 50 9 1 I
X GND N7 1150 -700 200 U 50 50 9 1 I
X GND P7 1250 -700 200 U 50 50 9 1 I
X GND T7 -5000 -700 200 U 50 50 9 1 I
X GND U7 2350 -700 200 U 50 50 9 1 I
X GND V7 2050 -700 200 U 50 50 9 1 I
X GND W7 3150 -700 200 U 50 50 9 1 I
X GND Y7 -4600 -700 200 U 50 50 9 1 I
X GND F8 250 -700 200 U 50 50 9 1 I
X GND G8 350 -700 200 U 50 50 9 1 I
X VCC H8 -2450 650 200 D 50 50 9 1 I
X VCC J8 -2350 650 200 D 50 50 9 1 I
X VCC K8 -2250 650 200 D 50 50 9 1 I
X VCC L8 -2150 650 200 D 50 50 9 1 I
X VCC M8 -2050 650 200 D 50 50 9 1 I
X VCC N8 -1950 650 200 D 50 50 9 1 I
X GND P8 450 -700 200 U 50 50 9 1 I
X GND T8 -4800 -700 200 U 50 50 9 1 I
X GND U8 2450 -700 200 U 50 50 9 1 I
X GND V8 2150 -700 200 U 50 50 9 1 I
X GND Y8 -4400 -700 200 U 50 50 9 1 I
X VCCio0 F9 -1150 650 200 D 50 50 9 1 I
X GND G9 -250 -700 200 U 50 50 9 1 I
X VCC H9 -2650 650 200 D 50 50 9 1 I
X GND J9 -150 -700 200 U 50 50 9 1 I
X GND K9 -50 -700 200 U 50 50 9 1 I
X GND L9 50 -700 200 U 50 50 9 1 I
X GND M9 150 -700 200 U 50 50 9 1 I
X VCC N9 -2550 650 200 D 50 50 9 1 I
X VCCio8 P9 450 650 200 D 50 50 9 1 I
X GND T9 -4500 -700 200 U 50 50 9 1 I
X GND U9 2550 -700 200 U 50 50 9 1 I
X GND V9 2250 -700 200 U 50 50 9 1 I
X VCCio0 F10 -1250 650 200 D 50 50 9 1 I
X GND G10 -750 -700 200 U 50 50 9 1 I
X VCC H10 -2850 650 200 D 50 50 9 1 I
X GND J10 -650 -700 200 U 50 50 9 1 I
X GND K10 -550 -700 200 U 50 50 9 1 I
X GND L10 -450 -700 200 U 50 50 9 1 I
X GND M10 -350 -700 200 U 50 50 9 1 I
X VCC N10 -2750 650 200 D 50 50 9 1 I
X VCCio8 P10 350 650 200 D 50 50 9 1 I
X GND T10 -4300 -700 200 U 50 50 9 1 I
X GND U10 2650 -700 200 U 50 50 9 1 I
X GND V10 4850 -700 200 U 50 50 9 1 I
X GND V20 2750 -700 200 U 50 50 9 1 I
X VCCio1 F11 -850 650 200 D 50 50 9 1 I
X GND G11 -1350 -700 200 U 50 50 9 1 I
X VCC H11 -3050 650 200 D 50 50 9 1 I
X GND J11 -1250 -700 200 U 50 50 9 1 I
X GND K11 -1150 -700 200 U 50 50 9 1 I
X GND L11 -1050 -700 200 U 50 50 9 1 I
X GND M11 -950 -700 200 U 50 50 9 1 I
X VCC N11 -2950 650 200 D 50 50 9 1 I
X GND P11 -850 -700 200 U 50 50 9 1 I
X GND U11 4550 -700 200 U 50 50 9 1 I
X GND V11 4950 -700 200 U 50 50 9 1 I
X GND Y11 -4200 -700 200 U 50 50 9 1 I
X VCCio1 F12 -950 650 200 D 50 50 9 1 I
X GND G12 -1950 -700 200 U 50 50 9 1 I
X VCC H12 -3250 650 200 D 50 50 9 1 I
X GND J12 -1850 -700 200 U 50 50 9 1 I
X GND K12 -1750 -700 200 U 50 50 9 1 I
X GND L12 -1650 -700 200 U 50 50 9 1 I
X GND M12 -1550 -700 200 U 50 50 9 1 I
X VCC N12 -3150 650 200 D 50 50 9 1 I
X GND P12 -1450 -700 200 U 50 50 9 1 I
X GND U12 4250 -700 200 U 50 50 9 1 I
X GND V12 4350 -700 200 U 50 50 9 1 I
X GND W12 4450 -700 200 U 50 50 9 1 I
X GND Y12 -4100 -700 200 U 50 50 9 1 I
X GND F13 -2250 -700 200 U 50 50 9 1 I
X GND G13 -2150 -700 200 U 50 50 9 1 I
X VCC H13 -3850 650 200 D 50 50 9 1 I
X VCC J13 -3750 650 200 D 50 50 9 1 I
X VCC K13 -3650 650 200 D 50 50 9 1 I
X VCC L13 -3550 650 200 D 50 50 9 1 I
X VCC M13 -3450 650 200 D 50 50 9 1 I
X VCC N13 -3350 650 200 D 50 50 9 1 I
X GND P13 -2050 -700 200 U 50 50 9 1 I
X GND U13 4050 -700 200 U 50 50 9 1 I
X GND V13 4150 -700 200 U 50 50 9 1 I
X GND B14 -3050 -700 200 U 50 50 9 1 I
X GND F14 -2950 -700 200 U 50 50 9 1 I
X GND G14 -2850 -700 200 U 50 50 9 1 I
X VCCio2 H14 -450 650 200 D 50 50 9 1 I
X GND J14 -2750 -700 200 U 50 50 9 1 I
X GND K14 -2650 -700 200 U 50 50 9 1 I
X VCCio3 L14 -50 650 200 D 50 50 9 1 I
X GND M14 -2550 -700 200 U 50 50 9 1 I
X GND N14 -2450 -700 200 U 50 50 9 1 I
X GND P14 -2350 -700 200 U 50 50 9 1 I
X GND U14 3850 -700 200 U 50 50 9 1 I
X GND V14 3750 -700 200 U 50 50 9 1 I
X VCCAUX F15 -1750 650 200 D 50 50 9 1 I
X GND G15 -3350 -700 200 U 50 50 9 1 I
X VCCio2 H15 -650 650 200 D 50 50 9 1 I
X VCCio2 J15 -550 650 200 D 50 50 9 1 I
X GND K15 -3250 -700 200 U 50 50 9 1 I
X VCCio3 L15 -250 650 200 D 50 50 9 1 I
X VCCio3 M15 -150 650 200 D 50 50 9 1 I
X GND N15 -3150 -700 200 U 50 50 9 1 I
X VCCAUX P15 -1650 650 200 D 50 50 9 1 I
X GND V15 3250 -700 200 U 50 50 9 1 I
X GND W15 3950 -700 200 U 50 50 9 1 I
X GND M16 -3450 -700 200 U 50 50 9 1 I
X GND V16 3450 -700 200 U 50 50 9 1 I
X GND W16 3350 -700 200 U 50 50 9 1 I
X GND G17 -3550 -700 200 U 50 50 9 1 I
X GND C19 -3850 -700 200 U 50 50 9 1 I
X GND H19 -3750 -700 200 U 50 50 9 1 I
X GND R19 -3650 -700 200 U 50 50 9 1 I
X GND V19 3650 -700 200 U 50 50 9 1 I
X GND W19 3550 -700 200 U 50 50 9 1 I
T 0 0 -100 60 0 10 1 PROG Normal 0 C C
S -500 250 300 -250 10 1 0 N
X TDO V4 -700 50 200 R 50 50 10 1 I
X TDI R5 -700 150 200 R 50 50 10 1 I
X TCK T5 -700 -50 200 R 50 50 10 1 I
X TMS U5 -700 -150 200 R 50 50 10 1 I
ENDDRAW
ENDDEF
#
# MICRO-HDMI-D
#
DEF MICRO-HDMI-D P 0 40 Y N 1 F N
F0 "P" 0 1050 50 H V C CNN
F1 "MICRO-HDMI-D" 100 0 50 V V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
$FPLIST
micro-hdmi-d
$ENDFPLIST
DRAW
S -50 -1000 50 1000 0 1 0 N
S -50 -945 10 -955 0 1 0 N
S -50 -845 10 -855 0 1 0 N
S -50 -745 10 -755 0 1 0 N
S -50 -645 10 -655 0 1 0 N
S -50 -545 10 -555 0 1 0 N
S -50 -445 10 -455 0 1 0 N
S -50 -345 10 -355 0 1 0 N
S -50 -245 10 -255 0 1 0 N
S -50 -145 10 -155 0 1 0 N
S -50 -45 10 -55 0 1 0 N
S -50 55 10 45 0 1 0 N
S -50 155 10 145 0 1 0 N
S -50 255 10 245 0 1 0 N
S -50 355 10 345 0 1 0 N
S -50 455 10 445 0 1 0 N
S -50 555 10 545 0 1 0 N
S -50 655 10 645 0 1 0 N
S -50 755 10 745 0 1 0 N
S -50 855 10 845 0 1 0 N
S -50 955 10 945 0 1 0 N
X P1 1 -200 950 150 R 50 50 1 1 P
X P2 2 -200 850 150 R 50 50 1 1 P
X P3 3 -200 750 150 R 50 50 1 1 P
X P4 4 -200 650 150 R 50 50 1 1 P
X P5 5 -200 550 150 R 50 50 1 1 P
X P6 6 -200 450 150 R 50 50 1 1 P
X P7 7 -200 350 150 R 50 50 1 1 P
X P8 8 -200 250 150 R 50 50 1 1 P
X P9 9 -200 150 150 R 50 50 1 1 P
X P10 10 -200 50 150 R 50 50 1 1 P
X P11 11 -200 -50 150 R 50 50 1 1 P
X P12 12 -200 -150 150 R 50 50 1 1 P
X P13 13 -200 -250 150 R 50 50 1 1 P
X P14 14 -200 -350 150 R 50 50 1 1 P
X P15 15 -200 -450 150 R 50 50 1 1 P
X P16 16 -200 -550 150 R 50 50 1 1 P
X P17 17 -200 -650 150 R 50 50 1 1 P
X P18 18 -200 -750 150 R 50 50 1 1 P
X P19 19 -200 -850 150 R 50 50 1 1 P
X SHD SHD -200 -950 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# SD_Card
#
DEF SD_Card J 0 40 Y Y 1 F N
F0 "J" -650 550 50 H V C CNN
F1 "SD_Card" 600 -550 50 H V C CNN
F2 "10067847-001" 200 350 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SD_Card_Receptacle
$ENDFPLIST
DRAW
S -350 -375 -250 -425 0 1 0 F
S -350 -275 -250 -325 0 1 0 F
S -350 -175 -250 -225 0 1 0 F
S -350 -75 -250 -125 0 1 0 F
S -350 25 -250 -25 0 1 0 F
S -350 125 -250 75 0 1 0 F
S -350 225 -250 175 0 1 0 F
S -350 325 -250 275 0 1 0 F
S -300 425 -200 375 0 1 0 F
P 6 0 1 0 -400 350 -300 450 800 450 800 -450 -400 -450 -400 350 f
P 6 0 1 0 650 450 650 500 -800 500 -800 -500 650 -500 650 -450 N
X CD/DAT3 1 -900 300 100 R 50 50 1 1 I
X CMD 2 -900 200 100 R 50 50 1 1 I
X VSS 3 -900 100 100 R 50 50 1 1 I
X VDD 4 -900 0 100 R 50 50 1 1 I
X CLK 5 -900 -100 100 R 50 50 1 1 I
X VSS 6 -900 -200 100 R 50 50 1 1 I
X DAT0 7 -900 -300 100 R 50 50 1 1 I
X DAT1 8 -900 -400 100 R 50 50 1 1 I
X DAT2 9 -900 400 100 R 50 50 1 1 I
X CARD_DETECT 10 900 200 100 L 50 50 1 1 I
X WRITE_PROTECT 11 900 100 100 L 50 50 1 1 I
X SHELL1 12 900 -100 100 L 50 50 1 1 I
X SHELL2 13 900 -200 100 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# USB_OTG-RESCUE-ulx3s
#
DEF USB_OTG-RESCUE-ulx3s P 0 40 Y Y 1 F N
F0 "P" 325 -125 50 H V C CNN
F1 "USB_OTG-RESCUE-ulx3s" 0 200 50 H V C CNN
F2 "" -50 -100 60 V V C CNN
F3 "" -50 -100 60 V V C CNN
$FPLIST
USB*
$ENDFPLIST
DRAW
S -250 -150 250 150 0 1 0 N
S -205 -150 -195 -120 0 1 0 N
S -105 -150 -95 -120 0 1 0 N
S -5 -150 5 -120 0 1 0 N
S 95 -150 105 -120 0 1 0 N
S 195 -150 205 -120 0 1 0 N
X VCC 1 -200 -300 150 U 50 50 1 1 w
X D- 2 -100 -300 150 U 50 50 1 1 P
X D+ 3 0 -300 150 U 50 50 1 1 P
X ID 4 100 -300 150 U 50 50 1 1 W
X GND 5 200 -300 150 U 50 50 1 1 W
X shield 6 400 100 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# VCC
#
DEF VCC #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "VCC" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
C 0 75 25 0 1 0 N
P 2 0 1 0 0 0 0 50 N
X VCC 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library
EESchema-LIBRARY Version 2.3
#encoding utf-8
#
# +5V
#
DEF +5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# CONN_02X06
#
DEF CONN_02X06 P 0 1 Y N 1 F N
F0 "P" 0 350 50 H V C CNN
F1 "CONN_02X06" 0 -350 50 H V C CNN
F2 "" 0 -1200 50 H V C CNN
F3 "" 0 -1200 50 H V C CNN
$FPLIST
Pin_Header_Straight_2X06
Pin_Header_Angled_2X06
Socket_Strip_Straight_2X06
Socket_Strip_Angled_2X06
$ENDFPLIST
DRAW
S -100 -245 -50 -255 0 1 0 N
S -100 -145 -50 -155 0 1 0 N
S -100 -45 -50 -55 0 1 0 N
S -100 55 -50 45 0 1 0 N
S -100 155 -50 145 0 1 0 N
S -100 255 -50 245 0 1 0 N
S -100 300 100 -300 0 1 0 N
S 50 -245 100 -255 0 1 0 N
S 50 -145 100 -155 0 1 0 N
S 50 -45 100 -55 0 1 0 N
S 50 55 100 45 0 1 0 N
S 50 155 100 145 0 1 0 N
S 50 255 100 245 0 1 0 N
X P1 1 -250 250 150 R 50 50 1 1 P
X P2 2 250 250 150 L 50 50 1 1 P
X P3 3 -250 150 150 R 50 50 1 1 P
X P4 4 250 150 150 L 50 50 1 1 P
X P5 5 -250 50 150 R 50 50 1 1 P
X P6 6 250 50 150 L 50 50 1 1 P
X P7 7 -250 -50 150 R 50 50 1 1 P
X P8 8 250 -50 150 L 50 50 1 1 P
X P9 9 -250 -150 150 R 50 50 1 1 P
X P10 10 250 -150 150 L 50 50 1 1 P
X P11 11 -250 -250 150 R 50 50 1 1 P
X P12 12 250 -250 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_02X15
#
DEF CONN_02X15 P 0 1 Y N 1 F N
F0 "P" 0 800 50 H V C CNN
F1 "CONN_02X15" 0 0 50 V V C CNN
F2 "" 0 -1150 50 H V C CNN
F3 "" 0 -1150 50 H V C CNN
$FPLIST
Pin_Header_Straight_2X15
Pin_Header_Angled_2X15
Socket_Strip_Straight_2X15
Socket_Strip_Angled_2X15
$ENDFPLIST
DRAW
S -100 -695 -50 -705 0 1 0 N
S -100 -595 -50 -605 0 1 0 N
S -100 -495 -50 -505 0 1 0 N
S -100 -395 -50 -405 0 1 0 N
S -100 -295 -50 -305 0 1 0 N
S -100 -195 -50 -205 0 1 0 N
S -100 -95 -50 -105 0 1 0 N
S -100 5 -50 -5 0 1 0 N
S -100 105 -50 95 0 1 0 N
S -100 205 -50 195 0 1 0 N
S -100 305 -50 295 0 1 0 N
S -100 405 -50 395 0 1 0 N
S -100 505 -50 495 0 1 0 N
S -100 605 -50 595 0 1 0 N
S -100 705 -50 695 0 1 0 N
S -100 750 100 -750 0 1 0 N
S 50 -695 100 -705 0 1 0 N
S 50 -595 100 -605 0 1 0 N
S 50 -495 100 -505 0 1 0 N
S 50 -395 100 -405 0 1 0 N
S 50 -295 100 -305 0 1 0 N
S 50 -195 100 -205 0 1 0 N
S 50 -95 100 -105 0 1 0 N
S 50 5 100 -5 0 1 0 N
S 50 105 100 95 0 1 0 N
S 50 205 100 195 0 1 0 N
S 50 305 100 295 0 1 0 N
S 50 405 100 395 0 1 0 N
S 50 505 100 495 0 1 0 N
S 50 605 100 595 0 1 0 N
S 50 705 100 695 0 1 0 N
X P1 1 -250 700 150 R 50 50 1 1 P
X P2 2 250 700 150 L 50 50 1 1 P
X P3 3 -250 600 150 R 50 50 1 1 P
X P4 4 250 600 150 L 50 50 1 1 P
X P5 5 -250 500 150 R 50 50 1 1 P
X P6 6 250 500 150 L 50 50 1 1 P
X P7 7 -250 400 150 R 50 50 1 1 P
X P8 8 250 400 150 L 50 50 1 1 P
X P9 9 -250 300 150 R 50 50 1 1 P
X P10 10 250 300 150 L 50 50 1 1 P
X P20 20 250 -200 150 L 50 50 1 1 P
X P30 30 250 -700 150 L 50 50 1 1 P
X P11 11 -250 200 150 R 50 50 1 1 P
X P21 21 -250 -300 150 R 50 50 1 1 P
X P12 12 250 200 150 L 50 50 1 1 P
X P22 22 250 -300 150 L 50 50 1 1 P
X P13 13 -250 100 150 R 50 50 1 1 P
X P23 23 -250 -400 150 R 50 50 1 1 P
X P14 14 250 100 150 L 50 50 1 1 P
X P24 24 250 -400 150 L 50 50 1 1 P
X P15 15 -250 0 150 R 50 50 1 1 P
X P25 25 -250 -500 150 R 50 50 1 1 P
X P16 16 250 0 150 L 50 50 1 1 P
X P26 26 250 -500 150 L 50 50 1 1 P
X P17 17 -250 -100 150 R 50 50 1 1 P
X P27 27 -250 -600 150 R 50 50 1 1 P
X P18 18 250 -100 150 L 50 50 1 1 P
X P28 28 250 -600 150 L 50 50 1 1 P
X P19 19 -250 -200 150 R 50 50 1 1 P
X P29 29 -250 -700 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_02X32
#
DEF CONN_02X32 P 0 1 Y N 1 F N
F0 "P" 0 1650 50 H V C CNN
F1 "CONN_02X32" 0 0 50 V V C CNN
F2 "" 0 -400 50 H V C CNN
F3 "" 0 -400 50 H V C CNN
$FPLIST
Pin_Header_Straight_2X32
Pin_Header_Angled_2X32
Socket_Strip_Straight_2X32
Socket_Strip_Angled_2X32
$ENDFPLIST
DRAW
S -100 -1545 -50 -1555 0 1 0 N
S -100 -1445 -50 -1455 0 1 0 N
S -100 -1345 -50 -1355 0 1 0 N
S -100 -1245 -50 -1255 0 1 0 N
S -100 -1145 -50 -1155 0 1 0 N
S -100 -1045 -50 -1055 0 1 0 N
S -100 -945 -50 -955 0 1 0 N
S -100 -845 -50 -855 0 1 0 N
S -100 -745 -50 -755 0 1 0 N
S -100 -645 -50 -655 0 1 0 N
S -100 -545 -50 -555 0 1 0 N
S -100 -445 -50 -455 0 1 0 N
S -100 -345 -50 -355 0 1 0 N
S -100 -245 -50 -255 0 1 0 N
S -100 -145 -50 -155 0 1 0 N
S -100 -45 -50 -55 0 1 0 N
S -100 55 -50 45 0 1 0 N
S -100 155 -50 145 0 1 0 N
S -100 255 -50 245 0 1 0 N
S -100 355 -50 345 0 1 0 N
S -100 455 -50 445 0 1 0 N
S -100 555 -50 545 0 1 0 N
S -100 655 -50 645 0 1 0 N
S -100 755 -50 745 0 1 0 N
S -100 855 -50 845 0 1 0 N
S -100 955 -50 945 0 1 0 N
S -100 1055 -50 1045 0 1 0 N
S -100 1155 -50 1145 0 1 0 N
S -100 1255 -50 1245 0 1 0 N
S -100 1355 -50 1345 0 1 0 N
S -100 1455 -50 1445 0 1 0 N
S -100 1555 -50 1545 0 1 0 N
S -100 1600 100 -1600 0 1 0 N
S 50 -1545 100 -1555 0 1 0 N
S 50 -1445 100 -1455 0 1 0 N
S 50 -1345 100 -1355 0 1 0 N
S 50 -1245 100 -1255 0 1 0 N
S 50 -1145 100 -1155 0 1 0 N
S 50 -1045 100 -1055 0 1 0 N
S 50 -945 100 -955 0 1 0 N
S 50 -845 100 -855 0 1 0 N
S 50 -745 100 -755 0 1 0 N
S 50 -645 100 -655 0 1 0 N
S 50 -545 100 -555 0 1 0 N
S 50 -445 100 -455 0 1 0 N
S 50 -345 100 -355 0 1 0 N
S 50 -245 100 -255 0 1 0 N
S 50 -145 100 -155 0 1 0 N
S 50 -45 100 -55 0 1 0 N
S 50 55 100 45 0 1 0 N
S 50 155 100 145 0 1 0 N
S 50 255 100 245 0 1 0 N
S 50 355 100 345 0 1 0 N
S 50 455 100 445 0 1 0 N
S 50 555 100 545 0 1 0 N
S 50 655 100 645 0 1 0 N
S 50 755 100 745 0 1 0 N
S 50 855 100 845 0 1 0 N
S 50 955 100 945 0 1 0 N
S 50 1055 100 1045 0 1 0 N
S 50 1155 100 1145 0 1 0 N
S 50 1255 100 1245 0 1 0 N
S 50 1355 100 1345 0 1 0 N
S 50 1455 100 1445 0 1 0 N
S 50 1555 100 1545 0 1 0 N
X P1 1 -250 1550 150 R 50 50 1 1 P
X P2 2 250 1550 150 L 50 50 1 1 P
X P3 3 -250 1450 150 R 50 50 1 1 P
X P4 4 250 1450 150 L 50 50 1 1 P
X P5 5 -250 1350 150 R 50 50 1 1 P
X P6 6 250 1350 150 L 50 50 1 1 P
X P7 7 -250 1250 150 R 50 50 1 1 P
X P8 8 250 1250 150 L 50 50 1 1 P
X P9 9 -250 1150 150 R 50 50 1 1 P
X P10 10 250 1150 150 L 50 50 1 1 P
X P20 20 250 650 150 L 50 50 1 1 P
X P30 30 250 150 150 L 50 50 1 1 P
X P40 40 250 -350 150 L 50 50 1 1 P
X P50 50 250 -850 150 L 50 50 1 1 P
X P60 60 250 -1350 150 L 50 50 1 1 P
X P11 11 -250 1050 150 R 50 50 1 1 P
X P21 21 -250 550 150 R 50 50 1 1 P
X P31 31 -250 50 150 R 50 50 1 1 P
X P41 41 -250 -450 150 R 50 50 1 1 P
X P51 51 -250 -950 150 R 50 50 1 1 P
X P61 61 -250 -1450 150 R 50 50 1 1 P
X P12 12 250 1050 150 L 50 50 1 1 P
X P22 22 250 550 150 L 50 50 1 1 P
X P32 32 250 50 150 L 50 50 1 1 P
X P42 42 250 -450 150 L 50 50 1 1 P
X P52 52 250 -950 150 L 50 50 1 1 P
X P62 62 250 -1450 150 L 50 50 1 1 P
X P13 13 -250 950 150 R 50 50 1 1 P
X P23 23 -250 450 150 R 50 50 1 1 P
X P33 33 -250 -50 150 R 50 50 1 1 P
X P43 43 -250 -550 150 R 50 50 1 1 P
X P53 53 -250 -1050 150 R 50 50 1 1 P
X P63 63 -250 -1550 150 R 50 50 1 1 P
X P14 14 250 950 150 L 50 50 1 1 P
X P24 24 250 450 150 L 50 50 1 1 P
X P34 34 250 -50 150 L 50 50 1 1 P
X P44 44 250 -550 150 L 50 50 1 1 P
X P54 54 250 -1050 150 L 50 50 1 1 P
X P64 64 250 -1550 150 L 50 50 1 1 P
X P15 15 -250 850 150 R 50 50 1 1 P
X P25 25 -250 350 150 R 50 50 1 1 P
X P35 35 -250 -150 150 R 50 50 1 1 P
X P45 45 -250 -650 150 R 50 50 1 1 P
X P55 55 -250 -1150 150 R 50 50 1 1 P
X P16 16 250 850 150 L 50 50 1 1 P
X P26 26 250 350 150 L 50 50 1 1 P
X P36 36 250 -150 150 L 50 50 1 1 P
X P46 46 250 -650 150 L 50 50 1 1 P
X P56 56 250 -1150 150 L 50 50 1 1 P
X P17 17 -250 750 150 R 50 50 1 1 P
X P27 27 -250 250 150 R 50 50 1 1 P
X P37 37 -250 -250 150 R 50 50 1 1 P
X P47 47 -250 -750 150 R 50 50 1 1 P
X P57 57 -250 -1250 150 R 50 50 1 1 P
X P18 18 250 750 150 L 50 50 1 1 P
X P28 28 250 250 150 L 50 50 1 1 P
X P38 38 250 -250 150 L 50 50 1 1 P
X P48 48 250 -750 150 L 50 50 1 1 P
X P58 58 250 -1250 150 L 50 50 1 1 P
X P19 19 -250 650 150 R 50 50 1 1 P
X P29 29 -250 150 150 R 50 50 1 1 P
X P39 39 -250 -350 150 R 50 50 1 1 P
X P49 49 -250 -850 150 R 50 50 1 1 P
X P59 59 -250 -1350 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# D_Schottky_Small
#
DEF D_Schottky_Small D 0 10 N N 1 F N
F0 "D" -50 80 50 H V L CNN
F1 "D_Schottky_Small" -280 -80 50 H V L CNN
F2 "" 0 0 50 V V C CNN
F3 "" 0 0 50 V V C CNN
$FPLIST
Diode_*
D-*
*SingleDiode
*_Diode_*
*SingleDiode*
D_*
$ENDFPLIST
DRAW
P 2 0 1 0 -30 -40 -30 40 N
P 2 0 1 0 -30 0 30 0 N
P 3 0 1 0 -30 -40 -20 -40 -20 -30 N
P 3 0 1 0 -30 40 -40 40 -40 30 N
P 4 0 1 0 30 -40 -30 0 30 40 30 -40 N
X K 1 -100 0 70 R 50 50 1 1 P
X A 2 100 0 70 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# ESP-12E
#
DEF ESP-12E U 0 40 Y Y 1 F N
F0 "U" 0 -100 50 H V C CNN
F1 "ESP-12E" 0 100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
ESP-12E
$ENDFPLIST
DRAW
S -600 -600 600 600 1 0 0 N
X REST 1 -900 300 300 R 50 50 1 1 I
X ADC 2 -900 200 300 R 50 50 1 1 P
X CH_PD 3 -900 100 300 R 50 50 1 1 I
X GPIO16 4 -900 0 300 R 50 50 1 1 B
X GPIO14 5 -900 -100 300 R 50 50 1 1 B
X GPIO12 6 -900 -200 300 R 50 50 1 1 B
X GPIO13 7 -900 -300 300 R 50 50 1 1 B
X VCC 8 -900 -400 300 R 50 50 1 1 W
X CS0 9 -250 -900 300 U 50 50 1 1 B
X MISO 10 -150 -900 300 U 50 50 1 1 B
X GPIO5 20 900 100 300 L 50 50 1 1 B
X GPIO9 11 -50 -900 300 U 50 50 1 1 B
X RXD 21 900 200 300 L 50 50 1 1 I
X GPIO10 12 50 -900 300 U 50 50 1 1 B
X TXD 22 900 300 300 L 50 50 1 1 O
X MOSI 13 150 -900 300 U 50 50 1 1 B
X SCLK 14 250 -900 300 U 50 50 1 1 B
X GND 15 900 -400 300 L 50 50 1 1 W
X GPIO15 16 900 -300 300 L 50 50 1 1 B
X GPIO2 17 900 -200 300 L 50 50 1 1 B
X GPIO0 18 900 -100 300 L 50 50 1 1 B
X GPIO4 19 900 0 300 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GND
#
DEF GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# JACK_TRS_6PINS
#
DEF JACK_TRS_6PINS J 0 30 Y Y 1 F N
F0 "J" 0 400 50 H V C CNN
F1 "JACK_TRS_6PINS" -50 -300 50 H V C CNN
F2 "" 100 -150 50 H V C CNN
F3 "" 100 -150 50 H V C CNN
DRAW
P 3 0 0 0 150 -200 150 -100 300 -100 N
P 3 0 0 0 150 0 150 100 300 100 N
P 3 0 0 0 150 200 150 300 300 300 N
P 4 0 0 0 -350 200 -300 150 -250 200 300 200 N
P 4 0 0 0 -250 0 -200 50 50 -200 300 -200 N
S -450 200 -400 -50 0 1 0 F
S 300 -250 -400 350 0 1 0 N
P 2 0 1 0 50 0 300 0 N
P 4 0 1 0 50 0 0 50 -50 0 -50 0 N
P 4 0 1 0 100 -150 150 -200 200 -150 200 -150 N
P 4 0 1 0 100 50 150 0 200 50 200 50 N
P 4 0 1 0 100 250 150 200 200 250 200 250 N
X S 1 400 200 100 L 50 50 1 1 P
X T 2 400 0 100 L 50 50 1 1 P
X R 3 400 -200 100 L 50 50 1 1 P
X SN 4 400 300 100 L 50 50 1 1 P
X TN 5 400 100 100 L 50 50 1 1 P
X RN 6 400 -100 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# LFE5-BG381
#
DEF LFE5-BG381 U 0 40 Y Y 10 L N
F0 "U" 0 100 60 H V C CNN
F1 "LFE5-BG381" 0 0 60 H V C CNN
F2 "" -1050 950 60 H V C CNN
F3 "" -1050 950 60 H V C CNN
$FPLIST
BGA381
$ENDFPLIST
DRAW
T 0 0 -100 60 0 1 1 BANK0 Normal 0 C C
S -650 950 600 -950 1 1 0 N
X PT4B A6 800 -550 200 L 50 50 1 1 I
X PT4A B6 800 -450 200 L 50 50 1 1 I
X PT11B C6 800 200 200 L 50 50 1 1 I
X PT6A D6 800 -200 200 L 50 50 1 1 I
X PT6B E6 800 -300 200 L 50 50 1 1 I
X PT18B A7 -850 -800 200 R 50 50 1 1 I
X PT11A C7 800 300 200 L 50 50 1 1 I
X PT9A D7 800 50 200 L 50 50 1 1 I
X PT9B E7 800 -50 200 L 50 50 1 1 I
X PT18A A8 -850 -700 200 R 50 50 1 1 I
X PT15A B8 800 800 200 L 50 50 1 1 I
X PT15B C8 800 700 200 L 50 50 1 1 I
X PT13A D8 800 550 200 L 50 50 1 1 I
X PT13B E8 800 450 200 L 50 50 1 1 I
X PT24B A9 -850 -550 200 R 50 50 1 1 I
X PT24B A9 -850 200 200 R 50 50 1 1 I
X PT22B B9 -850 -50 200 R 50 50 1 1 I
X PT20B D9 -850 -300 200 R 50 50 1 1 I
X PT20A E9 -850 -200 200 R 50 50 1 1 I
X PT27A A10 -850 550 200 R 50 50 1 1 I
X PT24A B10 -850 -450 200 R 50 50 1 1 I
X PT24A B10 -850 300 200 R 50 50 1 1 I
X PT22A C10 -850 50 200 R 50 50 1 1 I
X PT27B A11 -850 450 200 R 50 50 1 1 I
X PT29A B11 -850 800 200 R 50 50 1 1 I
X PT29B C11 -850 700 200 R 50 50 1 1 I
S -550 700 550 -650 2 1 0 N
T 0 0 -100 60 0 9 1 POWER Normal 0 C C
S -5100 450 5050 -500 9 1 0 N
X GND J2 1850 -700 200 U 50 50 9 1 I
X GND M2 1950 -700 200 U 50 50 9 1 I
X GND D4 1650 -700 200 U 50 50 9 1 I
X GND G4 1750 -700 200 U 50 50 9 1 I
X GND V5 2850 -700 200 U 50 50 9 1 I
X GND Y5 -4900 -700 200 U 50 50 9 1 I
X VCCAUX F6 -1550 650 200 D 50 50 9 1 I
X GND G6 1350 -700 200 U 50 50 9 1 I
X VCCio7 H6 1150 650 200 D 50 50 9 1 I
X VCCio7 J6 1250 650 200 D 50 50 9 1 I
X GND K6 1450 -700 200 U 50 50 9 1 I
X VCCio6 L6 750 650 200 D 50 50 9 1 I
X VCCio6 M6 850 650 200 D 50 50 9 1 I
X GND N6 1550 -700 200 U 50 50 9 1 I
X VCCAUX P6 -1450 650 200 D 50 50 9 1 I
X GND T6 4750 -700 200 U 50 50 9 1 I
X GND U6 4650 -700 200 U 50 50 9 1 I
X GND V6 3050 -700 200 U 50 50 9 1 I
X GND W6 2950 -700 200 U 50 50 9 1 I
X GND Y6 -4700 -700 200 U 50 50 9 1 I
X GND B7 550 -700 200 U 50 50 9 1 I
X GND F7 650 -700 200 U 50 50 9 1 I
X GND G7 750 -700 200 U 50 50 9 1 I
X VCCio7 H7 1050 650 200 D 50 50 9 1 I
X GND J7 850 -700 200 U 50 50 9 1 I
X GND K7 950 -700 200 U 50 50 9 1 I
X VCCio6 L7 650 650 200 D 50 50 9 1 I
X GND M7 1050 -700 200 U 50 50 9 1 I
X GND N7 1150 -700 200 U 50 50 9 1 I
X GND P7 1250 -700 200 U 50 50 9 1 I
X GND T7 -5000 -700 200 U 50 50 9 1 I
X GND U7 2350 -700 200 U 50 50 9 1 I
X GND V7 2050 -700 200 U 50 50 9 1 I
X GND W7 3150 -700 200 U 50 50 9 1 I
X GND Y7 -4600 -700 200 U 50 50 9 1 I
X GND F8 250 -700 200 U 50 50 9 1 I
X GND G8 350 -700 200 U 50 50 9 1 I
X VCC H8 -2450 650 200 D 50 50 9 1 I
X VCC J8 -2350 650 200 D 50 50 9 1 I
X VCC K8 -2250 650 200 D 50 50 9 1 I
X VCC L8 -2150 650 200 D 50 50 9 1 I
X VCC M8 -2050 650 200 D 50 50 9 1 I
X VCC N8 -1950 650 200 D 50 50 9 1 I
X GND P8 450 -700 200 U 50 50 9 1 I
X GND T8 -4800 -700 200 U 50 50 9 1 I
X GND U8 2450 -700 200 U 50 50 9 1 I
X GND V8 2150 -700 200 U 50 50 9 1 I
X GND Y8 -4400 -700 200 U 50 50 9 1 I
X VCCio0 F9 -1150 650 200 D 50 50 9 1 I
X GND G9 -250 -700 200 U 50 50 9 1 I
X VCC H9 -2650 650 200 D 50 50 9 1 I
X GND J9 -150 -700 200 U 50 50 9 1 I
X GND K9 -50 -700 200 U 50 50 9 1 I
X GND L9 50 -700 200 U 50 50 9 1 I
X GND M9 150 -700 200 U 50 50 9 1 I
X VCC N9 -2550 650 200 D 50 50 9 1 I
X VCCio8 P9 450 650 200 D 50 50 9 1 I
X GND T9 -4500 -700 200 U 50 50 9 1 I
X GND U9 2550 -700 200 U 50 50 9 1 I
X GND V9 2250 -700 200 U 50 50 9 1 I
X VCCio0 F10 -1250 650 200 D 50 50 9 1 I
X GND G10 -750 -700 200 U 50 50 9 1 I
X VCC H10 -2850 650 200 D 50 50 9 1 I
X GND J10 -650 -700 200 U 50 50 9 1 I
X GND K10 -550 -700 200 U 50 50 9 1 I
X GND L10 -450 -700 200 U 50 50 9 1 I
X GND M10 -350 -700 200 U 50 50 9 1 I
X VCC N10 -2750 650 200 D 50 50 9 1 I
X VCCio8 P10 350 650 200 D 50 50 9 1 I
X GND T10 -4300 -700 200 U 50 50 9 1 I
X GND U10 2650 -700 200 U 50 50 9 1 I
X GND V10 4850 -700 200 U 50 50 9 1 I
X GND V20 2750 -700 200 U 50 50 9 1 I
X VCCio1 F11 -850 650 200 D 50 50 9 1 I
X GND G11 -1350 -700 200 U 50 50 9 1 I
X VCC H11 -3050 650 200 D 50 50 9 1 I
X GND J11 -1250 -700 200 U 50 50 9 1 I
X GND K11 -1150 -700 200 U 50 50 9 1 I
X GND L11 -1050 -700 200 U 50 50 9 1 I
X GND M11 -950 -700 200 U 50 50 9 1 I
X VCC N11 -2950 650 200 D 50 50 9 1 I
X GND P11 -850 -700 200 U 50 50 9 1 I
X GND U11 4550 -700 200 U 50 50 9 1 I
X GND V11 4950 -700 200 U 50 50 9 1 I
X GND Y11 -4200 -700 200 U 50 50 9 1 I
X VCCio1 F12 -950 650 200 D 50 50 9 1 I
X GND G12 -1950 -700 200 U 50 50 9 1 I
X VCC H12 -3250 650 200 D 50 50 9 1 I
X GND J12 -1850 -700 200 U 50 50 9 1 I
X GND K12 -1750 -700 200 U 50 50 9 1 I
X GND L12 -1650 -700 200 U 50 50 9 1 I
X GND M12 -1550 -700 200 U 50 50 9 1 I
X VCC N12 -3150 650 200 D 50 50 9 1 I
X GND P12 -1450 -700 200 U 50 50 9 1 I
X GND U12 4250 -700 200 U 50 50 9 1 I
X GND V12 4350 -700 200 U 50 50 9 1 I
X GND W12 4450 -700 200 U 50 50 9 1 I
X GND Y12 -4100 -700 200 U 50 50 9 1 I
X GND F13 -2250 -700 200 U 50 50 9 1 I
X GND G13 -2150 -700 200 U 50 50 9 1 I
X VCC H13 -3850 650 200 D 50 50 9 1 I
X VCC J13 -3750 650 200 D 50 50 9 1 I
X VCC K13 -3650 650 200 D 50 50 9 1 I
X VCC L13 -3550 650 200 D 50 50 9 1 I
X VCC M13 -3450 650 200 D 50 50 9 1 I
X VCC N13 -3350 650 200 D 50 50 9 1 I
X GND P13 -2050 -700 200 U 50 50 9 1 I
X GND U13 4050 -700 200 U 50 50 9 1 I
X GND V13 4150 -700 200 U 50 50 9 1 I
X GND B14 -3050 -700 200 U 50 50 9 1 I
X GND F14 -2950 -700 200 U 50 50 9 1 I
X GND G14 -2850 -700 200 U 50 50 9 1 I
X VCCio2 H14 -450 650 200 D 50 50 9 1 I
X GND J14 -2750 -700 200 U 50 50 9 1 I
X GND K14 -2650 -700 200 U 50 50 9 1 I
X VCCio3 L14 -50 650 200 D 50 50 9 1 I
X GND M14 -2550 -700 200 U 50 50 9 1 I
X GND N14 -2450 -700 200 U 50 50 9 1 I
X GND P14 -2350 -700 200 U 50 50 9 1 I
X GND U14 3850 -700 200 U 50 50 9 1 I
X GND V14 3750 -700 200 U 50 50 9 1 I
X VCCAUX F15 -1750 650 200 D 50 50 9 1 I
X GND G15 -3350 -700 200 U 50 50 9 1 I
X VCCio2 H15 -650 650 200 D 50 50 9 1 I
X VCCio2 J15 -550 650 200 D 50 50 9 1 I
X GND K15 -3250 -700 200 U 50 50 9 1 I
X VCCio3 L15 -250 650 200 D 50 50 9 1 I
X VCCio3 M15 -150 650 200 D 50 50 9 1 I
X GND N15 -3150 -700 200 U 50 50 9 1 I
X VCCAUX P15 -1650 650 200 D 50 50 9 1 I
X GND V15 3250 -700 200 U 50 50 9 1 I
X GND W15 3950 -700 200 U 50 50 9 1 I
X GND M16 -3450 -700 200 U 50 50 9 1 I
X GND V16 3450 -700 200 U 50 50 9 1 I
X GND W16 3350 -700 200 U 50 50 9 1 I
X GND G17 -3550 -700 200 U 50 50 9 1 I
X GND C19 -3850 -700 200 U 50 50 9 1 I
X GND H19 -3750 -700 200 U 50 50 9 1 I
X GND R19 -3650 -700 200 U 50 50 9 1 I
X GND V19 3650 -700 200 U 50 50 9 1 I
X GND W19 3550 -700 200 U 50 50 9 1 I
T 0 0 -100 60 0 10 1 PROG Normal 0 C C
S -500 250 300 -250 10 1 0 N
X TDO V4 -700 50 200 R 50 50 10 1 I
X TDI R5 -700 150 200 R 50 50 10 1 I
X TCK T5 -700 -50 200 R 50 50 10 1 I
X TMS U5 -700 -150 200 R 50 50 10 1 I
ENDDRAW
ENDDEF
#
# MICRO-HDMI-D
#
DEF MICRO-HDMI-D P 0 40 Y N 1 F N
F0 "P" 0 1050 50 H V C CNN
F1 "MICRO-HDMI-D" 100 0 50 V V C CNN
F2 "" 0 0 60 H V C CNN
F3 "" 0 0 60 H V C CNN
$FPLIST
micro-hdmi-d
$ENDFPLIST
DRAW
S -50 -1000 50 1000 0 1 0 N
S -50 -945 10 -955 0 1 0 N
S -50 -845 10 -855 0 1 0 N
S -50 -745 10 -755 0 1 0 N
S -50 -645 10 -655 0 1 0 N
S -50 -545 10 -555 0 1 0 N
S -50 -445 10 -455 0 1 0 N
S -50 -345 10 -355 0 1 0 N
S -50 -245 10 -255 0 1 0 N
S -50 -145 10 -155 0 1 0 N
S -50 -45 10 -55 0 1 0 N
S -50 55 10 45 0 1 0 N
S -50 155 10 145 0 1 0 N
S -50 255 10 245 0 1 0 N
S -50 355 10 345 0 1 0 N
S -50 455 10 445 0 1 0 N
S -50 555 10 545 0 1 0 N
S -50 655 10 645 0 1 0 N
S -50 755 10 745 0 1 0 N
S -50 855 10 845 0 1 0 N
S -50 955 10 945 0 1 0 N
X P1 1 -200 950 150 R 50 50 1 1 P
X P2 2 -200 850 150 R 50 50 1 1 P
X P3 3 -200 750 150 R 50 50 1 1 P
X P4 4 -200 650 150 R 50 50 1 1 P
X P5 5 -200 550 150 R 50 50 1 1 P
X P6 6 -200 450 150 R 50 50 1 1 P
X P7 7 -200 350 150 R 50 50 1 1 P
X P8 8 -200 250 150 R 50 50 1 1 P
X P9 9 -200 150 150 R 50 50 1 1 P
X P10 10 -200 50 150 R 50 50 1 1 P
X P11 11 -200 -50 150 R 50 50 1 1 P
X P12 12 -200 -150 150 R 50 50 1 1 P
X P13 13 -200 -250 150 R 50 50 1 1 P
X P14 14 -200 -350 150 R 50 50 1 1 P
X P15 15 -200 -450 150 R 50 50 1 1 P
X P16 16 -200 -550 150 R 50 50 1 1 P
X P17 17 -200 -650 150 R 50 50 1 1 P
X P18 18 -200 -750 150 R 50 50 1 1 P
X P19 19 -200 -850 150 R 50 50 1 1 P
X SHD SHD -200 -950 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# SD_Card
#
DEF SD_Card CON 0 40 Y Y 1 F N
F0 "CON" -650 550 50 H V C CNN
F1 "SD_Card" 600 -550 50 H V C CNN
F2 "10067847-001" 200 350 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
SD_Card_Receptacle
$ENDFPLIST
DRAW
S -350 -375 -250 -425 0 1 0 F
S -350 -275 -250 -325 0 1 0 F
S -350 -175 -250 -225 0 1 0 F
S -350 -75 -250 -125 0 1 0 F
S -350 25 -250 -25 0 1 0 F
S -350 125 -250 75 0 1 0 F
S -350 225 -250 175 0 1 0 F
S -350 325 -250 275 0 1 0 F
S -300 425 -200 375 0 1 0 F
P 6 0 1 0 -400 350 -300 450 800 450 800 -450 -400 -450 -400 350 f
P 6 0 1 0 650 450 650 500 -800 500 -800 -500 650 -500 650 -450 N
X CD/DAT3 1 -900 300 100 R 50 50 1 1 I
X CMD 2 -900 200 100 R 50 50 1 1 I
X VSS 3 -900 100 100 R 50 50 1 1 I
X VDD 4 -900 0 100 R 50 50 1 1 I
X CLK 5 -900 -100 100 R 50 50 1 1 I
X VSS 6 -900 -200 100 R 50 50 1 1 I
X DAT0 7 -900 -300 100 R 50 50 1 1 I
X DAT1 8 -900 -400 100 R 50 50 1 1 I
X DAT2 9 -900 400 100 R 50 50 1 1 I
X CARD_DETECT 10 900 200 100 L 50 50 1 1 I
X WRITE_PROTECT 11 900 100 100 L 50 50 1 1 I
X SHELL1 12 900 -100 100 L 50 50 1 1 I
X SHELL2 13 900 -200 100 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# USB_OTG
#
DEF USB_OTG P 0 40 Y Y 1 F N
F0 "P" 325 -125 50 H V C CNN
F1 "USB_OTG" 0 200 50 H V C CNN
F2 "" -50 -100 50 V V C CNN
F3 "" -50 -100 50 V V C CNN
$FPLIST
USB*
$ENDFPLIST
DRAW
S -250 -150 250 150 0 1 0 N
S -205 -150 -195 -120 0 1 0 N
S -105 -150 -95 -120 0 1 0 N
S -5 -150 5 -120 0 1 0 N
S 95 -150 105 -120 0 1 0 N
S 195 -150 205 -120 0 1 0 N
X VBUS 1 -200 -300 150 U 50 50 1 1 w
X D- 2 -100 -300 150 U 50 50 1 1 P
X D+ 3 0 -300 150 U 50 50 1 1 P
X ID 4 100 -300 150 U 50 50 1 1 W
X GND 5 200 -300 150 U 50 50 1 1 W
X shield 6 400 100 150 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# VCC
#
DEF VCC #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "VCC" 0 150 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
DRAW
C 0 75 25 0 1 0 N
P 2 0 1 0 0 0 0 50 N
X VCC 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

@ -1,730 +1,757 @@
EESchema Schematic File Version 2
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 2
Title "ULX3S"
Date ""
Rev "0.0.1"
Comp "FER+RIZ+RADIONA"
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR01
U 1 1 56ABA99F
P 1100 2650
F 0 "#PWR01" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
1 1100 2650
1 0 0 -1
$EndComp
$Comp
L VCC #PWR02
U 1 1 56ABAA88
P 2250 950
F 0 "#PWR02" H 2250 800 50 0001 C CNN
F 1 "VCC" H 2250 1100 50 0000 C CNN
F 2 "" H 2250 950 60 0000 C CNN
F 3 "" H 2250 950 60 0000 C CNN
1 2250 950
1 0 0 -1
$EndComp
$Comp
L ESP-12E U2
U 1 1 56AC980A
P 3700 4550
F 0 "U2" H 3700 4450 50 0000 C CNN
F 1 "ESP-12E" H 3700 4650 50 0000 C CNN
F 2 "ESP8266:ESP-12E" H 3700 4550 50 0001 C CNN
F 3 "" H 3700 4550 50 0001 C CNN
1 3700 4550
1 0 0 -1
$EndComp
Wire Wire Line
900 2450 900 2550
Wire Wire Line
900 2550 10850 2550
Wire Wire Line
1400 2550 1400 2450
Wire Wire Line
1300 2450 1300 2550
Connection ~ 1300 2550
Wire Wire Line
1200 2450 1200 2550
Connection ~ 1200 2550
Wire Wire Line
1100 2450 1100 2650
Connection ~ 1100 2550
Wire Wire Line
1000 2450 1000 2550
Connection ~ 1000 2550
Wire Wire Line
2050 1000 2050 1100
Wire Wire Line
2050 1000 7150 1000
Wire Wire Line
2550 1000 2550 1100
Wire Wire Line
2150 1000 2150 1100
Connection ~ 2150 1000
Wire Wire Line
2250 950 2250 1100
Connection ~ 2250 1000
Wire Wire Line
2350 1000 2350 1100
Connection ~ 2350 1000
Wire Wire Line
2450 1000 2450 1100
Connection ~ 2450 1000
Wire Wire Line
10850 2550 10850 2450
Connection ~ 1400 2550
Wire Wire Line
1500 2450 1500 2550
Connection ~ 1500 2550
Wire Wire Line
1600 2450 1600 2550
Connection ~ 1600 2550
Wire Wire Line
1700 2450 1700 2550
Connection ~ 1700 2550
Wire Wire Line
1800 2450 1800 2550
Connection ~ 1800 2550
Wire Wire Line
2050 2450 2050 2550
Connection ~ 2050 2550
Wire Wire Line
2150 2450 2150 2550
Connection ~ 2150 2550
Wire Wire Line
2250 2450 2250 2550
Connection ~ 2250 2550
Wire Wire Line
2350 2450 2350 2550
Connection ~ 2350 2550
Wire Wire Line
2450 2450 2450 2550
Connection ~ 2450 2550
Wire Wire Line
2550 2450 2550 2550
Connection ~ 2550 2550
Wire Wire Line
2650 2450 2650 2550
Connection ~ 2650 2550
Wire Wire Line
2750 2450 2750 2550
Connection ~ 2750 2550
Wire Wire Line
2850 2450 2850 2550
Connection ~ 2850 2550
Wire Wire Line
2950 2450 2950 2550
Connection ~ 2950 2550
Wire Wire Line
3050 2450 3050 2550
Connection ~ 3050 2550
Wire Wire Line
3150 2450 3150 2550
Connection ~ 3150 2550
Wire Wire Line
3250 2450 3250 2550
Connection ~ 3250 2550
Wire Wire Line
3350 2450 3350 2550
Connection ~ 3350 2550
Wire Wire Line
3450 2450 3450 2550
Connection ~ 3450 2550
Wire Wire Line
3550 2450 3550 2550
Connection ~ 3550 2550
Wire Wire Line
3650 2450 3650 2550
Connection ~ 3650 2550
Wire Wire Line
3750 2450 3750 2550
Connection ~ 3750 2550
Wire Wire Line
3850 2450 3850 2550
Connection ~ 3850 2550
Wire Wire Line
3950 2450 3950 2550
Connection ~ 3950 2550
Wire Wire Line
4050 2450 4050 2550
Connection ~ 4050 2550
Wire Wire Line
4150 2450 4150 2550
Connection ~ 4150 2550
Wire Wire Line
4250 2450 4250 2550
Connection ~ 4250 2550
Wire Wire Line
4350 2450 4350 2550
Connection ~ 4350 2550
Wire Wire Line
4450 2450 4450 2550
Connection ~ 4450 2550
Wire Wire Line
4550 2450 4550 2550
Connection ~ 4550 2550
Wire Wire Line
4650 2450 4650 2550
Connection ~ 4650 2550
Wire Wire Line
4750 2450 4750 2550
Connection ~ 4750 2550
Wire Wire Line
4850 2450 4850 2550
Connection ~ 4850 2550
Wire Wire Line
4950 2450 4950 2550
Connection ~ 4950 2550
Wire Wire Line
5050 2450 5050 2550
Connection ~ 5050 2550
Wire Wire Line
5150 2450 5150 2550
Connection ~ 5150 2550
Wire Wire Line
5250 2450 5250 2550
Connection ~ 5250 2550
Wire Wire Line
5350 2450 5350 2550
Connection ~ 5350 2550
Wire Wire Line
5450 2450 5450 2550
Connection ~ 5450 2550
Wire Wire Line
5550 2450 5550 2550
Connection ~ 5550 2550
Wire Wire Line
5650 2450 5650 2550
Connection ~ 5650 2550
Wire Wire Line
5750 2450 5750 2550
Connection ~ 5750 2550
Wire Wire Line
5850 2450 5850 2550
Connection ~ 5850 2550
Wire Wire Line
5950 2450 5950 2550
Connection ~ 5950 2550
Wire Wire Line
6050 2450 6050 2550
Connection ~ 6050 2550
Wire Wire Line
6150 2450 6150 2550
Connection ~ 6150 2550
Wire Wire Line
6250 2450 6250 2550
Connection ~ 6250 2550
Wire Wire Line
6350 2450 6350 2550
Connection ~ 6350 2550
Wire Wire Line
6450 2450 6450 2550
Connection ~ 6450 2550
Wire Wire Line
6550 2450 6550 2550
Connection ~ 6550 2550
Wire Wire Line
6650 2450 6650 2550
Connection ~ 6650 2550
Wire Wire Line
6750 2450 6750 2550
Connection ~ 6750 2550
Wire Wire Line
6850 2450 6850 2550
Connection ~ 6850 2550
Wire Wire Line
6950 2450 6950 2550
Connection ~ 6950 2550
Wire Wire Line
7050 2450 7050 2550
Connection ~ 7050 2550
Wire Wire Line
7150 2450 7150 2550
Connection ~ 7150 2550
Wire Wire Line
7250 2450 7250 2550
Connection ~ 7250 2550
Wire Wire Line
7350 2450 7350 2550
Connection ~ 7350 2550
Wire Wire Line
7450 2450 7450 2550
Connection ~ 7450 2550
Wire Wire Line
7550 2450 7550 2550
Connection ~ 7550 2550
Wire Wire Line
7650 2450 7650 2550
Connection ~ 7650 2550
Wire Wire Line
7750 2450 7750 2550
Connection ~ 7750 2550
Wire Wire Line
7850 2450 7850 2550
Connection ~ 7850 2550
Wire Wire Line
7950 2450 7950 2550
Connection ~ 7950 2550
Wire Wire Line
8050 2450 8050 2550
Connection ~ 8050 2550
Wire Wire Line
8150 2450 8150 2550
Connection ~ 8150 2550
Wire Wire Line
8250 2450 8250 2550
Connection ~ 8250 2550
Wire Wire Line
8350 2450 8350 2550
Connection ~ 8350 2550
Wire Wire Line
8450 2450 8450 2550
Connection ~ 8450 2550
Wire Wire Line
8550 2450 8550 2550
Connection ~ 8550 2550
Wire Wire Line
8650 2450 8650 2550
Connection ~ 8650 2550
Wire Wire Line
8750 2450 8750 2550
Connection ~ 8750 2550
Wire Wire Line
8850 2450 8850 2550
Connection ~ 8850 2550
Wire Wire Line
8950 2450 8950 2550
Connection ~ 8950 2550
Wire Wire Line
9050 2450 9050 2550
Connection ~ 9050 2550
Wire Wire Line
9150 2450 9150 2550
Connection ~ 9150 2550
Wire Wire Line
9250 2450 9250 2550
Connection ~ 9250 2550
Wire Wire Line
9350 2450 9350 2550
Connection ~ 9350 2550
Wire Wire Line
9450 2450 9450 2550
Connection ~ 9450 2550
Wire Wire Line
9550 2450 9550 2550
Connection ~ 9550 2550
Wire Wire Line
9650 2450 9650 2550
Connection ~ 9650 2550
Wire Wire Line
9750 2450 9750 2550
Connection ~ 9750 2550
Wire Wire Line
9850 2450 9850 2550
Connection ~ 9850 2550
Wire Wire Line
9950 2450 9950 2550
Connection ~ 9950 2550
Wire Wire Line
10050 2450 10050 2550
Connection ~ 10050 2550
Wire Wire Line
10150 2450 10150 2550
Connection ~ 10150 2550
Wire Wire Line
10250 2450 10250 2550
Connection ~ 10250 2550
Wire Wire Line
10350 2450 10350 2550
Connection ~ 10350 2550
Wire Wire Line
10450 2450 10450 2550
Connection ~ 10450 2550
Wire Wire Line
10550 2450 10550 2550
Connection ~ 10550 2550
Wire Wire Line
10650 2450 10650 2550
Connection ~ 10650 2550
Wire Wire Line
10750 2450 10750 2550
Connection ~ 10750 2550
Wire Wire Line
7150 1000 7150 1100
Connection ~ 2550 1000
Wire Wire Line
7050 1100 7050 1000
Connection ~ 7050 1000
Wire Wire Line
6950 1100 6950 1000
Connection ~ 6950 1000
Wire Wire Line
6750 1100 6750 1000
Connection ~ 6750 1000
Wire Wire Line
6650 1100 6650 1000
Connection ~ 6650 1000
Wire Wire Line
6550 1100 6550 1000
Connection ~ 6550 1000
Wire Wire Line
6350 1100 6350 1000
Connection ~ 6350 1000
Wire Wire Line
6250 1100 6250 1000
Connection ~ 6250 1000
Wire Wire Line
5850 1100 5850 1000
Connection ~ 5850 1000
Wire Wire Line
5750 1100 5750 1000
Connection ~ 5750 1000
Wire Wire Line
5650 1100 5650 1000
Connection ~ 5650 1000
Wire Wire Line
5450 1100 5450 1000
Connection ~ 5450 1000
Wire Wire Line
5350 1100 5350 1000
Connection ~ 5350 1000
Wire Wire Line
5250 1100 5250 1000
Connection ~ 5250 1000
Wire Wire Line
5050 1100 5050 1000
Connection ~ 5050 1000
Wire Wire Line
4950 1100 4950 1000
Connection ~ 4950 1000
Wire Wire Line
4750 1100 4750 1000
Connection ~ 4750 1000
Wire Wire Line
4650 1100 4650 1000
Connection ~ 4650 1000
Wire Wire Line
4450 1100 4450 1000
Connection ~ 4450 1000
Wire Wire Line
4350 1100 4350 1000
Connection ~ 4350 1000
Wire Wire Line
4250 1100 4250 1000
Connection ~ 4250 1000
Wire Wire Line
4150 1100 4150 1000
Connection ~ 4150 1000
Wire Wire Line
3950 1100 3950 1000
Connection ~ 3950 1000
Wire Wire Line
3850 1100 3850 1000
Connection ~ 3850 1000
Wire Wire Line
3750 1100 3750 1000
Connection ~ 3750 1000
Wire Wire Line
3650 1100 3650 1000
Connection ~ 3650 1000
Wire Wire Line
3550 1100 3550 1000
Connection ~ 3550 1000
Wire Wire Line
3450 1100 3450 1000
Connection ~ 3450 1000
Wire Wire Line
3350 1100 3350 1000
Connection ~ 3350 1000
Wire Wire Line
3250 1100 3250 1000
Connection ~ 3250 1000
Wire Wire Line
3150 1100 3150 1000
Connection ~ 3150 1000
Wire Wire Line
3050 1100 3050 1000
Connection ~ 3050 1000
Wire Wire Line
2950 1100 2950 1000
Connection ~ 2950 1000
Wire Wire Line
2850 1100 2850 1000
Connection ~ 2850 1000
Wire Wire Line
2750 1100 2750 1000
Connection ~ 2750 1000
Wire Wire Line
2650 1100 2650 1000
Connection ~ 2650 1000
Wire Wire Line
2800 4650 2400 4650
Text Label 2450 4650 0 60 ~ 0
TDO
Wire Wire Line
2800 4550 2400 4550
Text Label 2450 4550 0 60 ~ 0
TDI
Wire Wire Line
2400 4750 2800 4750
Text Label 2450 4750 0 60 ~ 0
TCK
Wire Wire Line
2400 4850 2800 4850
Text Label 2450 4850 0 60 ~ 0
TMS
Wire Wire Line
4600 4950 4700 4950
$Comp
L GND #PWR03
U 1 1 56ACA1D2
P 4700 4950
F 0 "#PWR03" H 4700 4700 50 0001 C CNN
F 1 "GND" H 4700 4800 50 0000 C CNN
F 2 "" H 4700 4950 60 0000 C CNN
F 3 "" H 4700 4950 60 0000 C CNN
1 4700 4950
0 -1 -1 0
$EndComp
$Comp
L SD_Card SD1
U 1 1 56ACBF19
P 2300 6600
F 0 "SD1" H 1650 7150 50 0000 C CNN
F 1 "SD_Card" H 2900 6050 50 0000 C CNN
F 2 "micro-sd:MicroSD_TF02D" H 2500 6950 50 0000 C CNN
F 3 "" H 2300 6600 60 0000 C CNN
1 2300 6600
1 0 0 -1
$EndComp
$Comp
L USB_OTG-RESCUE-ulx3s P1
U 1 1 56ACC213
P 5200 6350
F 0 "P1" H 5525 6225 50 0000 C CNN
F 1 "USB_FTDI" H 5200 6550 50 0000 C CNN
F 2 "Connect:USB_Micro-B" V 5150 6250 60 0001 C CNN
F 3 "" V 5150 6250 60 0000 C CNN
1 5200 6350
0 -1 1 0
$EndComp
$Comp
L USB_OTG-RESCUE-ulx3s P2
U 1 1 56ACC38E
P 5200 7300
F 0 "P2" H 5525 7175 50 0000 C CNN
F 1 "USB_FPGA" H 5200 7500 50 0000 C CNN
F 2 "Connect:USB_Micro-B" V 5150 7200 60 0001 C CNN
F 3 "" V 5150 7200 60 0000 C CNN
1 5200 7300
0 -1 1 0
$EndComp
$Comp
L MICRO-HDMI-D GPDI1
U 1 1 56ACD5D4
P 6150 4650
F 0 "GPDI1" H 6150 5700 50 0000 C CNN
F 1 "MICRO-GPDI-D" V 6250 4650 50 0000 C CNN
F 2 "micro-hdmi-d:MICRO-HDMI-D" H 6150 4650 60 0001 C CNN
F 3 "" H 6150 4650 60 0000 C CNN
1 6150 4650
-1 0 0 -1
$EndComp
$Comp
L JACK_TRS_6PINS AUDIO1
U 1 1 56A9D95B
P 1050 3700
F 0 "AUDIO1" H 1050 4100 50 0000 C CNN
F 1 "JACK_TRS_6PINS" H 1000 3400 50 0000 C CNN
F 2 "" H 1150 3550 60 0001 C CNN
F 3 "" H 1150 3550 60 0000 C CNN
1 1050 3700
1 0 0 -1
$EndComp
$Comp
L D_Schottky_Small D3
U 1 1 56AA1324
P 5950 6150
F 0 "D3" H 5900 6230 50 0000 L CNN
F 1 "2A" H 5900 6050 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 5950 6150 60 0001 C CNN
F 3 "" V 5950 6150 60 0000 C CNN
1 5950 6150
-1 0 0 1
$EndComp
Wire Wire Line
5500 6150 5850 6150
Wire Wire Line
6050 6150 6200 6150
$Comp
L +5V #PWR04
U 1 1 56AA16D2
P 6200 6150
F 0 "#PWR04" H 6200 6000 50 0001 C CNN
F 1 "+5V" H 6200 6290 50 0000 C CNN
F 2 "" H 6200 6150 60 0000 C CNN
F 3 "" H 6200 6150 60 0000 C CNN
1 6200 6150
0 1 1 0
$EndComp
Text Label 5550 6150 0 60 ~ 0
USB5V
Wire Wire Line
5800 7100 5950 7100
$Comp
L D_Schottky_Small D4
U 1 1 56AA2821
P 5700 7100
F 0 "D4" H 5650 7180 50 0000 L CNN
F 1 "2A" H 5650 7000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 5700 7100 60 0001 C CNN
F 3 "" V 5700 7100 60 0000 C CNN
1 5700 7100
1 0 0 -1
$EndComp
Wire Wire Line
5500 7100 5600 7100
$Comp
L +5V #PWR05
U 1 1 56AA305B
P 5950 7100
F 0 "#PWR05" H 5950 6950 50 0001 C CNN
F 1 "+5V" H 5950 7240 50 0000 C CNN
F 2 "" H 5950 7100 60 0000 C CNN
F 3 "" H 5950 7100 60 0000 C CNN
1 5950 7100
0 1 1 0
$EndComp
$Comp
L LFE5-BG381 U1
U 9 1 56AA9804
P 5900 1750
F 0 "U1" H 5900 1850 60 0000 C CNN
F 1 "LFE5-BG381" H 5900 1750 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 4850 2700 60 0001 C CNN
F 3 "" H 4850 2700 60 0000 C CNN
9 5900 1750
1 0 0 -1
$EndComp
$Comp
L LFE5-BG381 U1
U 10 1 56AAA6F3
P 1700 4700
F 0 "U1" H 1700 4800 60 0000 C CNN
F 1 "LFE5-BG381" H 1700 4700 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 650 5650 60 0001 C CNN
F 3 "" H 650 5650 60 0000 C CNN
10 1700 4700
-1 0 0 -1
$EndComp
Wire Wire Line
3450 5450 3450 5700
Text Label 3450 5650 1 60 ~ 0
MTDO
Wire Wire Line
3550 5450 3550 5700
Wire Wire Line
3650 5450 3650 5700
Wire Wire Line
3750 5450 3750 5700
Wire Wire Line
3850 5450 3850 5700
Wire Wire Line
3950 5450 3950 5700
Text Label 3550 5650 1 60 ~ 0
MTDI
Text Label 3650 5650 1 60 ~ 0
SD_3
Text Label 3750 5650 1 60 ~ 0
MTMS
Text Label 3850 5650 1 60 ~ 0
MTCK
Text Label 3950 5650 1 60 ~ 0
SD_2
Wire Wire Line
1400 6200 1050 6200
Text Label 1100 6200 0 60 ~ 0
SD_2
Wire Wire Line
1050 6300 1400 6300
Text Label 1100 6300 0 60 ~ 0
SD_3
Wire Wire Line
1050 6400 1400 6400
Text Label 1100 6400 0 60 ~ 0
MTMS
Wire Wire Line
1400 6700 1050 6700
Text Label 1100 6700 0 60 ~ 0
MTCK
Wire Wire Line
1050 6900 1400 6900
Text Label 1100 6900 0 60 ~ 0
MTDO
Wire Wire Line
1050 7000 1400 7000
Text Label 1100 7000 0 60 ~ 0
MTDI
$Sheet
S 6800 4650 800 600
U 56AC389C
F0 "gpio" 60
F1 "gpio.sch" 60
$EndSheet
$Comp
L CONN_02X06 J3
U 1 1 58D3C869
P 8700 3450
F 0 "J3" H 8700 3800 50 0000 C CNN
F 1 "CONN_02X06" H 8700 3100 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x06_Pitch2.54mm" H 8700 2250 50 0001 C CNN
F 3 "" H 8700 2250 50 0001 C CNN
1 8700 3450
1 0 0 -1
$EndComp
$EndSCHEMATC
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 2
Title "ULX3S"
Date ""
Rev "0.0.1"
Comp "FER+RIZ+RADIONA"
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR1
U 1 1 56ABA99F
P 1100 2650
F 0 "#PWR1" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
1 1100 2650
1 0 0 -1
$EndComp
$Comp
L VCC #PWR2
U 1 1 56ABAA88
P 2250 950
F 0 "#PWR2" H 2250 800 50 0001 C CNN
F 1 "VCC" H 2250 1100 50 0000 C CNN
F 2 "" H 2250 950 60 0000 C CNN
F 3 "" H 2250 950 60 0000 C CNN
1 2250 950
1 0 0 -1
$EndComp
$Comp
L ESP-12E U2
U 1 1 56AC980A
P 3700 4550
F 0 "U2" H 3700 4450 50 0000 C CNN
F 1 "ESP-12E" H 3700 4650 50 0000 C CNN
F 2 "ESP8266:ESP-12E" H 3700 4550 50 0001 C CNN
F 3 "" H 3700 4550 50 0001 C CNN
1 3700 4550
1 0 0 -1
$EndComp
Wire Wire Line
900 2450 900 2550
Wire Wire Line
900 2550 10850 2550
Wire Wire Line
1400 2550 1400 2450
Wire Wire Line
1300 2450 1300 2550
Connection ~ 1300 2550
Wire Wire Line
1200 2450 1200 2550
Connection ~ 1200 2550
Wire Wire Line
1100 2450 1100 2650
Connection ~ 1100 2550
Wire Wire Line
1000 2450 1000 2550
Connection ~ 1000 2550
Wire Wire Line
2050 1000 2050 1100
Wire Wire Line
2050 1000 7150 1000
Wire Wire Line
2550 1000 2550 1100
Wire Wire Line
2150 1000 2150 1100
Connection ~ 2150 1000
Wire Wire Line
2250 950 2250 1100
Connection ~ 2250 1000
Wire Wire Line
2350 1000 2350 1100
Connection ~ 2350 1000
Wire Wire Line
2450 1000 2450 1100
Connection ~ 2450 1000
Wire Wire Line
10850 2550 10850 2450
Connection ~ 1400 2550
Wire Wire Line
1500 2450 1500 2550
Connection ~ 1500 2550
Wire Wire Line
1600 2450 1600 2550
Connection ~ 1600 2550
Wire Wire Line
1700 2450 1700 2550
Connection ~ 1700 2550
Wire Wire Line
1800 2450 1800 2550
Connection ~ 1800 2550
Wire Wire Line
2050 2450 2050 2550
Connection ~ 2050 2550
Wire Wire Line
2150 2450 2150 2550
Connection ~ 2150 2550
Wire Wire Line
2250 2450 2250 2550
Connection ~ 2250 2550
Wire Wire Line
2350 2450 2350 2550
Connection ~ 2350 2550
Wire Wire Line
2450 2450 2450 2550
Connection ~ 2450 2550
Wire Wire Line
2550 2450 2550 2550
Connection ~ 2550 2550
Wire Wire Line
2650 2450 2650 2550
Connection ~ 2650 2550
Wire Wire Line
2750 2450 2750 2550
Connection ~ 2750 2550
Wire Wire Line
2850 2450 2850 2550
Connection ~ 2850 2550
Wire Wire Line
2950 2450 2950 2550
Connection ~ 2950 2550
Wire Wire Line
3050 2450 3050 2550
Connection ~ 3050 2550
Wire Wire Line
3150 2450 3150 2550
Connection ~ 3150 2550
Wire Wire Line
3250 2450 3250 2550
Connection ~ 3250 2550
Wire Wire Line
3350 2450 3350 2550
Connection ~ 3350 2550
Wire Wire Line
3450 2450 3450 2550
Connection ~ 3450 2550
Wire Wire Line
3550 2450 3550 2550
Connection ~ 3550 2550
Wire Wire Line
3650 2450 3650 2550
Connection ~ 3650 2550
Wire Wire Line
3750 2450 3750 2550
Connection ~ 3750 2550
Wire Wire Line
3850 2450 3850 2550
Connection ~ 3850 2550
Wire Wire Line
3950 2450 3950 2550
Connection ~ 3950 2550
Wire Wire Line
4050 2450 4050 2550
Connection ~ 4050 2550
Wire Wire Line
4150 2450 4150 2550
Connection ~ 4150 2550
Wire Wire Line
4250 2450 4250 2550
Connection ~ 4250 2550
Wire Wire Line
4350 2450 4350 2550
Connection ~ 4350 2550
Wire Wire Line
4450 2450 4450 2550
Connection ~ 4450 2550
Wire Wire Line
4550 2450 4550 2550
Connection ~ 4550 2550
Wire Wire Line
4650 2450 4650 2550
Connection ~ 4650 2550
Wire Wire Line
4750 2450 4750 2550
Connection ~ 4750 2550
Wire Wire Line
4850 2450 4850 2550
Connection ~ 4850 2550
Wire Wire Line
4950 2450 4950 2550
Connection ~ 4950 2550
Wire Wire Line
5050 2450 5050 2550
Connection ~ 5050 2550
Wire Wire Line
5150 2450 5150 2550
Connection ~ 5150 2550
Wire Wire Line
5250 2450 5250 2550
Connection ~ 5250 2550
Wire Wire Line
5350 2450 5350 2550
Connection ~ 5350 2550
Wire Wire Line
5450 2450 5450 2550
Connection ~ 5450 2550
Wire Wire Line
5550 2450 5550 2550
Connection ~ 5550 2550
Wire Wire Line
5650 2450 5650 2550
Connection ~ 5650 2550
Wire Wire Line
5750 2450 5750 2550
Connection ~ 5750 2550
Wire Wire Line
5850 2450 5850 2550
Connection ~ 5850 2550
Wire Wire Line
5950 2450 5950 2550
Connection ~ 5950 2550
Wire Wire Line
6050 2450 6050 2550
Connection ~ 6050 2550
Wire Wire Line
6150 2450 6150 2550
Connection ~ 6150 2550
Wire Wire Line
6250 2450 6250 2550
Connection ~ 6250 2550
Wire Wire Line
6350 2450 6350 2550
Connection ~ 6350 2550
Wire Wire Line
6450 2450 6450 2550
Connection ~ 6450 2550
Wire Wire Line
6550 2450 6550 2550
Connection ~ 6550 2550
Wire Wire Line
6650 2450 6650 2550
Connection ~ 6650 2550
Wire Wire Line
6750 2450 6750 2550
Connection ~ 6750 2550
Wire Wire Line
6850 2450 6850 2550
Connection ~ 6850 2550
Wire Wire Line
6950 2450 6950 2550
Connection ~ 6950 2550
Wire Wire Line
7050 2450 7050 2550
Connection ~ 7050 2550
Wire Wire Line
7150 2450 7150 2550
Connection ~ 7150 2550
Wire Wire Line
7250 2450 7250 2550
Connection ~ 7250 2550
Wire Wire Line
7350 2450 7350 2550
Connection ~ 7350 2550
Wire Wire Line
7450 2450 7450 2550
Connection ~ 7450 2550
Wire Wire Line
7550 2450 7550 2550
Connection ~ 7550 2550
Wire Wire Line
7650 2450 7650 2550
Connection ~ 7650 2550
Wire Wire Line
7750 2450 7750 2550
Connection ~ 7750 2550
Wire Wire Line
7850 2450 7850 2550
Connection ~ 7850 2550
Wire Wire Line
7950 2450 7950 2550
Connection ~ 7950 2550
Wire Wire Line
8050 2450 8050 2550
Connection ~ 8050 2550
Wire Wire Line
8150 2450 8150 2550
Connection ~ 8150 2550
Wire Wire Line
8250 2450 8250 2550
Connection ~ 8250 2550
Wire Wire Line
8350 2450 8350 2550
Connection ~ 8350 2550
Wire Wire Line
8450 2450 8450 2550
Connection ~ 8450 2550
Wire Wire Line
8550 2450 8550 2550
Connection ~ 8550 2550
Wire Wire Line
8650 2450 8650 2550
Connection ~ 8650 2550
Wire Wire Line
8750 2450 8750 2550
Connection ~ 8750 2550
Wire Wire Line
8850 2450 8850 2550
Connection ~ 8850 2550
Wire Wire Line
8950 2450 8950 2550
Connection ~ 8950 2550
Wire Wire Line
9050 2450 9050 2550
Connection ~ 9050 2550
Wire Wire Line
9150 2450 9150 2550
Connection ~ 9150 2550
Wire Wire Line
9250 2450 9250 2550
Connection ~ 9250 2550
Wire Wire Line
9350 2450 9350 2550
Connection ~ 9350 2550
Wire Wire Line
9450 2450 9450 2550
Connection ~ 9450 2550
Wire Wire Line
9550 2450 9550 2550
Connection ~ 9550 2550
Wire Wire Line
9650 2450 9650 2550
Connection ~ 9650 2550
Wire Wire Line
9750 2450 9750 2550
Connection ~ 9750 2550
Wire Wire Line
9850 2450 9850 2550
Connection ~ 9850 2550
Wire Wire Line
9950 2450 9950 2550
Connection ~ 9950 2550
Wire Wire Line
10050 2450 10050 2550
Connection ~ 10050 2550
Wire Wire Line
10150 2450 10150 2550
Connection ~ 10150 2550
Wire Wire Line
10250 2450 10250 2550
Connection ~ 10250 2550
Wire Wire Line
10350 2450 10350 2550
Connection ~ 10350 2550
Wire Wire Line
10450 2450 10450 2550
Connection ~ 10450 2550
Wire Wire Line
10550 2450 10550 2550
Connection ~ 10550 2550
Wire Wire Line
10650 2450 10650 2550
Connection ~ 10650 2550
Wire Wire Line
10750 2450 10750 2550
Connection ~ 10750 2550
Wire Wire Line
7150 1000 7150 1100
Connection ~ 2550 1000
Wire Wire Line
7050 1100 7050 1000
Connection ~ 7050 1000
Wire Wire Line
6950 1100 6950 1000
Connection ~ 6950 1000
Wire Wire Line
6750 1100 6750 1000
Connection ~ 6750 1000
Wire Wire Line
6650 1100 6650 1000
Connection ~ 6650 1000
Wire Wire Line
6550 1100 6550 1000
Connection ~ 6550 1000
Wire Wire Line
6350 1100 6350 1000
Connection ~ 6350 1000
Wire Wire Line
6250 1100 6250 1000
Connection ~ 6250 1000
Wire Wire Line
5850 1100 5850 1000
Connection ~ 5850 1000
Wire Wire Line
5750 1100 5750 1000
Connection ~ 5750 1000
Wire Wire Line
5650 1100 5650 1000
Connection ~ 5650 1000
Wire Wire Line
5450 1100 5450 1000
Connection ~ 5450 1000
Wire Wire Line
5350 1100 5350 1000
Connection ~ 5350 1000
Wire Wire Line
5250 1100 5250 1000
Connection ~ 5250 1000
Wire Wire Line
5050 1100 5050 1000
Connection ~ 5050 1000
Wire Wire Line
4950 1100 4950 1000
Connection ~ 4950 1000
Wire Wire Line
4750 1100 4750 1000
Connection ~ 4750 1000
Wire Wire Line
4650 1100 4650 1000
Connection ~ 4650 1000
Wire Wire Line
4450 1100 4450 1000
Connection ~ 4450 1000
Wire Wire Line
4350 1100 4350 1000
Connection ~ 4350 1000
Wire Wire Line
4250 1100 4250 1000
Connection ~ 4250 1000
Wire Wire Line
4150 1100 4150 1000
Connection ~ 4150 1000
Wire Wire Line
3950 1100 3950 1000
Connection ~ 3950 1000
Wire Wire Line
3850 1100 3850 1000
Connection ~ 3850 1000
Wire Wire Line
3750 1100 3750 1000
Connection ~ 3750 1000
Wire Wire Line
3650 1100 3650 1000
Connection ~ 3650 1000
Wire Wire Line
3550 1100 3550 1000
Connection ~ 3550 1000
Wire Wire Line
3450 1100 3450 1000
Connection ~ 3450 1000
Wire Wire Line
3350 1100 3350 1000
Connection ~ 3350 1000
Wire Wire Line
3250 1100 3250 1000
Connection ~ 3250 1000
Wire Wire Line
3150 1100 3150 1000
Connection ~ 3150 1000
Wire Wire Line
3050 1100 3050 1000
Connection ~ 3050 1000
Wire Wire Line
2950 1100 2950 1000
Connection ~ 2950 1000
Wire Wire Line
2850 1100 2850 1000
Connection ~ 2850 1000
Wire Wire Line
2750 1100 2750 1000
Connection ~ 2750 1000
Wire Wire Line
2650 1100 2650 1000
Connection ~ 2650 1000
Wire Wire Line
2800 4650 2400 4650
Text Label 2450 4650 0 60 ~ 0
TDO
Wire Wire Line
2800 4550 2400 4550
Text Label 2450 4550 0 60 ~ 0
TDI
Wire Wire Line
2400 4750 2800 4750
Text Label 2450 4750 0 60 ~ 0
TCK
Wire Wire Line
2400 4850 2800 4850
Text Label 2450 4850 0 60 ~ 0
TMS
Wire Wire Line
4600 4950 4700 4950
$Comp
L GND #PWR3
U 1 1 56ACA1D2
P 4700 4950
F 0 "#PWR3" H 4700 4700 50 0001 C CNN
F 1 "GND" H 4700 4800 50 0000 C CNN
F 2 "" H 4700 4950 60 0000 C CNN
F 3 "" H 4700 4950 60 0000 C CNN
1 4700 4950
0 -1 -1 0
$EndComp
$Comp
L SD_Card SD1
U 1 1 56ACBF19
P 2300 6600
F 0 "SD1" H 1650 7150 50 0000 C CNN
F 1 "SD_Card" H 2900 6050 50 0000 C CNN
F 2 "micro-sd:MicroSD_TF02D" H 2500 6950 50 0000 C CNN
F 3 "" H 2300 6600 60 0000 C CNN
1 2300 6600
1 0 0 -1
$EndComp
$Comp
L MICRO-HDMI-D GPDI1
U 1 1 56ACD5D4
P 6150 4650
F 0 "GPDI1" H 6150 5700 50 0000 C CNN
F 1 "MICRO-GPDI-D" V 6250 4650 50 0000 C CNN
F 2 "micro-hdmi-d:MICRO-HDMI-D" H 6150 4650 60 0001 C CNN
F 3 "" H 6150 4650 60 0000 C CNN
1 6150 4650
-1 0 0 -1
$EndComp
$Comp
L JACK_TRS_6PINS AUDIO1
U 1 1 56A9D95B
P 1050 3700
F 0 "AUDIO1" H 1050 4100 50 0000 C CNN
F 1 "JACK_TRS_6PINS" H 1000 3400 50 0000 C CNN
F 2 "" H 1150 3550 60 0001 C CNN
F 3 "" H 1150 3550 60 0000 C CNN
1 1050 3700
1 0 0 -1
$EndComp
$Comp
L D_Schottky_Small D3
U 1 1 56AA1324
P 5950 6150
F 0 "D3" H 5900 6230 50 0000 L CNN
F 1 "2A" H 5900 6050 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 5950 6150 60 0001 C CNN
F 3 "" V 5950 6150 60 0000 C CNN
1 5950 6150
-1 0 0 1
$EndComp
Wire Wire Line
5500 6150 5850 6150
Wire Wire Line
6050 6150 6200 6150
$Comp
L +5V #PWR7
U 1 1 56AA16D2
P 6200 6150
F 0 "#PWR7" H 6200 6000 50 0001 C CNN
F 1 "+5V" H 6200 6290 50 0000 C CNN
F 2 "" H 6200 6150 60 0000 C CNN
F 3 "" H 6200 6150 60 0000 C CNN
1 6200 6150
0 1 1 0
$EndComp
Text Label 5550 6150 0 60 ~ 0
USB5V
Wire Wire Line
5800 7100 5950 7100
$Comp
L D_Schottky_Small D4
U 1 1 56AA2821
P 5700 7100
F 0 "D4" H 5650 7180 50 0000 L CNN
F 1 "2A" H 5650 7000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 5700 7100 60 0001 C CNN
F 3 "" V 5700 7100 60 0000 C CNN
1 5700 7100
1 0 0 -1
$EndComp
Wire Wire Line
5500 7100 5600 7100
$Comp
L +5V #PWR6
U 1 1 56AA305B
P 5950 7100
F 0 "#PWR6" H 5950 6950 50 0001 C CNN
F 1 "+5V" H 5950 7240 50 0000 C CNN
F 2 "" H 5950 7100 60 0000 C CNN
F 3 "" H 5950 7100 60 0000 C CNN
1 5950 7100
0 1 1 0
$EndComp
$Comp
L LFE5-BG381 U1
U 9 1 56AA9804
P 5900 1750
F 0 "U1" H 5900 1850 60 0000 C CNN
F 1 "LFE5-BG381" H 5900 1750 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 4850 2700 60 0001 C CNN
F 3 "" H 4850 2700 60 0000 C CNN
9 5900 1750
1 0 0 -1
$EndComp
$Comp
L LFE5-BG381 U1
U 10 1 56AAA6F3
P 1700 4700
F 0 "U1" H 1700 4800 60 0000 C CNN
F 1 "LFE5-BG381" H 1700 4700 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 650 5650 60 0001 C CNN
F 3 "" H 650 5650 60 0000 C CNN
10 1700 4700
-1 0 0 -1
$EndComp
Wire Wire Line
3450 5450 3450 5700
Text Label 3450 5650 1 60 ~ 0
MTDO
Wire Wire Line
3550 5450 3550 5700
Wire Wire Line
3650 5450 3650 5700
Wire Wire Line
3750 5450 3750 5700
Wire Wire Line
3850 5450 3850 5700
Wire Wire Line
3950 5450 3950 5700
Text Label 3550 5650 1 60 ~ 0
MTDI
Text Label 3650 5650 1 60 ~ 0
SD_3
Text Label 3750 5650 1 60 ~ 0
MTMS
Text Label 3850 5650 1 60 ~ 0
MTCK
Text Label 3950 5650 1 60 ~ 0
SD_2
Wire Wire Line
1400 6200 1050 6200
Text Label 1100 6200 0 60 ~ 0
SD_2
Wire Wire Line
1050 6300 1400 6300
Text Label 1100 6300 0 60 ~ 0
SD_3
Wire Wire Line
1050 6400 1400 6400
Text Label 1100 6400 0 60 ~ 0
MTMS
Wire Wire Line
1400 6700 1050 6700
Text Label 1100 6700 0 60 ~ 0
MTCK
Wire Wire Line
1050 6900 1400 6900
Text Label 1100 6900 0 60 ~ 0
MTDO
Wire Wire Line
1050 7000 1400 7000
Text Label 1100 7000 0 60 ~ 0
MTDI
$Sheet
S 6800 4650 800 600
U 56AC389C
F0 "gpio" 60
F1 "gpio.sch" 60
$EndSheet
$Comp
L CONN_02X06 J3
U 1 1 58D3C869
P 3000 3200
F 0 "J3" H 3000 3550 50 0000 C CNN
F 1 "CONN_02X06" H 3000 2850 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x06_Pitch2.54mm" H 3000 2000 50 0001 C CNN
F 3 "" H 3000 2000 50 0001 C CNN
1 3000 3200
1 0 0 -1
$EndComp
$Comp
L USB_OTG US1
U 1 1 58D432CE
P 5200 6350
F 0 "US1" H 5525 6225 50 0000 C CNN
F 1 "USB_FTDI" H 5200 6550 50 0000 C CNN
F 2 "" V 5150 6250 50 0000 C CNN
F 3 "" V 5150 6250 50 0000 C CNN
1 5200 6350
0 -1 1 0
$EndComp
$Comp
L USB_OTG US2
U 1 1 58D4378B
P 5200 7300
F 0 "US2" H 5525 7175 50 0000 C CNN
F 1 "USB_FPGA" H 5200 7500 50 0000 C CNN
F 2 "" V 5150 7200 50 0000 C CNN
F 3 "" V 5150 7200 50 0000 C CNN
1 5200 7300
0 -1 1 0
$EndComp
$Comp
L GND #PWR4
U 1 1 58D43846
P 5600 6550
F 0 "#PWR4" H 5600 6300 50 0001 C CNN
F 1 "GND" H 5600 6400 50 0000 C CNN
F 2 "" H 5600 6550 50 0000 C CNN
F 3 "" H 5600 6550 50 0000 C CNN
1 5600 6550
0 -1 -1 0
$EndComp
Wire Wire Line
5500 6550 5600 6550
Wire Wire Line
5500 7500 5600 7500
$Comp
L GND #PWR5
U 1 1 58D43AF2
P 5600 7500
F 0 "#PWR5" H 5600 7250 50 0001 C CNN
F 1 "GND" H 5600 7350 50 0000 C CNN
F 2 "" H 5600 7500 50 0000 C CNN
F 3 "" H 5600 7500 50 0000 C CNN
1 5600 7500
0 -1 -1 0
$EndComp
$EndSCHEMATC

@ -1,15 +1,15 @@
(kicad_pcb (version 4) (host pcbnew 4.0.5+dfsg1-4)
(general
(links 198)
(no_connects 198)
(area 89.631999 59.491999 182.388001 109.068001)
(links 200)
(no_connects 199)
(area 87.599999 56.189999 184.420001 109.830001)
(thickness 1.6)
(drawings 20)
(tracks 0)
(zones 0)
(modules 13)
(nets 155)
(nets 44)
)
(page A4)
@ -100,154 +100,43 @@
(net 4 /TCK)
(net 5 /TMS)
(net 6 /TDO)
(net 7 "Net-(P1-Pad6)")
(net 8 "Net-(P2-Pad6)")
(net 9 +5V)
(net 10 /USB5V)
(net 11 "Net-(D4-Pad1)")
(net 12 /gpio/IN5V)
(net 13 /gpio/OUT5V)
(net 14 /gpio/P5)
(net 15 /gpio/P6)
(net 16 /gpio/P7)
(net 17 /gpio/P8)
(net 18 /gpio/P11)
(net 19 /gpio/P12)
(net 20 /gpio/P13)
(net 21 /gpio/P14)
(net 22 /gpio/P17)
(net 23 /gpio/P18)
(net 24 /gpio/P19)
(net 25 /gpio/P20)
(net 26 /gpio/P21)
(net 27 /gpio/P22)
(net 28 /gpio/P23)
(net 29 /gpio/P24)
(net 30 /gpio/P25)
(net 31 /gpio/P26)
(net 32 /gpio/P27)
(net 33 /gpio/P28)
(net 34 /gpio/P29)
(net 35 /gpio/P30)
(net 36 /SD_3)
(net 37 /MTMS)
(net 38 /MTCK)
(net 39 /MTDO)
(net 40 /MTDI)
(net 41 /gpio/P9)
(net 42 /gpio/P10)
(net 43 "Net-(GPDI1-PadSHD)")
(net 44 /gpio/USB5V)
(net 45 "Net-(GPDI1-Pad1)")
(net 46 "Net-(GPDI1-Pad3)")
(net 47 "Net-(GPDI1-Pad5)")
(net 48 "Net-(GPDI1-Pad7)")
(net 49 "Net-(GPDI1-Pad9)")
(net 50 "Net-(GPDI1-Pad11)")
(net 51 "Net-(GPDI1-Pad13)")
(net 52 "Net-(GPDI1-Pad15)")
(net 53 "Net-(GPDI1-Pad17)")
(net 54 "Net-(GPDI1-Pad19)")
(net 55 "Net-(GPDI1-Pad2)")
(net 56 "Net-(GPDI1-Pad4)")
(net 57 "Net-(GPDI1-Pad6)")
(net 58 "Net-(GPDI1-Pad8)")
(net 59 "Net-(GPDI1-Pad10)")
(net 60 "Net-(GPDI1-Pad12)")
(net 61 "Net-(GPDI1-Pad14)")
(net 62 "Net-(GPDI1-Pad16)")
(net 63 "Net-(GPDI1-Pad18)")
(net 64 /gpio/P15)
(net 65 /gpio/P16)
(net 66 /gpio/P31)
(net 67 /gpio/P32)
(net 68 /gpio/P33)
(net 69 /gpio/P34)
(net 70 /gpio/P35)
(net 71 /gpio/P36)
(net 72 /gpio/P37)
(net 73 /gpio/P38)
(net 74 "Net-(J1-Pad41)")
(net 75 "Net-(J1-Pad42)")
(net 76 "Net-(J1-Pad43)")
(net 77 "Net-(J1-Pad44)")
(net 78 "Net-(J1-Pad45)")
(net 79 "Net-(J1-Pad46)")
(net 80 "Net-(J1-Pad47)")
(net 81 "Net-(J1-Pad48)")
(net 82 "Net-(J1-Pad49)")
(net 83 "Net-(J1-Pad50)")
(net 84 "Net-(J1-Pad51)")
(net 85 "Net-(J1-Pad52)")
(net 86 "Net-(J1-Pad53)")
(net 87 "Net-(J1-Pad54)")
(net 88 "Net-(J1-Pad55)")
(net 89 "Net-(J1-Pad56)")
(net 90 "Net-(J1-Pad57)")
(net 91 "Net-(J1-Pad58)")
(net 92 "Net-(J1-Pad59)")
(net 93 "Net-(J1-Pad60)")
(net 94 "Net-(J1-Pad61)")
(net 95 "Net-(J1-Pad62)")
(net 96 "Net-(J1-Pad63)")
(net 97 "Net-(J1-Pad64)")
(net 98 /gpio/PMODA1)
(net 99 /gpio/PMODA2)
(net 100 /gpio/PMODA3)
(net 101 /gpio/PMODA4)
(net 102 /gpio/PMODA5)
(net 103 /gpio/PMODA6)
(net 104 /gpio/PMODA7)
(net 105 /gpio/PMODA8)
(net 106 /gpio/MP1)
(net 107 /gpio/MP2)
(net 108 /gpio/MP3)
(net 109 /gpio/MP4)
(net 110 /gpio/MP5)
(net 111 /gpio/MP6)
(net 112 /gpio/PMODB1)
(net 113 /gpio/PMODB2)
(net 114 /gpio/PMODB3)
(net 115 /gpio/PMODB4)
(net 116 /gpio/PMODB5)
(net 117 /gpio/PMODB6)
(net 118 /gpio/PMODB7)
(net 119 /gpio/PMODB8)
(net 120 "Net-(P1-Pad2)")
(net 121 "Net-(P1-Pad3)")
(net 122 "Net-(P1-Pad4)")
(net 123 "Net-(P1-Pad5)")
(net 124 "Net-(P2-Pad2)")
(net 125 "Net-(P2-Pad3)")
(net 126 "Net-(P2-Pad4)")
(net 127 "Net-(P2-Pad5)")
(net 128 "Net-(SD1-Pad3)")
(net 129 "Net-(SD1-Pad4)")
(net 130 "Net-(SD1-Pad6)")
(net 131 /SD_2)
(net 132 "Net-(U2-Pad1)")
(net 133 "Net-(U2-Pad2)")
(net 134 "Net-(U2-Pad3)")
(net 135 "Net-(U2-Pad8)")
(net 136 "Net-(U2-Pad16)")
(net 137 "Net-(U2-Pad17)")
(net 138 "Net-(U2-Pad18)")
(net 139 "Net-(U2-Pad19)")
(net 140 "Net-(U2-Pad20)")
(net 141 "Net-(U2-Pad21)")
(net 142 "Net-(U2-Pad22)")
(net 143 "Net-(J3-Pad1)")
(net 144 "Net-(J3-Pad2)")
(net 145 "Net-(J3-Pad3)")
(net 146 "Net-(J3-Pad4)")
(net 147 "Net-(J3-Pad5)")
(net 148 "Net-(J3-Pad6)")
(net 149 "Net-(J3-Pad7)")
(net 150 "Net-(J3-Pad8)")
(net 151 "Net-(J3-Pad9)")
(net 152 "Net-(J3-Pad10)")
(net 153 "Net-(J3-Pad11)")
(net 154 "Net-(J3-Pad12)")
(net 7 +5V)
(net 8 /USB5V)
(net 9 "Net-(D4-Pad1)")
(net 10 /gpio/IN5V)
(net 11 /gpio/OUT5V)
(net 12 /gpio/P5)
(net 13 /gpio/P6)
(net 14 /gpio/P7)
(net 15 /gpio/P8)
(net 16 /gpio/P11)
(net 17 /gpio/P12)
(net 18 /gpio/P13)
(net 19 /gpio/P14)
(net 20 /gpio/P17)
(net 21 /gpio/P18)
(net 22 /gpio/P19)
(net 23 /gpio/P20)
(net 24 /gpio/P21)
(net 25 /gpio/P22)
(net 26 /gpio/P23)
(net 27 /gpio/P24)
(net 28 /gpio/P25)
(net 29 /gpio/P26)
(net 30 /gpio/P27)
(net 31 /gpio/P28)
(net 32 /gpio/P29)
(net 33 /gpio/P30)
(net 34 /SD_3)
(net 35 /MTMS)
(net 36 /MTCK)
(net 37 /MTDO)
(net 38 /MTDI)
(net 39 /gpio/P9)
(net 40 /gpio/P10)
(net 41 "Net-(GPDI1-PadSHD)")
(net 42 "Net-(US1-Pad6)")
(net 43 "Net-(US2-Pad6)")
(net_class Default "This is the default net class."
(clearance 0.2)
@ -261,7 +150,6 @@
(add_net /MTDI)
(add_net /MTDO)
(add_net /MTMS)
(add_net /SD_2)
(add_net /SD_3)
(add_net /TCK)
(add_net /TDI)
@ -269,20 +157,12 @@
(add_net /TMS)
(add_net /USB5V)
(add_net /gpio/IN5V)
(add_net /gpio/MP1)
(add_net /gpio/MP2)
(add_net /gpio/MP3)
(add_net /gpio/MP4)
(add_net /gpio/MP5)
(add_net /gpio/MP6)
(add_net /gpio/OUT5V)
(add_net /gpio/P10)
(add_net /gpio/P11)
(add_net /gpio/P12)
(add_net /gpio/P13)
(add_net /gpio/P14)
(add_net /gpio/P15)
(add_net /gpio/P16)
(add_net /gpio/P17)
(add_net /gpio/P18)
(add_net /gpio/P19)
@ -297,117 +177,15 @@
(add_net /gpio/P28)
(add_net /gpio/P29)
(add_net /gpio/P30)
(add_net /gpio/P31)
(add_net /gpio/P32)
(add_net /gpio/P33)
(add_net /gpio/P34)
(add_net /gpio/P35)
(add_net /gpio/P36)
(add_net /gpio/P37)
(add_net /gpio/P38)
(add_net /gpio/P5)
(add_net /gpio/P6)
(add_net /gpio/P7)
(add_net /gpio/P8)
(add_net /gpio/P9)
(add_net /gpio/PMODA1)
(add_net /gpio/PMODA2)
(add_net /gpio/PMODA3)
(add_net /gpio/PMODA4)
(add_net /gpio/PMODA5)
(add_net /gpio/PMODA6)
(add_net /gpio/PMODA7)
(add_net /gpio/PMODA8)
(add_net /gpio/PMODB1)
(add_net /gpio/PMODB2)
(add_net /gpio/PMODB3)
(add_net /gpio/PMODB4)
(add_net /gpio/PMODB5)
(add_net /gpio/PMODB6)
(add_net /gpio/PMODB7)
(add_net /gpio/PMODB8)
(add_net /gpio/USB5V)
(add_net "Net-(D4-Pad1)")
(add_net "Net-(GPDI1-Pad1)")
(add_net "Net-(GPDI1-Pad10)")
(add_net "Net-(GPDI1-Pad11)")
(add_net "Net-(GPDI1-Pad12)")
(add_net "Net-(GPDI1-Pad13)")
(add_net "Net-(GPDI1-Pad14)")
(add_net "Net-(GPDI1-Pad15)")
(add_net "Net-(GPDI1-Pad16)")
(add_net "Net-(GPDI1-Pad17)")
(add_net "Net-(GPDI1-Pad18)")
(add_net "Net-(GPDI1-Pad19)")
(add_net "Net-(GPDI1-Pad2)")
(add_net "Net-(GPDI1-Pad3)")
(add_net "Net-(GPDI1-Pad4)")
(add_net "Net-(GPDI1-Pad5)")
(add_net "Net-(GPDI1-Pad6)")
(add_net "Net-(GPDI1-Pad7)")
(add_net "Net-(GPDI1-Pad8)")
(add_net "Net-(GPDI1-Pad9)")
(add_net "Net-(GPDI1-PadSHD)")
(add_net "Net-(J1-Pad41)")
(add_net "Net-(J1-Pad42)")
(add_net "Net-(J1-Pad43)")
(add_net "Net-(J1-Pad44)")
(add_net "Net-(J1-Pad45)")
(add_net "Net-(J1-Pad46)")
(add_net "Net-(J1-Pad47)")
(add_net "Net-(J1-Pad48)")
(add_net "Net-(J1-Pad49)")
(add_net "Net-(J1-Pad50)")
(add_net "Net-(J1-Pad51)")
(add_net "Net-(J1-Pad52)")
(add_net "Net-(J1-Pad53)")
(add_net "Net-(J1-Pad54)")
(add_net "Net-(J1-Pad55)")
(add_net "Net-(J1-Pad56)")
(add_net "Net-(J1-Pad57)")
(add_net "Net-(J1-Pad58)")
(add_net "Net-(J1-Pad59)")
(add_net "Net-(J1-Pad60)")
(add_net "Net-(J1-Pad61)")
(add_net "Net-(J1-Pad62)")
(add_net "Net-(J1-Pad63)")
(add_net "Net-(J1-Pad64)")
(add_net "Net-(J3-Pad1)")
(add_net "Net-(J3-Pad10)")
(add_net "Net-(J3-Pad11)")
(add_net "Net-(J3-Pad12)")
(add_net "Net-(J3-Pad2)")
(add_net "Net-(J3-Pad3)")
(add_net "Net-(J3-Pad4)")
(add_net "Net-(J3-Pad5)")
(add_net "Net-(J3-Pad6)")
(add_net "Net-(J3-Pad7)")
(add_net "Net-(J3-Pad8)")
(add_net "Net-(J3-Pad9)")
(add_net "Net-(P1-Pad2)")
(add_net "Net-(P1-Pad3)")
(add_net "Net-(P1-Pad4)")
(add_net "Net-(P1-Pad5)")
(add_net "Net-(P1-Pad6)")
(add_net "Net-(P2-Pad2)")
(add_net "Net-(P2-Pad3)")
(add_net "Net-(P2-Pad4)")
(add_net "Net-(P2-Pad5)")
(add_net "Net-(P2-Pad6)")
(add_net "Net-(SD1-Pad3)")
(add_net "Net-(SD1-Pad4)")
(add_net "Net-(SD1-Pad6)")
(add_net "Net-(U2-Pad1)")
(add_net "Net-(U2-Pad16)")
(add_net "Net-(U2-Pad17)")
(add_net "Net-(U2-Pad18)")
(add_net "Net-(U2-Pad19)")
(add_net "Net-(U2-Pad2)")
(add_net "Net-(U2-Pad20)")
(add_net "Net-(U2-Pad21)")
(add_net "Net-(U2-Pad22)")
(add_net "Net-(U2-Pad3)")
(add_net "Net-(U2-Pad8)")
(add_net "Net-(US1-Pad6)")
(add_net "Net-(US2-Pad6)")
(add_net VCC)
)
@ -421,88 +199,6 @@
(add_net GND)
)
(module Connectors:USB_Micro-B (layer F.Cu) (tedit 5543E447) (tstamp 56A9630A)
(at 169.03 61.42 180)
(descr "Micro USB Type B Receptacle")
(tags "USB USB_B USB_micro USB_OTG")
(path /56ACC213)
(attr smd)
(fp_text reference P1 (at 0 -3.24 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value USB_FTDI (at 0 5.01 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -4.6 -2.59) (end 4.6 -2.59) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 -2.59) (end 4.6 4.26) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 4.26) (end -4.6 4.26) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.6 4.26) (end -4.6 -2.59) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.35 4.03) (end 4.35 4.03) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 -2.38) (end 4.35 -2.38) (layer F.SilkS) (width 0.12))
(fp_line (start 4.35 -2.38) (end 4.35 4.03) (layer F.SilkS) (width 0.12))
(fp_line (start 4.35 2.8) (end -4.35 2.8) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 4.03) (end -4.35 -2.38) (layer F.SilkS) (width 0.12))
(pad 1 smd rect (at -1.3 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 10 /USB5V))
(pad 2 smd rect (at -0.65 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 120 "Net-(P1-Pad2)"))
(pad 3 smd rect (at 0 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 121 "Net-(P1-Pad3)"))
(pad 4 smd rect (at 0.65 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 122 "Net-(P1-Pad4)"))
(pad 5 smd rect (at 1.3 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 123 "Net-(P1-Pad5)"))
(pad 6 thru_hole oval (at -2.5 -1.35 270) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask)
(net 7 "Net-(P1-Pad6)"))
(pad 6 thru_hole oval (at 2.5 -1.35 270) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask)
(net 7 "Net-(P1-Pad6)"))
(pad 6 thru_hole oval (at -3.5 1.35 270) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask)
(net 7 "Net-(P1-Pad6)"))
(pad 6 thru_hole oval (at 3.5 1.35 270) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask)
(net 7 "Net-(P1-Pad6)"))
)
(module Connect:USB_Micro-B (layer F.Cu) (tedit 5543E447) (tstamp 56A96317)
(at 152.52 61.42 180)
(descr "Micro USB Type B Receptacle")
(tags "USB USB_B USB_micro USB_OTG")
(path /56ACC38E)
(attr smd)
(fp_text reference P2 (at 0 -3.45 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value USB_FPGA (at 0 4.8 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -4.6 -2.8) (end 4.6 -2.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 -2.8) (end 4.6 4.05) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 4.05) (end -4.6 4.05) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.6 4.05) (end -4.6 -2.8) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.3509 3.81746) (end 4.3491 3.81746) (layer F.SilkS) (width 0.15))
(fp_line (start -4.3509 -2.58754) (end 4.3491 -2.58754) (layer F.SilkS) (width 0.15))
(fp_line (start 4.3491 -2.58754) (end 4.3491 3.81746) (layer F.SilkS) (width 0.15))
(fp_line (start 4.3491 2.58746) (end -4.3509 2.58746) (layer F.SilkS) (width 0.15))
(fp_line (start -4.3509 3.81746) (end -4.3509 -2.58754) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -1.3009 -1.56254 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 11 "Net-(D4-Pad1)"))
(pad 2 smd rect (at -0.6509 -1.56254 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 124 "Net-(P2-Pad2)"))
(pad 3 smd rect (at -0.0009 -1.56254 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 125 "Net-(P2-Pad3)"))
(pad 4 smd rect (at 0.6491 -1.56254 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 126 "Net-(P2-Pad4)"))
(pad 5 smd rect (at 1.2991 -1.56254 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 127 "Net-(P2-Pad5)"))
(pad 6 thru_hole oval (at -2.5009 -1.56254 270) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask F.SilkS)
(net 8 "Net-(P2-Pad6)"))
(pad 6 thru_hole oval (at 2.4991 -1.56254 270) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask F.SilkS)
(net 8 "Net-(P2-Pad6)"))
(pad 6 thru_hole oval (at -3.5009 1.13746 270) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask F.SilkS)
(net 8 "Net-(P2-Pad6)"))
(pad 6 thru_hole oval (at 3.4991 1.13746 270) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask F.SilkS)
(net 8 "Net-(P2-Pad6)"))
)
(module Socket_Strips:Socket_Strip_Angled_2x15_Pitch2.54mm (layer F.Cu) (tedit 58CD5449) (tstamp 58D3A9BD)
(at 179.19 100.79 180)
(descr "Through hole angled socket strip, 2x15, 2.54mm pitch, 8.51mm socket length, double rows")
@ -793,34 +489,20 @@
(net 1 GND))
(pad 4 thru_hole oval (at -2.54 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 GND))
(pad 5 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 98 /gpio/PMODA1))
(pad 6 thru_hole oval (at -2.54 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 99 /gpio/PMODA2))
(pad 7 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 100 /gpio/PMODA3))
(pad 8 thru_hole oval (at -2.54 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 101 /gpio/PMODA4))
(pad 9 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 102 /gpio/PMODA5))
(pad 10 thru_hole oval (at -2.54 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 103 /gpio/PMODA6))
(pad 11 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 104 /gpio/PMODA7))
(pad 12 thru_hole oval (at -2.54 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 105 /gpio/PMODA8))
(pad 13 thru_hole oval (at 0 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 106 /gpio/MP1))
(pad 14 thru_hole oval (at -2.54 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 107 /gpio/MP2))
(pad 15 thru_hole oval (at 0 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 108 /gpio/MP3))
(pad 16 thru_hole oval (at -2.54 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 109 /gpio/MP4))
(pad 17 thru_hole oval (at 0 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 110 /gpio/MP5))
(pad 18 thru_hole oval (at -2.54 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 111 /gpio/MP6))
(pad 5 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 6 thru_hole oval (at -2.54 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 7 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 8 thru_hole oval (at -2.54 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 9 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 10 thru_hole oval (at -2.54 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 11 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 12 thru_hole oval (at -2.54 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 13 thru_hole oval (at 0 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 14 thru_hole oval (at -2.54 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 15 thru_hole oval (at 0 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 16 thru_hole oval (at -2.54 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 17 thru_hole oval (at 0 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 18 thru_hole oval (at -2.54 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 19 thru_hole oval (at 0 22.86 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 VCC))
(pad 20 thru_hole oval (at -2.54 22.86 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
@ -829,22 +511,14 @@
(net 1 GND))
(pad 22 thru_hole oval (at -2.54 25.4 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 GND))
(pad 23 thru_hole oval (at 0 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 112 /gpio/PMODB1))
(pad 24 thru_hole oval (at -2.54 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 113 /gpio/PMODB2))
(pad 25 thru_hole oval (at 0 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 114 /gpio/PMODB3))
(pad 26 thru_hole oval (at -2.54 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 115 /gpio/PMODB4))
(pad 27 thru_hole oval (at 0 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 116 /gpio/PMODB5))
(pad 28 thru_hole oval (at -2.54 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 117 /gpio/PMODB6))
(pad 29 thru_hole oval (at 0 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 118 /gpio/PMODB7))
(pad 30 thru_hole oval (at -2.54 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 119 /gpio/PMODB8))
(pad 23 thru_hole oval (at 0 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 24 thru_hole oval (at -2.54 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 25 thru_hole oval (at 0 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 26 thru_hole oval (at -2.54 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 27 thru_hole oval (at 0 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 28 thru_hole oval (at -2.54 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 29 thru_hole oval (at 0 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 30 thru_hole oval (at -2.54 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Socket_Strips.3dshapes/Socket_Strip_Angled_2x15_Pitch2.54mm.wrl
(at (xyz -0.05 -0.7 0))
(scale (xyz 1 1 1))
@ -990,30 +664,18 @@
(fp_text user %R (at -5.65 -2.27) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 143 "Net-(J3-Pad1)"))
(pad 2 thru_hole oval (at -2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 144 "Net-(J3-Pad2)"))
(pad 3 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 145 "Net-(J3-Pad3)"))
(pad 4 thru_hole oval (at -2.54 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 146 "Net-(J3-Pad4)"))
(pad 5 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 147 "Net-(J3-Pad5)"))
(pad 6 thru_hole oval (at -2.54 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 148 "Net-(J3-Pad6)"))
(pad 7 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 149 "Net-(J3-Pad7)"))
(pad 8 thru_hole oval (at -2.54 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 150 "Net-(J3-Pad8)"))
(pad 9 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 151 "Net-(J3-Pad9)"))
(pad 10 thru_hole oval (at -2.54 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 152 "Net-(J3-Pad10)"))
(pad 11 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 153 "Net-(J3-Pad11)"))
(pad 12 thru_hole oval (at -2.54 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 154 "Net-(J3-Pad12)"))
(pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 2 thru_hole oval (at -2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 4 thru_hole oval (at -2.54 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 5 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 6 thru_hole oval (at -2.54 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 7 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 8 thru_hole oval (at -2.54 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 9 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 10 thru_hole oval (at -2.54 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 11 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 12 thru_hole oval (at -2.54 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Socket_Strips.3dshapes/Socket_Strip_Angled_2x06_Pitch2.54mm.wrl
(at (xyz -0.05 -0.25 0))
(scale (xyz 1 1 1))
@ -1041,9 +703,8 @@
(fp_line (start 2.54 -1.143) (end 0.889 -1.143) (layer B.SilkS) (width 0.15))
(fp_line (start -0.889 1.143) (end -2.54 1.143) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.651 0) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 13 /gpio/OUT5V))
(pad 2 smd rect (at 1.651 0) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 44 /gpio/USB5V))
(net 11 /gpio/OUT5V))
(pad 2 smd rect (at 1.651 0) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask))
(model SMD_Packages.3dshapes/SMD-1206_Pol.wrl
(at (xyz 0 0 0))
(scale (xyz 0.17 0.16 0.16))
@ -1071,9 +732,9 @@
(fp_line (start 2.54 -1.143) (end 0.889 -1.143) (layer B.SilkS) (width 0.15))
(fp_line (start -0.889 1.143) (end -2.54 1.143) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.651 0) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 9 +5V))
(net 7 +5V))
(pad 2 smd rect (at 1.651 0) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 12 /gpio/IN5V))
(net 10 /gpio/IN5V))
(model SMD_Packages.3dshapes/SMD-1206_Pol.wrl
(at (xyz 0 0 0))
(scale (xyz 0.17 0.16 0.16))
@ -1101,17 +762,17 @@
(pad A4 smd circle (at -5.2 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad A5 smd circle (at -4.4 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad A6 smd circle (at -3.6 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 35 /gpio/P30))
(net 33 /gpio/P30))
(pad A7 smd circle (at -2.8 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 23 /gpio/P18))
(net 21 /gpio/P18))
(pad A8 smd circle (at -2 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 22 /gpio/P17))
(net 20 /gpio/P17))
(pad A9 smd circle (at -1.2 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 42 /gpio/P10))
(net 40 /gpio/P10))
(pad A10 smd circle (at -0.4 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 16 /gpio/P7))
(net 14 /gpio/P7))
(pad A11 smd circle (at 0.4 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 17 /gpio/P8))
(net 15 /gpio/P8))
(pad A12 smd circle (at 1.2 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad A13 smd circle (at 2 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad A14 smd circle (at 2.8 -7.6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
@ -1126,17 +787,17 @@
(pad B4 smd circle (at -5.2 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad B5 smd circle (at -4.4 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad B6 smd circle (at -3.6 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 34 /gpio/P29))
(net 32 /gpio/P29))
(pad B7 smd circle (at -2.8 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(pad B8 smd circle (at -2 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 24 /gpio/P19))
(net 22 /gpio/P19))
(pad B9 smd circle (at -1.2 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 19 /gpio/P12))
(net 17 /gpio/P12))
(pad B10 smd circle (at -0.4 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 41 /gpio/P9))
(net 39 /gpio/P9))
(pad B11 smd circle (at 0.4 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 14 /gpio/P5))
(net 12 /gpio/P5))
(pad B12 smd circle (at 1.2 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad B13 smd circle (at 2 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad B14 smd circle (at 2.8 -6.8) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
@ -1153,16 +814,16 @@
(pad C4 smd circle (at -5.2 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad C5 smd circle (at -4.4 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad C6 smd circle (at -3.6 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 29 /gpio/P24))
(net 27 /gpio/P24))
(pad C7 smd circle (at -2.8 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 28 /gpio/P23))
(net 26 /gpio/P23))
(pad C8 smd circle (at -2 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 25 /gpio/P20))
(net 23 /gpio/P20))
(pad C9 smd circle (at -1.2 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad C10 smd circle (at -0.4 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 18 /gpio/P11))
(net 16 /gpio/P11))
(pad C11 smd circle (at 0.4 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 15 /gpio/P6))
(net 13 /gpio/P6))
(pad C12 smd circle (at 1.2 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad C13 smd circle (at 2 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad C14 smd circle (at 2.8 -6) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
@ -1180,13 +841,13 @@
(net 1 GND))
(pad D5 smd circle (at -4.4 -5.2) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad D6 smd circle (at -3.6 -5.2) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 32 /gpio/P27))
(net 30 /gpio/P27))
(pad D7 smd circle (at -2.8 -5.2) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 30 /gpio/P25))
(net 28 /gpio/P25))
(pad D8 smd circle (at -2 -5.2) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 26 /gpio/P21))
(net 24 /gpio/P21))
(pad D9 smd circle (at -1.2 -5.2) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 21 /gpio/P14))
(net 19 /gpio/P14))
(pad D10 smd circle (at -0.4 -5.2) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad D11 smd circle (at 0.4 -5.2) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad D12 smd circle (at 1.2 -5.2) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
@ -1204,13 +865,13 @@
(pad E4 smd circle (at -5.2 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad E5 smd circle (at -4.4 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad E6 smd circle (at -3.6 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 33 /gpio/P28))
(net 31 /gpio/P28))
(pad E7 smd circle (at -2.8 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 31 /gpio/P26))
(net 29 /gpio/P26))
(pad E8 smd circle (at -2 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 27 /gpio/P22))
(net 25 /gpio/P22))
(pad E9 smd circle (at -1.2 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask)
(net 20 /gpio/P13))
(net 18 /gpio/P13))
(pad E10 smd circle (at -0.4 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad E11 smd circle (at 0.4 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
(pad E12 smd circle (at 1.2 -4.4) (size 0.4 0.4) (layers F.Cu F.Paste F.Mask))
@ -1668,9 +1329,9 @@
(fp_line (start 2.54 -1.143) (end 0.889 -1.143) (layer B.SilkS) (width 0.15))
(fp_line (start -0.889 1.143) (end -2.54 1.143) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.651 0 90) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 11 "Net-(D4-Pad1)"))
(net 9 "Net-(D4-Pad1)"))
(pad 2 smd rect (at 1.651 0 90) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 9 +5V))
(net 7 +5V))
(model SMD_Packages.3dshapes/SMD-1206_Pol.wrl
(at (xyz 0 0 0))
(scale (xyz 0.17 0.16 0.16))
@ -1698,9 +1359,9 @@
(fp_line (start 2.54 -1.143) (end 0.889 -1.143) (layer B.SilkS) (width 0.15))
(fp_line (start -0.889 1.143) (end -2.54 1.143) (layer B.SilkS) (width 0.15))
(pad 1 smd rect (at -1.651 0 270) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 9 +5V))
(net 7 +5V))
(pad 2 smd rect (at 1.651 0 270) (size 1.524 2.032) (layers B.Cu B.Paste B.Mask)
(net 10 /USB5V))
(net 8 /USB5V))
(model SMD_Packages.3dshapes/SMD-1206_Pol.wrl
(at (xyz 0 0 0))
(scale (xyz 0.17 0.16 0.16))
@ -1725,21 +1386,18 @@
(fp_line (start -7 15.2) (end -7 0) (layer F.SilkS) (width 0.01016))
(fp_line (start -7 0) (end 7 0) (layer F.SilkS) (width 0.01016))
(pad 1 smd rect (at 1.94 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 36 /SD_3))
(net 34 /SD_3))
(pad 2 smd rect (at 0.84 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 37 /MTMS))
(pad 3 smd rect (at -0.26 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 128 "Net-(SD1-Pad3)"))
(pad 4 smd rect (at -1.36 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 129 "Net-(SD1-Pad4)"))
(net 35 /MTMS))
(pad 3 smd rect (at -0.26 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at -1.36 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at -2.46 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 38 /MTCK))
(pad 6 smd rect (at -3.56 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 130 "Net-(SD1-Pad6)"))
(net 36 /MTCK))
(pad 6 smd rect (at -3.56 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at -4.66 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 39 /MTDO))
(net 37 /MTDO))
(pad 8 smd rect (at -5.76 11 90) (size 0.7 1.8) (layers F.Cu F.Paste F.Mask)
(net 40 /MTDI))
(net 38 /MTDI))
(pad S smd rect (at -5.05 0.4 90) (size 1.6 1.4) (layers F.Cu F.Paste F.Mask))
(pad S smd rect (at 0.75 0.4 90) (size 1.8 1.4) (layers F.Cu F.Paste F.Mask))
(pad G smd rect (at -7.45 13.55 90) (size 1.4 1.9) (layers F.Cu F.Paste F.Mask))
@ -1747,7 +1405,7 @@
)
(module micro-hdmi-d:MICRO-HDMI-D (layer F.Cu) (tedit 53F70906) (tstamp 56A965BA)
(at 133.47 57.61 180)
(at 130.93 57.61 180)
(path /56ACD5D4)
(attr smd)
(fp_text reference GPDI1 (at -0.025 -3.125 180) (layer F.SilkS)
@ -1763,48 +1421,29 @@
(fp_line (start -3.3 -6.8) (end 3.3 -6.8) (layer F.SilkS) (width 0.001))
(fp_line (start 3.3 -6.8) (end 3.3 0) (layer F.SilkS) (width 0.001))
(fp_line (start 3.3 0) (end -3.3 0) (layer F.SilkS) (width 0.001))
(pad 1 smd rect (at 1.8 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 45 "Net-(GPDI1-Pad1)"))
(pad 3 smd rect (at 1.4 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 46 "Net-(GPDI1-Pad3)"))
(pad 5 smd rect (at 1 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 47 "Net-(GPDI1-Pad5)"))
(pad 7 smd rect (at 0.6 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 48 "Net-(GPDI1-Pad7)"))
(pad 9 smd rect (at 0.2 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 49 "Net-(GPDI1-Pad9)"))
(pad 11 smd rect (at -0.2 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 50 "Net-(GPDI1-Pad11)"))
(pad 13 smd rect (at -0.6 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 51 "Net-(GPDI1-Pad13)"))
(pad 15 smd rect (at -1 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 52 "Net-(GPDI1-Pad15)"))
(pad 17 smd rect (at -1.4 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 53 "Net-(GPDI1-Pad17)"))
(pad 19 smd rect (at -1.8 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask)
(net 54 "Net-(GPDI1-Pad19)"))
(pad 2 smd rect (at 1.6 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 55 "Net-(GPDI1-Pad2)"))
(pad 4 smd rect (at 1.2 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 56 "Net-(GPDI1-Pad4)"))
(pad 6 smd rect (at 0.8 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 57 "Net-(GPDI1-Pad6)"))
(pad 8 smd rect (at 0.4 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 58 "Net-(GPDI1-Pad8)"))
(pad 10 smd rect (at 0 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 59 "Net-(GPDI1-Pad10)"))
(pad 12 smd rect (at -0.4 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 60 "Net-(GPDI1-Pad12)"))
(pad 14 smd rect (at -0.8 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 61 "Net-(GPDI1-Pad14)"))
(pad 16 smd rect (at -1.2 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 62 "Net-(GPDI1-Pad16)"))
(pad 18 smd rect (at -1.6 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask)
(net 63 "Net-(GPDI1-Pad18)"))
(pad 1 smd rect (at 1.8 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 1.4 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 7 smd rect (at 0.6 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 9 smd rect (at 0.2 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 11 smd rect (at -0.2 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 13 smd rect (at -0.6 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 15 smd rect (at -1 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 17 smd rect (at -1.4 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 19 smd rect (at -1.8 -6.475 180) (size 0.23 0.85) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 1.6 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 1.2 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad 6 smd rect (at 0.8 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad 8 smd rect (at 0.4 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad 10 smd rect (at 0 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad 12 smd rect (at -0.4 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad 14 smd rect (at -0.8 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad 16 smd rect (at -1.2 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad 18 smd rect (at -1.6 -5.25 180) (size 0.23 1) (layers F.Cu F.Paste F.Mask))
(pad SHD smd rect (at 3.45 -5.06 180) (size 2.4 1.38) (layers F.Cu F.Paste F.Mask)
(net 43 "Net-(GPDI1-PadSHD)"))
(net 41 "Net-(GPDI1-PadSHD)"))
(pad SHD smd rect (at -3.45 -5.06 180) (size 2.4 1.38) (layers F.Cu F.Paste F.Mask)
(net 43 "Net-(GPDI1-PadSHD)"))
(net 41 "Net-(GPDI1-PadSHD)"))
(pad "" thru_hole oval (at -3.1 -1.7 180) (size 1.5 2.55) (drill oval 0.65 1.7) (layers *.Cu *.Mask F.SilkS))
(pad "" thru_hole oval (at 3.1 -1.7 180) (size 1.5 2.55) (drill oval 0.65 1.7) (layers *.Cu *.Mask F.SilkS))
)
@ -1834,23 +1473,19 @@
(fp_line (start 0 -15.6) (end 0 8.4) (layer B.Fab) (width 0.1524))
(fp_line (start 0 8.4) (end 16 8.4) (layer B.Fab) (width 0.1524))
(pad 9 smd oval (at 2.99 -15.75 180) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 39 /MTDO))
(net 37 /MTDO))
(pad 10 smd oval (at 4.99 -15.75 180) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 40 /MTDI))
(net 38 /MTDI))
(pad 11 smd oval (at 6.99 -15.75 180) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 36 /SD_3))
(net 34 /SD_3))
(pad 12 smd oval (at 8.99 -15.75 180) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 37 /MTMS))
(net 35 /MTMS))
(pad 13 smd oval (at 10.99 -15.75 180) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 38 /MTCK))
(pad 14 smd oval (at 12.99 -15.75 180) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 131 /SD_2))
(pad 1 smd rect (at 0 0 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 132 "Net-(U2-Pad1)"))
(pad 2 smd oval (at 0 -2 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 133 "Net-(U2-Pad2)"))
(pad 3 smd oval (at 0 -4 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 134 "Net-(U2-Pad3)"))
(net 36 /MTCK))
(pad 14 smd oval (at 12.99 -15.75 180) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 1 smd rect (at 0 0 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 2 smd oval (at 0 -2 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 3 smd oval (at 0 -4 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 4 smd oval (at 0 -6 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 3 /TDI))
(pad 5 smd oval (at 0 -8 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
@ -1859,24 +1494,16 @@
(net 4 /TCK))
(pad 7 smd oval (at 0 -12 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 5 /TMS))
(pad 8 smd oval (at 0 -14 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 135 "Net-(U2-Pad8)"))
(pad 8 smd oval (at 0 -14 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 15 smd oval (at 16 -14 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 1 GND))
(pad 16 smd oval (at 16 -12 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 136 "Net-(U2-Pad16)"))
(pad 17 smd oval (at 16 -10 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 137 "Net-(U2-Pad17)"))
(pad 18 smd oval (at 16 -8 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 138 "Net-(U2-Pad18)"))
(pad 19 smd oval (at 16 -6 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 139 "Net-(U2-Pad19)"))
(pad 20 smd oval (at 16 -4 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 140 "Net-(U2-Pad20)"))
(pad 21 smd oval (at 16 -2 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 141 "Net-(U2-Pad21)"))
(pad 22 smd oval (at 16 0 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask)
(net 142 "Net-(U2-Pad22)"))
(pad 16 smd oval (at 16 -12 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 17 smd oval (at 16 -10 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 18 smd oval (at 16 -8 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 19 smd oval (at 16 -6 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 20 smd oval (at 16 -4 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 21 smd oval (at 16 -2 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(pad 22 smd oval (at 16 0 270) (size 2.4 1.1) (layers B.Cu B.Paste B.Mask))
(model ${ESPLIB}/ESP8266.3dshapes/ESP-12.wrl
(at (xyz 0.04 0 0))
(scale (xyz 0.3937 0.3937 0.3937))
@ -2439,133 +2066,99 @@
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 12 /gpio/IN5V))
(net 10 /gpio/IN5V))
(pad 2 thru_hole oval (at -2.54 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 13 /gpio/OUT5V))
(net 11 /gpio/OUT5V))
(pad 3 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 GND))
(pad 4 thru_hole oval (at -2.54 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 GND))
(pad 5 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 14 /gpio/P5))
(net 12 /gpio/P5))
(pad 6 thru_hole oval (at -2.54 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 15 /gpio/P6))
(net 13 /gpio/P6))
(pad 7 thru_hole oval (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 /gpio/P7))
(net 14 /gpio/P7))
(pad 8 thru_hole oval (at -2.54 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /gpio/P8))
(net 15 /gpio/P8))
(pad 9 thru_hole oval (at 0 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 41 /gpio/P9))
(net 39 /gpio/P9))
(pad 10 thru_hole oval (at -2.54 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 42 /gpio/P10))
(net 40 /gpio/P10))
(pad 11 thru_hole oval (at 0 12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 18 /gpio/P11))
(net 16 /gpio/P11))
(pad 12 thru_hole oval (at -2.54 12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 19 /gpio/P12))
(net 17 /gpio/P12))
(pad 13 thru_hole oval (at 0 15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 20 /gpio/P13))
(net 18 /gpio/P13))
(pad 14 thru_hole oval (at -2.54 15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 21 /gpio/P14))
(pad 15 thru_hole oval (at 0 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 64 /gpio/P15))
(pad 16 thru_hole oval (at -2.54 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 65 /gpio/P16))
(net 19 /gpio/P14))
(pad 15 thru_hole oval (at 0 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 16 thru_hole oval (at -2.54 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 17 thru_hole oval (at 0 20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 22 /gpio/P17))
(net 20 /gpio/P17))
(pad 18 thru_hole oval (at -2.54 20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 23 /gpio/P18))
(net 21 /gpio/P18))
(pad 19 thru_hole oval (at 0 22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 24 /gpio/P19))
(net 22 /gpio/P19))
(pad 20 thru_hole oval (at -2.54 22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 25 /gpio/P20))
(net 23 /gpio/P20))
(pad 21 thru_hole oval (at 0 25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 26 /gpio/P21))
(net 24 /gpio/P21))
(pad 22 thru_hole oval (at -2.54 25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 27 /gpio/P22))
(net 25 /gpio/P22))
(pad 23 thru_hole oval (at 0 27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 28 /gpio/P23))
(net 26 /gpio/P23))
(pad 24 thru_hole oval (at -2.54 27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 29 /gpio/P24))
(net 27 /gpio/P24))
(pad 25 thru_hole oval (at 0 30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 30 /gpio/P25))
(net 28 /gpio/P25))
(pad 26 thru_hole oval (at -2.54 30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 31 /gpio/P26))
(net 29 /gpio/P26))
(pad 27 thru_hole oval (at 0 33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 32 /gpio/P27))
(net 30 /gpio/P27))
(pad 28 thru_hole oval (at -2.54 33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 33 /gpio/P28))
(net 31 /gpio/P28))
(pad 29 thru_hole oval (at 0 35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 34 /gpio/P29))
(net 32 /gpio/P29))
(pad 30 thru_hole oval (at -2.54 35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 35 /gpio/P30))
(pad 31 thru_hole oval (at 0 38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 66 /gpio/P31))
(pad 32 thru_hole oval (at -2.54 38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 67 /gpio/P32))
(pad 33 thru_hole oval (at 0 40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 68 /gpio/P33))
(pad 34 thru_hole oval (at -2.54 40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 69 /gpio/P34))
(pad 35 thru_hole oval (at 0 43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 70 /gpio/P35))
(pad 36 thru_hole oval (at -2.54 43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 71 /gpio/P36))
(pad 37 thru_hole oval (at 0 45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 72 /gpio/P37))
(pad 38 thru_hole oval (at -2.54 45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 73 /gpio/P38))
(net 33 /gpio/P30))
(pad 31 thru_hole oval (at 0 38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 32 thru_hole oval (at -2.54 38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 33 thru_hole oval (at 0 40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 34 thru_hole oval (at -2.54 40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 35 thru_hole oval (at 0 43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 36 thru_hole oval (at -2.54 43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 37 thru_hole oval (at 0 45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 38 thru_hole oval (at -2.54 45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 39 thru_hole oval (at 0 48.26 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 VCC))
(pad 40 thru_hole oval (at -2.54 48.26 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 VCC))
(pad 41 thru_hole oval (at 0 50.8 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 74 "Net-(J1-Pad41)"))
(pad 42 thru_hole oval (at -2.54 50.8 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 75 "Net-(J1-Pad42)"))
(pad 43 thru_hole oval (at 0 53.34 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 76 "Net-(J1-Pad43)"))
(pad 44 thru_hole oval (at -2.54 53.34 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 77 "Net-(J1-Pad44)"))
(pad 45 thru_hole oval (at 0 55.88 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 78 "Net-(J1-Pad45)"))
(pad 46 thru_hole oval (at -2.54 55.88 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 79 "Net-(J1-Pad46)"))
(pad 47 thru_hole oval (at 0 58.42 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 80 "Net-(J1-Pad47)"))
(pad 48 thru_hole oval (at -2.54 58.42 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 81 "Net-(J1-Pad48)"))
(pad 49 thru_hole oval (at 0 60.96 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 82 "Net-(J1-Pad49)"))
(pad 50 thru_hole oval (at -2.54 60.96 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 83 "Net-(J1-Pad50)"))
(pad 51 thru_hole oval (at 0 63.5 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 84 "Net-(J1-Pad51)"))
(pad 52 thru_hole oval (at -2.54 63.5 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 85 "Net-(J1-Pad52)"))
(pad 53 thru_hole oval (at 0 66.04 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 86 "Net-(J1-Pad53)"))
(pad 54 thru_hole oval (at -2.54 66.04 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 87 "Net-(J1-Pad54)"))
(pad 55 thru_hole oval (at 0 68.58 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 88 "Net-(J1-Pad55)"))
(pad 56 thru_hole oval (at -2.54 68.58 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 89 "Net-(J1-Pad56)"))
(pad 57 thru_hole oval (at 0 71.12 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 90 "Net-(J1-Pad57)"))
(pad 58 thru_hole oval (at -2.54 71.12 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 91 "Net-(J1-Pad58)"))
(pad 59 thru_hole oval (at 0 73.66 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 92 "Net-(J1-Pad59)"))
(pad 60 thru_hole oval (at -2.54 73.66 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 93 "Net-(J1-Pad60)"))
(pad 61 thru_hole oval (at 0 76.2 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 94 "Net-(J1-Pad61)"))
(pad 62 thru_hole oval (at -2.54 76.2 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 95 "Net-(J1-Pad62)"))
(pad 63 thru_hole oval (at 0 78.74 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 96 "Net-(J1-Pad63)"))
(pad 64 thru_hole oval (at -2.54 78.74 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 97 "Net-(J1-Pad64)"))
(pad 41 thru_hole oval (at 0 50.8 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 42 thru_hole oval (at -2.54 50.8 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 43 thru_hole oval (at 0 53.34 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 44 thru_hole oval (at -2.54 53.34 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 45 thru_hole oval (at 0 55.88 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 46 thru_hole oval (at -2.54 55.88 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 47 thru_hole oval (at 0 58.42 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 48 thru_hole oval (at -2.54 58.42 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 49 thru_hole oval (at 0 60.96 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 50 thru_hole oval (at -2.54 60.96 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 51 thru_hole oval (at 0 63.5 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 52 thru_hole oval (at -2.54 63.5 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 53 thru_hole oval (at 0 66.04 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 54 thru_hole oval (at -2.54 66.04 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 55 thru_hole oval (at 0 68.58 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 56 thru_hole oval (at -2.54 68.58 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 57 thru_hole oval (at 0 71.12 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 58 thru_hole oval (at -2.54 71.12 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 59 thru_hole oval (at 0 73.66 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 60 thru_hole oval (at -2.54 73.66 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 61 thru_hole oval (at 0 76.2 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 62 thru_hole oval (at -2.54 76.2 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 63 thru_hole oval (at 0 78.74 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 64 thru_hole oval (at -2.54 78.74 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Socket_Strips.3dshapes/Socket_Strip_Angled_2x32_Pitch2.54mm.wrl
(at (xyz -0.05 -1.55 0))
(scale (xyz 1 1 1))
@ -2573,6 +2166,82 @@
)
)
(module usb_otg:USB_Micro-B (layer F.Cu) (tedit 5543E447) (tstamp 58D43115)
(at 149.98 61.42 180)
(descr "Micro USB Type B Receptacle")
(tags "USB USB_B USB_micro USB_OTG")
(path /58D432CE)
(attr smd)
(fp_text reference US1 (at 0 -3.24 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value USB_FTDI (at 0 5.01 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -4.6 -2.59) (end 4.6 -2.59) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 -2.59) (end 4.6 4.26) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 4.26) (end -4.6 4.26) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.6 4.26) (end -4.6 -2.59) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.35 4.03) (end 4.35 4.03) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 -2.38) (end 4.35 -2.38) (layer F.SilkS) (width 0.12))
(fp_line (start 4.35 -2.38) (end 4.35 4.03) (layer F.SilkS) (width 0.12))
(fp_line (start 4.35 2.8) (end -4.35 2.8) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 4.03) (end -4.35 -2.38) (layer F.SilkS) (width 0.12))
(pad 1 smd rect (at -1.3 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 8 /USB5V))
(pad 2 smd rect (at -0.65 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 0 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 0.65 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1.3 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(pad 6 thru_hole oval (at -2.5 -1.35 270) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask)
(net 42 "Net-(US1-Pad6)"))
(pad 6 thru_hole oval (at 2.5 -1.35 270) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask)
(net 42 "Net-(US1-Pad6)"))
(pad 6 thru_hole oval (at -3.5 1.35 270) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask)
(net 42 "Net-(US1-Pad6)"))
(pad 6 thru_hole oval (at 3.5 1.35 270) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask)
(net 42 "Net-(US1-Pad6)"))
)
(module usb_otg:USB_Micro-B (layer F.Cu) (tedit 5543E447) (tstamp 58D43122)
(at 169.03 61.42 180)
(descr "Micro USB Type B Receptacle")
(tags "USB USB_B USB_micro USB_OTG")
(path /58D4378B)
(attr smd)
(fp_text reference US2 (at 0 -3.24 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value USB_FPGA (at 0 5.01 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -4.6 -2.59) (end 4.6 -2.59) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 -2.59) (end 4.6 4.26) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.6 4.26) (end -4.6 4.26) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.6 4.26) (end -4.6 -2.59) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.35 4.03) (end 4.35 4.03) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 -2.38) (end 4.35 -2.38) (layer F.SilkS) (width 0.12))
(fp_line (start 4.35 -2.38) (end 4.35 4.03) (layer F.SilkS) (width 0.12))
(fp_line (start 4.35 2.8) (end -4.35 2.8) (layer F.SilkS) (width 0.12))
(fp_line (start -4.35 4.03) (end -4.35 -2.38) (layer F.SilkS) (width 0.12))
(pad 1 smd rect (at -1.3 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(D4-Pad1)"))
(pad 2 smd rect (at -0.65 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 0 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 4 smd rect (at 0.65 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask))
(pad 5 smd rect (at 1.3 -1.35 270) (size 1.35 0.4) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(pad 6 thru_hole oval (at -2.5 -1.35 270) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask)
(net 43 "Net-(US2-Pad6)"))
(pad 6 thru_hole oval (at 2.5 -1.35 270) (size 0.95 1.25) (drill oval 0.55 0.85) (layers *.Cu *.Mask)
(net 43 "Net-(US2-Pad6)"))
(pad 6 thru_hole oval (at -3.5 1.35 270) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask)
(net 43 "Net-(US2-Pad6)"))
(pad 6 thru_hole oval (at 3.5 1.35 270) (size 1.55 1) (drill oval 1.15 0.5) (layers *.Cu *.Mask)
(net 43 "Net-(US2-Pad6)"))
)
(dimension 53.34 (width 0.3) (layer Eco2.User)
(gr_text "53,340 mm" (at 71.16 83.01 90) (layer Eco2.User)
(effects (font (size 1.5 1.5) (thickness 0.3)))

@ -3,7 +3,7 @@
(general
(links 198)
(no_connects 198)
(area 89.631999 59.491999 182.388001 109.068001)
(area 64.660001 38.4 193.621334 117.925001)
(thickness 1.6)
(drawings 20)
(tracks 0)
@ -422,7 +422,7 @@
)
(module Connectors:USB_Micro-B (layer F.Cu) (tedit 5543E447) (tstamp 56A9630A)
(at 170.244 62.516 180)
(at 162.68 61.42 180)
(descr "Micro USB Type B Receptacle")
(tags "USB USB_B USB_micro USB_OTG")
(path /56ACC213)
@ -463,7 +463,7 @@
)
(module Connect:USB_Micro-B (layer F.Cu) (tedit 5543E447) (tstamp 56A96317)
(at 152.52 62.30346 180)
(at 148.6691 61.42 180)
(descr "Micro USB Type B Receptacle")
(tags "USB USB_B USB_micro USB_OTG")
(path /56ACC38E)
@ -1747,7 +1747,7 @@
)
(module micro-hdmi-d:MICRO-HDMI-D (layer F.Cu) (tedit 53F70906) (tstamp 56A965BA)
(at 133.47 58.88 180)
(at 133.47 57.61 180)
(path /56ACD5D4)
(attr smd)
(fp_text reference GPDI1 (at -0.025 -3.125 180) (layer F.SilkS)

@ -1,7 +1,7 @@
(export (version D)
(design
(source /home/davor/tmp/ulx3s/ulx3s.sch)
(date "Čet 23 Ožu 2017 20:34:40")
(date "Čet 23 Ožu 2017 21:30:50")
(tool "Eeschema 4.0.5+dfsg1-4")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -38,18 +38,6 @@
(libsource (lib conn) (part SD_Card))
(sheetpath (names /) (tstamps /))
(tstamp 56ACBF19))
(comp (ref P1)
(value USB_FTDI)
(footprint Connect:USB_Micro-B)
(libsource (lib ulx3s-rescue) (part USB_OTG-RESCUE-ulx3s))
(sheetpath (names /) (tstamps /))
(tstamp 56ACC213))
(comp (ref P2)
(value USB_FPGA)
(footprint Connect:USB_Micro-B)
(libsource (lib ulx3s-rescue) (part USB_OTG-RESCUE-ulx3s))
(sheetpath (names /) (tstamps /))
(tstamp 56ACC38E))
(comp (ref GPDI1)
(value MICRO-GPDI-D)
(footprint micro-hdmi-d:MICRO-HDMI-D)
@ -85,6 +73,18 @@
(libsource (lib conn) (part CONN_02X06))
(sheetpath (names /) (tstamps /))
(tstamp 58D3C869))
(comp (ref US1)
(value USB_FTDI)
(footprint usb_otg:USB_Micro-B)
(libsource (lib conn) (part USB_OTG))
(sheetpath (names /) (tstamps /))
(tstamp 58D432CE))
(comp (ref US2)
(value USB_FPGA)
(footprint usb_otg:USB_Micro-B)
(libsource (lib conn) (part USB_OTG))
(sheetpath (names /) (tstamps /))
(tstamp 58D4378B))
(comp (ref D1)
(value 2A)
(footprint SMD_Packages:SMD-1206_Pol)
@ -533,316 +533,273 @@
(pin (num 11) (name WRITE_PROTECT) (type input))
(pin (num 12) (name SHELL1) (type input))
(pin (num 13) (name SHELL2) (type input))))
(libpart (lib ulx3s-rescue) (part USB_OTG-RESCUE-ulx3s)
(libpart (lib conn) (part USB_OTG)
(description "USB micro/mini connector")
(footprints
(fp USB*))
(fields
(field (name Reference) P)
(field (name Value) USB_OTG-RESCUE-ulx3s))
(field (name Value) USB_OTG))
(pins
(pin (num 1) (name VCC) (type power_out))
(pin (num 1) (name VBUS) (type power_out))
(pin (num 2) (name D-) (type passive))
(pin (num 3) (name D+) (type passive))
(pin (num 4) (name ID) (type power_in))
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name shield) (type passive)))))
(libraries
(library (logical ulx3s-rescue)
(uri ulx3s-rescue.lib))
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical micro-hdmi-d)
(uri footprints/micro-hdmi-d/micro-hdmi-d.lib))
(library (logical ESP8266)
(uri footprints/esp8266/ESP8266.lib))
(library (logical conn)
(uri /usr/share/kicad/library/conn.lib))
(library (logical lfe5bg381)
(uri footprints/lattice/lfe5bg381.lib))
(library (logical device)
(uri /usr/share/kicad/library/device.lib)))
(library (logical ESP8266)
(uri footprints/esp8266/ESP8266.lib)))
(nets
(net (code 1) (name "Net-(D4-Pad1)")
(node (ref P2) (pin 1))
(node (ref US2) (pin 1))
(node (ref D4) (pin 1)))
(net (code 2) (name +5V)
(node (ref D1) (pin 1))
(node (ref D3) (pin 1))
(node (ref D1) (pin 1))
(node (ref D4) (pin 2)))
(net (code 3) (name /USB5V)
(node (ref P1) (pin 1))
(node (ref D3) (pin 2)))
(net (code 4) (name "Net-(GPDI1-Pad19)")
(node (ref GPDI1) (pin 19)))
(net (code 5) (name "Net-(GPDI1-Pad11)")
(node (ref GPDI1) (pin 11)))
(net (code 6) (name "Net-(GPDI1-Pad12)")
(node (ref GPDI1) (pin 12)))
(net (code 7) (name "Net-(GPDI1-Pad13)")
(node (ref GPDI1) (pin 13)))
(net (code 8) (name "Net-(GPDI1-Pad14)")
(node (ref GPDI1) (pin 14)))
(net (code 9) (name "Net-(GPDI1-Pad15)")
(node (ref GPDI1) (pin 15)))
(net (code 10) (name "Net-(GPDI1-Pad16)")
(node (ref GPDI1) (pin 16)))
(net (code 11) (name "Net-(GPDI1-Pad17)")
(node (ref GPDI1) (pin 17)))
(net (code 12) (name "Net-(GPDI1-Pad18)")
(node (ref GPDI1) (pin 18)))
(net (code 13) (name "Net-(GPDI1-PadSHD)")
(node (ref GPDI1) (pin SHD)))
(net (code 14) (name "Net-(AUDIO1-Pad1)")
(node (ref AUDIO1) (pin 1)))
(net (code 15) (name "Net-(AUDIO1-Pad2)")
(node (ref AUDIO1) (pin 2)))
(net (code 16) (name "Net-(AUDIO1-Pad3)")
(node (ref AUDIO1) (pin 3)))
(net (code 17) (name "Net-(AUDIO1-Pad4)")
(node (ref AUDIO1) (pin 4)))
(net (code 18) (name "Net-(AUDIO1-Pad5)")
(node (ref AUDIO1) (pin 5)))
(net (code 19) (name "Net-(AUDIO1-Pad6)")
(node (ref D3) (pin 2))
(node (ref US1) (pin 1)))
(net (code 4) (name "Net-(AUDIO1-Pad6)")
(node (ref AUDIO1) (pin 6)))
(net (code 20) (name "Net-(GPDI1-Pad10)")
(node (ref GPDI1) (pin 10)))
(net (code 21) (name /TDO)
(node (ref U2) (pin 5))
(node (ref U1) (pin V4)))
(net (code 22) (name /TDI)
(node (ref U1) (pin R5))
(node (ref U2) (pin 4)))
(net (code 23) (name /TCK)
(node (ref U2) (pin 6))
(node (ref U1) (pin T5)))
(net (code 24) (name /TMS)
(net (code 5) (name /TCK)
(node (ref U1) (pin T5))
(node (ref U2) (pin 6)))
(net (code 6) (name /TDO)
(node (ref U1) (pin V4))
(node (ref U2) (pin 5)))
(net (code 7) (name /TDI)
(node (ref U2) (pin 4))
(node (ref U1) (pin R5)))
(net (code 8) (name /TMS)
(node (ref U2) (pin 7))
(node (ref U1) (pin U5)))
(net (code 25) (name GND)
(net (code 9) (name GND)
(node (ref U2) (pin 15))
(node (ref U1) (pin M12))
(node (ref U1) (pin L12))
(node (ref U1) (pin B14))
(node (ref U1) (pin V13))
(node (ref U1) (pin U13))
(node (ref U1) (pin P13))
(node (ref U1) (pin G13))
(node (ref U1) (pin F13))
(node (ref U1) (pin Y12))
(node (ref U1) (pin W12))
(node (ref J1) (pin 3))
(node (ref J1) (pin 4))
(node (ref J2) (pin 3))
(node (ref J2) (pin 4))
(node (ref J2) (pin 21))
(node (ref J2) (pin 22))
(node (ref U1) (pin Y7))
(node (ref U1) (pin W6))
(node (ref U1) (pin V6))
(node (ref U1) (pin U6))
(node (ref U1) (pin N6))
(node (ref U1) (pin K6))
(node (ref U1) (pin J2))
(node (ref U1) (pin Y5))
(node (ref U1) (pin M2))
(node (ref U1) (pin K12))
(node (ref U1) (pin G6))
(node (ref U1) (pin T6))
(node (ref U1) (pin G14))
(node (ref U1) (pin G8))
(node (ref U1) (pin F8))
(node (ref U1) (pin Y6))
(node (ref U1) (pin W7))
(node (ref U1) (pin U7))
(node (ref U1) (pin T7))
(node (ref U1) (pin P7))
(node (ref U1) (pin V9))
(node (ref U1) (pin N7))
(node (ref U1) (pin G10))
(node (ref U1) (pin J10))
(node (ref U1) (pin K10))
(node (ref U1) (pin L10))
(node (ref U1) (pin M10))
(node (ref U1) (pin U9))
(node (ref U1) (pin T10))
(node (ref U1) (pin J14))
(node (ref U1) (pin H19))
(node (ref U1) (pin V15))
(node (ref U1) (pin W15))
(node (ref U1) (pin M16))
(node (ref U1) (pin V16))
(node (ref U1) (pin W16))
(node (ref U1) (pin G4))
(node (ref U1) (pin G17))
(node (ref U1) (pin C19))
(node (ref U1) (pin N15))
(node (ref U1) (pin R19))
(node (ref U1) (pin V19))
(node (ref U1) (pin W19))
(node (ref U1) (pin V14))
(node (ref U1) (pin J12))
(node (ref U1) (pin K14))
(node (ref U1) (pin M14))
(node (ref U1) (pin N14))
(node (ref U1) (pin P14))
(node (ref U1) (pin U14))
(node (ref U1) (pin G15))
(node (ref U1) (pin K15))
(node (ref U1) (pin G7))
(node (ref U1) (pin F7))
(node (ref U1) (pin B7))
(node (ref U1) (pin J7))
(node (ref U1) (pin G13))
(node (ref U1) (pin F13))
(node (ref U1) (pin Y12))
(node (ref U1) (pin W12))
(node (ref U1) (pin V12))
(node (ref U1) (pin U12))
(node (ref U1) (pin Y11))
(node (ref U1) (pin V11))
(node (ref U1) (pin G12))
(node (ref U1) (pin G4))
(node (ref US1) (pin 5))
(node (ref U1) (pin D4))
(node (ref U1) (pin P8))
(node (ref U1) (pin J2))
(node (ref US2) (pin 5))
(node (ref U1) (pin N15))
(node (ref U1) (pin Y11))
(node (ref U1) (pin J11))
(node (ref U1) (pin K11))
(node (ref U1) (pin L11))
(node (ref U1) (pin J7))
(node (ref U1) (pin G8))
(node (ref U1) (pin F8))
(node (ref U1) (pin Y7))
(node (ref U1) (pin W7))
(node (ref U1) (pin V7))
(node (ref U1) (pin T7))
(node (ref U1) (pin P7))
(node (ref U1) (pin N7))
(node (ref U1) (pin M7))
(node (ref U1) (pin K7))
(node (ref U1) (pin U12))
(node (ref U1) (pin T9))
(node (ref U1) (pin U7))
(node (ref U1) (pin M9))
(node (ref U1) (pin L9))
(node (ref U1) (pin K9))
(node (ref U1) (pin T9))
(node (ref U1) (pin U11))
(node (ref U1) (pin P11))
(node (ref U1) (pin V5))
(node (ref U1) (pin M11))
(node (ref U1) (pin L11))
(node (ref U1) (pin K11))
(node (ref U1) (pin V7))
(node (ref U1) (pin P12))
(node (ref U1) (pin Y5))
(node (ref U1) (pin U10))
(node (ref U1) (pin P13))
(node (ref U1) (pin F14))
(node (ref U1) (pin U13))
(node (ref U1) (pin V13))
(node (ref U1) (pin B14))
(node (ref U1) (pin V10))
(node (ref U1) (pin J9))
(node (ref U1) (pin G9))
(node (ref U1) (pin Y8))
(node (ref U1) (pin V8))
(node (ref U1) (pin G9))
(node (ref U1) (pin J11))
(node (ref U1) (pin U8))
(node (ref U1) (pin T8))
(node (ref U1) (pin P8))
(node (ref U1) (pin M7))
(node (ref U1) (pin J9))
(node (ref U1) (pin N6))
(node (ref U1) (pin V12))
(node (ref U1) (pin K10))
(node (ref U1) (pin M12))
(node (ref U1) (pin W6))
(node (ref U1) (pin V14))
(node (ref U1) (pin M10))
(node (ref U1) (pin U9))
(node (ref U1) (pin M11))
(node (ref U1) (pin V9))
(node (ref U1) (pin F14))
(node (ref U1) (pin N14))
(node (ref U1) (pin V6))
(node (ref U1) (pin M14))
(node (ref U1) (pin G10))
(node (ref U1) (pin J10))
(node (ref U1) (pin P14))
(node (ref U1) (pin L10))
(node (ref U1) (pin P12))
(node (ref U1) (pin U6))
(node (ref U1) (pin T10))
(node (ref U1) (pin U10))
(node (ref U1) (pin V10))
(node (ref U1) (pin K14))
(node (ref U1) (pin J14))
(node (ref U1) (pin T6))
(node (ref U1) (pin G14))
(node (ref U1) (pin U14))
(node (ref U1) (pin G11))
(node (ref U1) (pin V20))
(node (ref U1) (pin G11)))
(net (code 26) (name "Net-(GPDI1-Pad1)")
(node (ref U1) (pin L12))
(node (ref U1) (pin G7))
(node (ref U1) (pin P11))
(node (ref U1) (pin U11))
(node (ref U1) (pin V11))
(node (ref U1) (pin K6))
(node (ref U1) (pin G6))
(node (ref U1) (pin V5))
(node (ref U1) (pin K15))
(node (ref U1) (pin G15))
(node (ref U1) (pin F7))
(node (ref U1) (pin B7))
(node (ref U1) (pin G12))
(node (ref U1) (pin Y6))
(node (ref U1) (pin J12))
(node (ref U1) (pin K12)))
(net (code 10) (name "Net-(SD1-Pad3)")
(node (ref SD1) (pin 3)))
(net (code 11) (name "Net-(SD1-Pad4)")
(node (ref SD1) (pin 4)))
(net (code 12) (name "Net-(GPDI1-Pad17)")
(node (ref GPDI1) (pin 17)))
(net (code 13) (name "Net-(GPDI1-Pad9)")
(node (ref GPDI1) (pin 9)))
(net (code 14) (name "Net-(GPDI1-Pad10)")
(node (ref GPDI1) (pin 10)))
(net (code 15) (name "Net-(GPDI1-Pad11)")
(node (ref GPDI1) (pin 11)))
(net (code 16) (name "Net-(GPDI1-Pad12)")
(node (ref GPDI1) (pin 12)))
(net (code 17) (name "Net-(GPDI1-Pad13)")
(node (ref GPDI1) (pin 13)))
(net (code 18) (name "Net-(GPDI1-Pad14)")
(node (ref GPDI1) (pin 14)))
(net (code 19) (name "Net-(GPDI1-Pad15)")
(node (ref GPDI1) (pin 15)))
(net (code 20) (name "Net-(GPDI1-Pad16)")
(node (ref GPDI1) (pin 16)))
(net (code 21) (name "Net-(GPDI1-Pad8)")
(node (ref GPDI1) (pin 8)))
(net (code 22) (name "Net-(GPDI1-Pad18)")
(node (ref GPDI1) (pin 18)))
(net (code 23) (name "Net-(GPDI1-Pad19)")
(node (ref GPDI1) (pin 19)))
(net (code 24) (name "Net-(GPDI1-PadSHD)")
(node (ref GPDI1) (pin SHD)))
(net (code 25) (name "Net-(AUDIO1-Pad1)")
(node (ref AUDIO1) (pin 1)))
(net (code 26) (name "Net-(AUDIO1-Pad2)")
(node (ref AUDIO1) (pin 2)))
(net (code 27) (name "Net-(AUDIO1-Pad3)")
(node (ref AUDIO1) (pin 3)))
(net (code 28) (name "Net-(AUDIO1-Pad4)")
(node (ref AUDIO1) (pin 4)))
(net (code 29) (name "Net-(AUDIO1-Pad5)")
(node (ref AUDIO1) (pin 5)))
(net (code 30) (name "Net-(SD1-Pad13)")
(node (ref SD1) (pin 13)))
(net (code 31) (name "Net-(SD1-Pad6)")
(node (ref SD1) (pin 6)))
(net (code 32) (name "Net-(SD1-Pad10)")
(node (ref SD1) (pin 10)))
(net (code 33) (name "Net-(SD1-Pad11)")
(node (ref SD1) (pin 11)))
(net (code 34) (name "Net-(SD1-Pad12)")
(node (ref SD1) (pin 12)))
(net (code 35) (name "Net-(GPDI1-Pad1)")
(node (ref GPDI1) (pin 1)))
(net (code 27) (name "Net-(P1-Pad5)")
(node (ref P1) (pin 5)))
(net (code 28) (name "Net-(P1-Pad6)")
(node (ref P1) (pin 6)))
(net (code 29) (name "Net-(P2-Pad2)")
(node (ref P2) (pin 2)))
(net (code 30) (name "Net-(P2-Pad3)")
(node (ref P2) (pin 3)))
(net (code 31) (name "Net-(P2-Pad4)")
(node (ref P2) (pin 4)))
(net (code 32) (name "Net-(P2-Pad5)")
(node (ref P2) (pin 5)))
(net (code 33) (name "Net-(P2-Pad6)")
(node (ref P2) (pin 6)))
(net (code 34) (name "Net-(P1-Pad4)")
(node (ref P1) (pin 4)))
(net (code 35) (name "Net-(GPDI1-Pad2)")
(net (code 36) (name "Net-(GPDI1-Pad2)")
(node (ref GPDI1) (pin 2)))
(net (code 36) (name "Net-(GPDI1-Pad3)")
(net (code 37) (name "Net-(GPDI1-Pad3)")
(node (ref GPDI1) (pin 3)))
(net (code 37) (name "Net-(GPDI1-Pad4)")
(net (code 38) (name "Net-(GPDI1-Pad4)")
(node (ref GPDI1) (pin 4)))
(net (code 38) (name "Net-(GPDI1-Pad5)")
(net (code 39) (name "Net-(GPDI1-Pad5)")
(node (ref GPDI1) (pin 5)))
(net (code 39) (name "Net-(GPDI1-Pad6)")
(net (code 40) (name "Net-(GPDI1-Pad6)")
(node (ref GPDI1) (pin 6)))
(net (code 40) (name "Net-(GPDI1-Pad7)")
(net (code 41) (name "Net-(GPDI1-Pad7)")
(node (ref GPDI1) (pin 7)))
(net (code 41) (name "Net-(GPDI1-Pad8)")
(node (ref GPDI1) (pin 8)))
(net (code 42) (name "Net-(GPDI1-Pad9)")
(node (ref GPDI1) (pin 9)))
(net (code 43) (name "Net-(SD1-Pad3)")
(node (ref SD1) (pin 3)))
(net (code 44) (name "Net-(SD1-Pad4)")
(node (ref SD1) (pin 4)))
(net (code 45) (name "Net-(SD1-Pad6)")
(node (ref SD1) (pin 6)))
(net (code 46) (name "Net-(SD1-Pad10)")
(node (ref SD1) (pin 10)))
(net (code 47) (name "Net-(SD1-Pad11)")
(node (ref SD1) (pin 11)))
(net (code 48) (name "Net-(SD1-Pad12)")
(node (ref SD1) (pin 12)))
(net (code 49) (name "Net-(SD1-Pad13)")
(node (ref SD1) (pin 13)))
(net (code 50) (name "Net-(P1-Pad2)")
(node (ref P1) (pin 2)))
(net (code 51) (name "Net-(P1-Pad3)")
(node (ref P1) (pin 3)))
(net (code 52) (name /MTDO)
(node (ref U2) (pin 9))
(node (ref SD1) (pin 7)))
(net (code 53) (name VCC)
(node (ref U1) (pin M8))
(node (ref U1) (pin H6))
(node (ref J1) (pin 40))
(node (ref U1) (pin L8))
(node (ref J2) (pin 20))
(node (ref U1) (pin K8))
(node (ref U1) (pin J8))
(node (ref J2) (pin 2))
(node (ref J2) (pin 1))
(node (ref J2) (pin 19))
(node (ref U1) (pin H8))
(node (ref U1) (pin H7))
(node (ref U1) (pin L7))
(node (ref J1) (pin 39))
(node (ref U1) (pin F6))
(node (ref U1) (pin P6))
(node (ref U1) (pin M6))
(node (ref U1) (pin L6))
(node (ref U1) (pin J6))
(node (ref U1) (pin M15))
(node (ref U1) (pin L15))
(node (ref U1) (pin J15))
(node (ref U1) (pin H15))
(node (ref U1) (pin J13))
(node (ref U1) (pin F15))
(node (ref U1) (pin N12))
(node (ref U1) (pin H11))
(node (ref U1) (pin N8))
(node (ref U1) (pin F9))
(node (ref U1) (pin H9))
(node (ref U1) (pin F11))
(node (ref U1) (pin P10))
(node (ref U1) (pin H10))
(node (ref U1) (pin F10))
(node (ref U1) (pin L14))
(node (ref U1) (pin N10))
(node (ref U1) (pin H12))
(node (ref U1) (pin N11))
(node (ref U1) (pin N9))
(node (ref U1) (pin F12))
(node (ref U1) (pin N13))
(node (ref U1) (pin M13))
(node (ref U1) (pin L13))
(node (ref U1) (pin P9))
(node (ref U1) (pin H14))
(node (ref U1) (pin P15))
(node (ref U1) (pin H13))
(node (ref U1) (pin K13)))
(net (code 54) (name "Net-(J3-Pad4)")
(node (ref J3) (pin 4)))
(net (code 55) (name /MTCK)
(net (code 42) (name /MTCK)
(node (ref U2) (pin 13))
(node (ref SD1) (pin 5)))
(net (code 56) (name /MTDI)
(node (ref U2) (pin 10))
(node (ref SD1) (pin 8)))
(net (code 43) (name /MTDI)
(node (ref SD1) (pin 8))
(node (ref U2) (pin 10)))
(net (code 44) (name /SD_3)
(node (ref SD1) (pin 1))
(node (ref U2) (pin 11)))
(net (code 45) (name /MTMS)
(node (ref SD1) (pin 2))
(node (ref U2) (pin 12)))
(net (code 46) (name /MTDO)
(node (ref SD1) (pin 7))
(node (ref U2) (pin 9)))
(net (code 47) (name /SD_2)
(node (ref SD1) (pin 9))
(node (ref U2) (pin 14)))
(net (code 48) (name "Net-(US2-Pad4)")
(node (ref US2) (pin 4)))
(net (code 49) (name "Net-(US1-Pad2)")
(node (ref US1) (pin 2)))
(net (code 50) (name "Net-(US1-Pad3)")
(node (ref US1) (pin 3)))
(net (code 51) (name "Net-(US1-Pad4)")
(node (ref US1) (pin 4)))
(net (code 52) (name "Net-(US1-Pad6)")
(node (ref US1) (pin 6)))
(net (code 53) (name "Net-(US2-Pad2)")
(node (ref US2) (pin 2)))
(net (code 54) (name "Net-(US2-Pad3)")
(node (ref US2) (pin 3)))
(net (code 55) (name "Net-(US2-Pad6)")
(node (ref US2) (pin 6)))
(net (code 56) (name "Net-(J3-Pad5)")
(node (ref J3) (pin 5)))
(net (code 57) (name "Net-(J3-Pad1)")
(node (ref J3) (pin 1)))
(net (code 58) (name "Net-(J3-Pad2)")
(node (ref J3) (pin 2)))
(net (code 59) (name "Net-(J3-Pad3)")
(node (ref J3) (pin 3)))
(net (code 60) (name "Net-(J3-Pad5)")
(node (ref J3) (pin 5)))
(net (code 60) (name "Net-(J3-Pad4)")
(node (ref J3) (pin 4)))
(net (code 61) (name "Net-(J3-Pad6)")
(node (ref J3) (pin 6)))
(net (code 62) (name "Net-(J3-Pad7)")
@ -857,226 +814,266 @@
(node (ref J3) (pin 11)))
(net (code 67) (name "Net-(J3-Pad12)")
(node (ref J3) (pin 12)))
(net (code 68) (name /SD_3)
(node (ref U2) (pin 11))
(node (ref SD1) (pin 1)))
(net (code 69) (name /MTMS)
(node (ref SD1) (pin 2))
(node (ref U2) (pin 12)))
(net (code 70) (name /SD_2)
(node (ref SD1) (pin 9))
(node (ref U2) (pin 14)))
(net (code 71) (name "Net-(U2-Pad19)")
(node (ref U2) (pin 19)))
(net (code 72) (name "Net-(U2-Pad22)")
(net (code 68) (name VCC)
(node (ref U1) (pin J6))
(node (ref U1) (pin H6))
(node (ref J2) (pin 19))
(node (ref U1) (pin N8))
(node (ref U1) (pin M8))
(node (ref J2) (pin 20))
(node (ref U1) (pin L8))
(node (ref U1) (pin F9))
(node (ref J2) (pin 2))
(node (ref J2) (pin 1))
(node (ref J1) (pin 39))
(node (ref J1) (pin 40))
(node (ref U1) (pin H7))
(node (ref U1) (pin H8))
(node (ref U1) (pin L6))
(node (ref U1) (pin M6))
(node (ref U1) (pin L7))
(node (ref U1) (pin P6))
(node (ref U1) (pin J8))
(node (ref U1) (pin P9))
(node (ref U1) (pin N9))
(node (ref U1) (pin H9))
(node (ref U1) (pin K8))
(node (ref U1) (pin F6))
(node (ref U1) (pin K13))
(node (ref U1) (pin P15))
(node (ref U1) (pin H14))
(node (ref U1) (pin M15))
(node (ref U1) (pin H13))
(node (ref U1) (pin J13))
(node (ref U1) (pin L15))
(node (ref U1) (pin L13))
(node (ref U1) (pin M13))
(node (ref U1) (pin N13))
(node (ref U1) (pin J15))
(node (ref U1) (pin H15))
(node (ref U1) (pin F15))
(node (ref U1) (pin L14))
(node (ref U1) (pin F11))
(node (ref U1) (pin P10))
(node (ref U1) (pin N10))
(node (ref U1) (pin H10))
(node (ref U1) (pin F10))
(node (ref U1) (pin N12))
(node (ref U1) (pin H12))
(node (ref U1) (pin F12))
(node (ref U1) (pin H11))
(node (ref U1) (pin N11)))
(net (code 69) (name "Net-(U2-Pad22)")
(node (ref U2) (pin 22)))
(net (code 73) (name "Net-(U2-Pad16)")
(net (code 70) (name "Net-(U2-Pad16)")
(node (ref U2) (pin 16)))
(net (code 74) (name "Net-(U2-Pad17)")
(net (code 71) (name "Net-(U2-Pad17)")
(node (ref U2) (pin 17)))
(net (code 75) (name "Net-(U2-Pad18)")
(net (code 72) (name "Net-(U2-Pad18)")
(node (ref U2) (pin 18)))
(net (code 76) (name "Net-(U2-Pad21)")
(node (ref U2) (pin 21)))
(net (code 77) (name "Net-(U2-Pad1)")
(net (code 73) (name "Net-(U2-Pad19)")
(node (ref U2) (pin 19)))
(net (code 74) (name "Net-(U2-Pad1)")
(node (ref U2) (pin 1)))
(net (code 78) (name "Net-(U2-Pad2)")
(net (code 75) (name "Net-(U2-Pad2)")
(node (ref U2) (pin 2)))
(net (code 79) (name "Net-(U2-Pad3)")
(net (code 76) (name "Net-(U2-Pad3)")
(node (ref U2) (pin 3)))
(net (code 80) (name "Net-(U2-Pad8)")
(net (code 77) (name "Net-(U2-Pad8)")
(node (ref U2) (pin 8)))
(net (code 81) (name "Net-(U2-Pad20)")
(net (code 78) (name "Net-(U2-Pad20)")
(node (ref U2) (pin 20)))
(net (code 82) (name "Net-(J1-Pad59)")
(net (code 79) (name "Net-(U2-Pad21)")
(node (ref U2) (pin 21)))
(net (code 80) (name "Net-(J1-Pad59)")
(node (ref J1) (pin 59)))
(net (code 83) (name /gpio/PMODB4)
(net (code 81) (name /gpio/PMODB4)
(node (ref J2) (pin 26)))
(net (code 84) (name /gpio/PMODB7)
(net (code 82) (name /gpio/PMODB7)
(node (ref J2) (pin 29)))
(net (code 85) (name /gpio/PMODB5)
(net (code 83) (name /gpio/PMODB5)
(node (ref J2) (pin 27)))
(net (code 86) (name /gpio/PMODB3)
(net (code 84) (name /gpio/PMODB3)
(node (ref J2) (pin 25)))
(net (code 87) (name /gpio/PMODB1)
(net (code 85) (name /gpio/PMODB1)
(node (ref J2) (pin 23)))
(net (code 88) (name /gpio/PMODB8)
(net (code 86) (name /gpio/PMODB8)
(node (ref J2) (pin 30)))
(net (code 89) (name /gpio/PMODB6)
(net (code 87) (name /gpio/PMODB6)
(node (ref J2) (pin 28)))
(net (code 90) (name /gpio/MP1)
(net (code 88) (name /gpio/MP1)
(node (ref J2) (pin 13)))
(net (code 91) (name /gpio/PMODB2)
(net (code 89) (name /gpio/PMODB2)
(node (ref J2) (pin 24)))
(net (code 92) (name /gpio/MP6)
(net (code 90) (name /gpio/MP6)
(node (ref J2) (pin 18)))
(net (code 93) (name /gpio/MP4)
(net (code 91) (name /gpio/MP4)
(node (ref J2) (pin 16)))
(net (code 94) (name /gpio/MP2)
(net (code 92) (name /gpio/MP2)
(node (ref J2) (pin 14)))
(net (code 95) (name /gpio/PMODA7)
(net (code 93) (name /gpio/PMODA7)
(node (ref J2) (pin 11)))
(net (code 96) (name /gpio/PMODA5)
(net (code 94) (name /gpio/PMODA5)
(node (ref J2) (pin 9)))
(net (code 97) (name /gpio/PMODA3)
(net (code 95) (name /gpio/PMODA3)
(node (ref J2) (pin 7)))
(net (code 98) (name /gpio/PMODA8)
(net (code 96) (name /gpio/PMODA8)
(node (ref J2) (pin 12)))
(net (code 99) (name /gpio/PMODA6)
(net (code 97) (name /gpio/PMODA6)
(node (ref J2) (pin 10)))
(net (code 100) (name /gpio/PMODA4)
(net (code 98) (name /gpio/PMODA4)
(node (ref J2) (pin 8)))
(net (code 101) (name /gpio/MP5)
(net (code 99) (name /gpio/MP5)
(node (ref J2) (pin 17)))
(net (code 102) (name /gpio/MP3)
(net (code 100) (name /gpio/MP3)
(node (ref J2) (pin 15)))
(net (code 103) (name /gpio/PMODA2)
(net (code 101) (name /gpio/PMODA2)
(node (ref J2) (pin 6)))
(net (code 104) (name /gpio/PMODA1)
(net (code 102) (name /gpio/PMODA1)
(node (ref J2) (pin 5)))
(net (code 105) (name /gpio/P30)
(node (ref J1) (pin 30))
(node (ref U1) (pin A6)))
(net (code 106) (name /gpio/IN5V)
(net (code 103) (name /gpio/P30)
(node (ref U1) (pin A6))
(node (ref J1) (pin 30)))
(net (code 104) (name /gpio/IN5V)
(node (ref J1) (pin 1))
(node (ref D1) (pin 2)))
(net (code 107) (name /gpio/P29)
(net (code 105) (name /gpio/P29)
(node (ref U1) (pin B6))
(node (ref J1) (pin 29)))
(net (code 108) (name /gpio/P28)
(net (code 106) (name /gpio/P28)
(node (ref U1) (pin E6))
(node (ref J1) (pin 28)))
(net (code 109) (name /gpio/P27)
(node (ref U1) (pin D6))
(node (ref J1) (pin 27)))
(net (code 110) (name /gpio/P26)
(net (code 107) (name /gpio/P27)
(node (ref J1) (pin 27))
(node (ref U1) (pin D6)))
(net (code 108) (name /gpio/P26)
(node (ref U1) (pin E7))
(node (ref J1) (pin 26)))
(net (code 111) (name /gpio/P25)
(node (ref U1) (pin D7))
(node (ref J1) (pin 25)))
(net (code 112) (name /gpio/P24)
(node (ref U1) (pin C6))
(node (ref J1) (pin 24)))
(net (code 113) (name /gpio/P23)
(node (ref U1) (pin C7))
(node (ref J1) (pin 23)))
(net (code 114) (name /gpio/P22)
(net (code 109) (name /gpio/P25)
(node (ref J1) (pin 25))
(node (ref U1) (pin D7)))
(net (code 110) (name /gpio/P24)
(node (ref J1) (pin 24))
(node (ref U1) (pin C6)))
(net (code 111) (name /gpio/P23)
(node (ref J1) (pin 23))
(node (ref U1) (pin C7)))
(net (code 112) (name /gpio/P22)
(node (ref U1) (pin E8))
(node (ref J1) (pin 22)))
(net (code 115) (name /gpio/P21)
(node (ref J1) (pin 21))
(node (ref U1) (pin D8)))
(net (code 116) (name /gpio/P7)
(net (code 113) (name /gpio/P21)
(node (ref U1) (pin D8))
(node (ref J1) (pin 21)))
(net (code 114) (name /gpio/P7)
(node (ref U1) (pin A10))
(node (ref J1) (pin 7)))
(net (code 117) (name /gpio/P17)
(node (ref J1) (pin 17))
(node (ref U1) (pin A8)))
(net (code 118) (name /gpio/P16)
(net (code 115) (name /gpio/P17)
(node (ref U1) (pin A8))
(node (ref J1) (pin 17)))
(net (code 116) (name /gpio/P16)
(node (ref J1) (pin 16)))
(net (code 119) (name /gpio/P15)
(net (code 117) (name /gpio/P15)
(node (ref J1) (pin 15)))
(net (code 120) (name /gpio/P13)
(net (code 118) (name /gpio/P13)
(node (ref U1) (pin E9))
(node (ref J1) (pin 13)))
(net (code 121) (name /gpio/P14)
(node (ref U1) (pin D9))
(node (ref J1) (pin 14)))
(net (code 122) (name /gpio/P12)
(node (ref J1) (pin 12))
(node (ref U1) (pin B9)))
(net (code 123) (name /gpio/P11)
(node (ref J1) (pin 11))
(node (ref U1) (pin C10)))
(net (code 124) (name /gpio/P9)
(net (code 119) (name /gpio/P14)
(node (ref J1) (pin 14))
(node (ref U1) (pin D9)))
(net (code 120) (name /gpio/P12)
(node (ref U1) (pin B9))
(node (ref J1) (pin 12)))
(net (code 121) (name /gpio/P11)
(node (ref U1) (pin C10))
(node (ref J1) (pin 11)))
(net (code 122) (name /gpio/P9)
(node (ref U1) (pin B10))
(node (ref J1) (pin 9)))
(net (code 125) (name /gpio/P10)
(node (ref J1) (pin 10))
(node (ref U1) (pin A9)))
(net (code 126) (name /gpio/P8)
(net (code 123) (name /gpio/P10)
(node (ref U1) (pin A9))
(node (ref J1) (pin 10)))
(net (code 124) (name /gpio/P8)
(node (ref U1) (pin A11))
(node (ref J1) (pin 8)))
(net (code 127) (name /gpio/P20)
(node (ref J1) (pin 20))
(node (ref U1) (pin C8)))
(net (code 128) (name /gpio/P5)
(net (code 125) (name /gpio/P20)
(node (ref U1) (pin C8))
(node (ref J1) (pin 20)))
(net (code 126) (name /gpio/P5)
(node (ref J1) (pin 5))
(node (ref U1) (pin B11)))
(net (code 129) (name /gpio/P6)
(net (code 127) (name /gpio/P6)
(node (ref J1) (pin 6))
(node (ref U1) (pin C11)))
(net (code 130) (name /gpio/USB5V)
(net (code 128) (name /gpio/USB5V)
(node (ref D2) (pin 2)))
(net (code 131) (name /gpio/OUT5V)
(net (code 129) (name /gpio/OUT5V)
(node (ref D2) (pin 1))
(node (ref J1) (pin 2)))
(net (code 132) (name /gpio/P19)
(node (ref U1) (pin B8))
(node (ref J1) (pin 19)))
(net (code 133) (name /gpio/P18)
(node (ref U1) (pin A7))
(node (ref J1) (pin 18)))
(net (code 134) (name "Net-(J1-Pad43)")
(net (code 130) (name /gpio/P19)
(node (ref J1) (pin 19))
(node (ref U1) (pin B8)))
(net (code 131) (name /gpio/P18)
(node (ref J1) (pin 18))
(node (ref U1) (pin A7)))
(net (code 132) (name "Net-(J1-Pad43)")
(node (ref J1) (pin 43)))
(net (code 135) (name "Net-(J1-Pad64)")
(net (code 133) (name "Net-(J1-Pad64)")
(node (ref J1) (pin 64)))
(net (code 136) (name "Net-(J1-Pad54)")
(net (code 134) (name "Net-(J1-Pad54)")
(node (ref J1) (pin 54)))
(net (code 137) (name "Net-(J1-Pad44)")
(net (code 135) (name "Net-(J1-Pad44)")
(node (ref J1) (pin 44)))
(net (code 138) (name "Net-(J1-Pad63)")
(net (code 136) (name "Net-(J1-Pad63)")
(node (ref J1) (pin 63)))
(net (code 139) (name "Net-(J1-Pad53)")
(net (code 137) (name "Net-(J1-Pad53)")
(node (ref J1) (pin 53)))
(net (code 140) (name "Net-(J1-Pad62)")
(net (code 138) (name "Net-(J1-Pad62)")
(node (ref J1) (pin 62)))
(net (code 141) (name "Net-(J1-Pad52)")
(net (code 139) (name "Net-(J1-Pad52)")
(node (ref J1) (pin 52)))
(net (code 142) (name "Net-(J1-Pad42)")
(net (code 140) (name "Net-(J1-Pad42)")
(node (ref J1) (pin 42)))
(net (code 143) (name "Net-(J1-Pad58)")
(net (code 141) (name "Net-(J1-Pad58)")
(node (ref J1) (pin 58)))
(net (code 144) (name "Net-(J1-Pad48)")
(net (code 142) (name "Net-(J1-Pad48)")
(node (ref J1) (pin 48)))
(net (code 145) (name "Net-(J1-Pad57)")
(net (code 143) (name "Net-(J1-Pad57)")
(node (ref J1) (pin 57)))
(net (code 146) (name "Net-(J1-Pad47)")
(net (code 144) (name "Net-(J1-Pad47)")
(node (ref J1) (pin 47)))
(net (code 147) (name "Net-(J1-Pad61)")
(net (code 145) (name "Net-(J1-Pad61)")
(node (ref J1) (pin 61)))
(net (code 148) (name "Net-(J1-Pad56)")
(net (code 146) (name "Net-(J1-Pad56)")
(node (ref J1) (pin 56)))
(net (code 149) (name "Net-(J1-Pad46)")
(net (code 147) (name "Net-(J1-Pad46)")
(node (ref J1) (pin 46)))
(net (code 150) (name "Net-(J1-Pad55)")
(net (code 148) (name "Net-(J1-Pad55)")
(node (ref J1) (pin 55)))
(net (code 151) (name "Net-(J1-Pad45)")
(net (code 149) (name "Net-(J1-Pad45)")
(node (ref J1) (pin 45)))
(net (code 152) (name /gpio/P38)
(net (code 150) (name /gpio/P38)
(node (ref J1) (pin 38)))
(net (code 153) (name /gpio/P37)
(net (code 151) (name /gpio/P37)
(node (ref J1) (pin 37)))
(net (code 154) (name /gpio/P36)
(net (code 152) (name /gpio/P36)
(node (ref J1) (pin 36)))
(net (code 155) (name /gpio/P35)
(net (code 153) (name /gpio/P35)
(node (ref J1) (pin 35)))
(net (code 156) (name /gpio/P34)
(net (code 154) (name /gpio/P34)
(node (ref J1) (pin 34)))
(net (code 157) (name /gpio/P33)
(net (code 155) (name /gpio/P33)
(node (ref J1) (pin 33)))
(net (code 158) (name /gpio/P32)
(net (code 156) (name /gpio/P32)
(node (ref J1) (pin 32)))
(net (code 159) (name /gpio/P31)
(net (code 157) (name /gpio/P31)
(node (ref J1) (pin 31)))
(net (code 160) (name "Net-(J1-Pad51)")
(net (code 158) (name "Net-(J1-Pad51)")
(node (ref J1) (pin 51)))
(net (code 161) (name "Net-(J1-Pad41)")
(net (code 159) (name "Net-(J1-Pad41)")
(node (ref J1) (pin 41)))
(net (code 162) (name "Net-(J1-Pad60)")
(net (code 160) (name "Net-(J1-Pad60)")
(node (ref J1) (pin 60)))
(net (code 163) (name "Net-(J1-Pad50)")
(net (code 161) (name "Net-(J1-Pad50)")
(node (ref J1) (pin 50)))
(net (code 164) (name "Net-(J1-Pad49)")
(net (code 162) (name "Net-(J1-Pad49)")
(node (ref J1) (pin 49)))))

@ -1,730 +1,757 @@
EESchema Schematic File Version 2
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 2
Title "ULX3S"
Date ""
Rev "0.0.1"
Comp "FER+RIZ+RADIONA"
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR01
U 1 1 56ABA99F
P 1100 2650
F 0 "#PWR01" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
1 1100 2650
1 0 0 -1
$EndComp
$Comp
L VCC #PWR02
U 1 1 56ABAA88
P 2250 950
F 0 "#PWR02" H 2250 800 50 0001 C CNN
F 1 "VCC" H 2250 1100 50 0000 C CNN
F 2 "" H 2250 950 60 0000 C CNN
F 3 "" H 2250 950 60 0000 C CNN
1 2250 950
1 0 0 -1
$EndComp
$Comp
L ESP-12E U2
U 1 1 56AC980A
P 3700 4550
F 0 "U2" H 3700 4450 50 0000 C CNN
F 1 "ESP-12E" H 3700 4650 50 0000 C CNN
F 2 "ESP8266:ESP-12E" H 3700 4550 50 0001 C CNN
F 3 "" H 3700 4550 50 0001 C CNN
1 3700 4550
1 0 0 -1
$EndComp
Wire Wire Line
900 2450 900 2550
Wire Wire Line
900 2550 10850 2550
Wire Wire Line
1400 2550 1400 2450
Wire Wire Line
1300 2450 1300 2550
Connection ~ 1300 2550
Wire Wire Line
1200 2450 1200 2550
Connection ~ 1200 2550
Wire Wire Line
1100 2450 1100 2650
Connection ~ 1100 2550
Wire Wire Line
1000 2450 1000 2550
Connection ~ 1000 2550
Wire Wire Line
2050 1000 2050 1100
Wire Wire Line
2050 1000 7150 1000
Wire Wire Line
2550 1000 2550 1100
Wire Wire Line
2150 1000 2150 1100
Connection ~ 2150 1000
Wire Wire Line
2250 950 2250 1100
Connection ~ 2250 1000
Wire Wire Line
2350 1000 2350 1100
Connection ~ 2350 1000
Wire Wire Line
2450 1000 2450 1100
Connection ~ 2450 1000
Wire Wire Line
10850 2550 10850 2450
Connection ~ 1400 2550
Wire Wire Line
1500 2450 1500 2550
Connection ~ 1500 2550
Wire Wire Line
1600 2450 1600 2550
Connection ~ 1600 2550
Wire Wire Line
1700 2450 1700 2550
Connection ~ 1700 2550
Wire Wire Line
1800 2450 1800 2550
Connection ~ 1800 2550
Wire Wire Line
2050 2450 2050 2550
Connection ~ 2050 2550
Wire Wire Line
2150 2450 2150 2550
Connection ~ 2150 2550
Wire Wire Line
2250 2450 2250 2550
Connection ~ 2250 2550
Wire Wire Line
2350 2450 2350 2550
Connection ~ 2350 2550
Wire Wire Line
2450 2450 2450 2550
Connection ~ 2450 2550
Wire Wire Line
2550 2450 2550 2550
Connection ~ 2550 2550
Wire Wire Line
2650 2450 2650 2550
Connection ~ 2650 2550
Wire Wire Line
2750 2450 2750 2550
Connection ~ 2750 2550
Wire Wire Line
2850 2450 2850 2550
Connection ~ 2850 2550
Wire Wire Line
2950 2450 2950 2550
Connection ~ 2950 2550
Wire Wire Line
3050 2450 3050 2550
Connection ~ 3050 2550
Wire Wire Line
3150 2450 3150 2550
Connection ~ 3150 2550
Wire Wire Line
3250 2450 3250 2550
Connection ~ 3250 2550
Wire Wire Line
3350 2450 3350 2550
Connection ~ 3350 2550
Wire Wire Line
3450 2450 3450 2550
Connection ~ 3450 2550
Wire Wire Line
3550 2450 3550 2550
Connection ~ 3550 2550
Wire Wire Line
3650 2450 3650 2550
Connection ~ 3650 2550
Wire Wire Line
3750 2450 3750 2550
Connection ~ 3750 2550
Wire Wire Line
3850 2450 3850 2550
Connection ~ 3850 2550
Wire Wire Line
3950 2450 3950 2550
Connection ~ 3950 2550
Wire Wire Line
4050 2450 4050 2550
Connection ~ 4050 2550
Wire Wire Line
4150 2450 4150 2550
Connection ~ 4150 2550
Wire Wire Line
4250 2450 4250 2550
Connection ~ 4250 2550
Wire Wire Line
4350 2450 4350 2550
Connection ~ 4350 2550
Wire Wire Line
4450 2450 4450 2550
Connection ~ 4450 2550
Wire Wire Line
4550 2450 4550 2550
Connection ~ 4550 2550
Wire Wire Line
4650 2450 4650 2550
Connection ~ 4650 2550
Wire Wire Line
4750 2450 4750 2550
Connection ~ 4750 2550
Wire Wire Line
4850 2450 4850 2550
Connection ~ 4850 2550
Wire Wire Line
4950 2450 4950 2550
Connection ~ 4950 2550
Wire Wire Line
5050 2450 5050 2550
Connection ~ 5050 2550
Wire Wire Line
5150 2450 5150 2550
Connection ~ 5150 2550
Wire Wire Line
5250 2450 5250 2550
Connection ~ 5250 2550
Wire Wire Line
5350 2450 5350 2550
Connection ~ 5350 2550
Wire Wire Line
5450 2450 5450 2550
Connection ~ 5450 2550
Wire Wire Line
5550 2450 5550 2550
Connection ~ 5550 2550
Wire Wire Line
5650 2450 5650 2550
Connection ~ 5650 2550
Wire Wire Line
5750 2450 5750 2550
Connection ~ 5750 2550
Wire Wire Line
5850 2450 5850 2550
Connection ~ 5850 2550
Wire Wire Line
5950 2450 5950 2550
Connection ~ 5950 2550
Wire Wire Line
6050 2450 6050 2550
Connection ~ 6050 2550
Wire Wire Line
6150 2450 6150 2550
Connection ~ 6150 2550
Wire Wire Line
6250 2450 6250 2550
Connection ~ 6250 2550
Wire Wire Line
6350 2450 6350 2550
Connection ~ 6350 2550
Wire Wire Line
6450 2450 6450 2550
Connection ~ 6450 2550
Wire Wire Line
6550 2450 6550 2550
Connection ~ 6550 2550
Wire Wire Line
6650 2450 6650 2550
Connection ~ 6650 2550
Wire Wire Line
6750 2450 6750 2550
Connection ~ 6750 2550
Wire Wire Line
6850 2450 6850 2550
Connection ~ 6850 2550
Wire Wire Line
6950 2450 6950 2550
Connection ~ 6950 2550
Wire Wire Line
7050 2450 7050 2550
Connection ~ 7050 2550
Wire Wire Line
7150 2450 7150 2550
Connection ~ 7150 2550
Wire Wire Line
7250 2450 7250 2550
Connection ~ 7250 2550
Wire Wire Line
7350 2450 7350 2550
Connection ~ 7350 2550
Wire Wire Line
7450 2450 7450 2550
Connection ~ 7450 2550
Wire Wire Line
7550 2450 7550 2550
Connection ~ 7550 2550
Wire Wire Line
7650 2450 7650 2550
Connection ~ 7650 2550
Wire Wire Line
7750 2450 7750 2550
Connection ~ 7750 2550
Wire Wire Line
7850 2450 7850 2550
Connection ~ 7850 2550
Wire Wire Line
7950 2450 7950 2550
Connection ~ 7950 2550
Wire Wire Line
8050 2450 8050 2550
Connection ~ 8050 2550
Wire Wire Line
8150 2450 8150 2550
Connection ~ 8150 2550
Wire Wire Line
8250 2450 8250 2550
Connection ~ 8250 2550
Wire Wire Line
8350 2450 8350 2550
Connection ~ 8350 2550
Wire Wire Line
8450 2450 8450 2550
Connection ~ 8450 2550
Wire Wire Line
8550 2450 8550 2550
Connection ~ 8550 2550
Wire Wire Line
8650 2450 8650 2550
Connection ~ 8650 2550
Wire Wire Line
8750 2450 8750 2550
Connection ~ 8750 2550
Wire Wire Line
8850 2450 8850 2550
Connection ~ 8850 2550
Wire Wire Line
8950 2450 8950 2550
Connection ~ 8950 2550
Wire Wire Line
9050 2450 9050 2550
Connection ~ 9050 2550
Wire Wire Line
9150 2450 9150 2550
Connection ~ 9150 2550
Wire Wire Line
9250 2450 9250 2550
Connection ~ 9250 2550
Wire Wire Line
9350 2450 9350 2550
Connection ~ 9350 2550
Wire Wire Line
9450 2450 9450 2550
Connection ~ 9450 2550
Wire Wire Line
9550 2450 9550 2550
Connection ~ 9550 2550
Wire Wire Line
9650 2450 9650 2550
Connection ~ 9650 2550
Wire Wire Line
9750 2450 9750 2550
Connection ~ 9750 2550
Wire Wire Line
9850 2450 9850 2550
Connection ~ 9850 2550
Wire Wire Line
9950 2450 9950 2550
Connection ~ 9950 2550
Wire Wire Line
10050 2450 10050 2550
Connection ~ 10050 2550
Wire Wire Line
10150 2450 10150 2550
Connection ~ 10150 2550
Wire Wire Line
10250 2450 10250 2550
Connection ~ 10250 2550
Wire Wire Line
10350 2450 10350 2550
Connection ~ 10350 2550
Wire Wire Line
10450 2450 10450 2550
Connection ~ 10450 2550
Wire Wire Line
10550 2450 10550 2550
Connection ~ 10550 2550
Wire Wire Line
10650 2450 10650 2550
Connection ~ 10650 2550
Wire Wire Line
10750 2450 10750 2550
Connection ~ 10750 2550
Wire Wire Line
7150 1000 7150 1100
Connection ~ 2550 1000
Wire Wire Line
7050 1100 7050 1000
Connection ~ 7050 1000
Wire Wire Line
6950 1100 6950 1000
Connection ~ 6950 1000
Wire Wire Line
6750 1100 6750 1000
Connection ~ 6750 1000
Wire Wire Line
6650 1100 6650 1000
Connection ~ 6650 1000
Wire Wire Line
6550 1100 6550 1000
Connection ~ 6550 1000
Wire Wire Line
6350 1100 6350 1000
Connection ~ 6350 1000
Wire Wire Line
6250 1100 6250 1000
Connection ~ 6250 1000
Wire Wire Line
5850 1100 5850 1000
Connection ~ 5850 1000
Wire Wire Line
5750 1100 5750 1000
Connection ~ 5750 1000
Wire Wire Line
5650 1100 5650 1000
Connection ~ 5650 1000
Wire Wire Line
5450 1100 5450 1000
Connection ~ 5450 1000
Wire Wire Line
5350 1100 5350 1000
Connection ~ 5350 1000
Wire Wire Line
5250 1100 5250 1000
Connection ~ 5250 1000
Wire Wire Line
5050 1100 5050 1000
Connection ~ 5050 1000
Wire Wire Line
4950 1100 4950 1000
Connection ~ 4950 1000
Wire Wire Line
4750 1100 4750 1000
Connection ~ 4750 1000
Wire Wire Line
4650 1100 4650 1000
Connection ~ 4650 1000
Wire Wire Line
4450 1100 4450 1000
Connection ~ 4450 1000
Wire Wire Line
4350 1100 4350 1000
Connection ~ 4350 1000
Wire Wire Line
4250 1100 4250 1000
Connection ~ 4250 1000
Wire Wire Line
4150 1100 4150 1000
Connection ~ 4150 1000
Wire Wire Line
3950 1100 3950 1000
Connection ~ 3950 1000
Wire Wire Line
3850 1100 3850 1000
Connection ~ 3850 1000
Wire Wire Line
3750 1100 3750 1000
Connection ~ 3750 1000
Wire Wire Line
3650 1100 3650 1000
Connection ~ 3650 1000
Wire Wire Line
3550 1100 3550 1000
Connection ~ 3550 1000
Wire Wire Line
3450 1100 3450 1000
Connection ~ 3450 1000
Wire Wire Line
3350 1100 3350 1000
Connection ~ 3350 1000
Wire Wire Line
3250 1100 3250 1000
Connection ~ 3250 1000
Wire Wire Line
3150 1100 3150 1000
Connection ~ 3150 1000
Wire Wire Line
3050 1100 3050 1000
Connection ~ 3050 1000
Wire Wire Line
2950 1100 2950 1000
Connection ~ 2950 1000
Wire Wire Line
2850 1100 2850 1000
Connection ~ 2850 1000
Wire Wire Line
2750 1100 2750 1000
Connection ~ 2750 1000
Wire Wire Line
2650 1100 2650 1000
Connection ~ 2650 1000
Wire Wire Line
2800 4650 2400 4650
Text Label 2450 4650 0 60 ~ 0
TDO
Wire Wire Line
2800 4550 2400 4550
Text Label 2450 4550 0 60 ~ 0
TDI
Wire Wire Line
2400 4750 2800 4750
Text Label 2450 4750 0 60 ~ 0
TCK
Wire Wire Line
2400 4850 2800 4850
Text Label 2450 4850 0 60 ~ 0
TMS
Wire Wire Line
4600 4950 4700 4950
$Comp
L GND #PWR03
U 1 1 56ACA1D2
P 4700 4950
F 0 "#PWR03" H 4700 4700 50 0001 C CNN
F 1 "GND" H 4700 4800 50 0000 C CNN
F 2 "" H 4700 4950 60 0000 C CNN
F 3 "" H 4700 4950 60 0000 C CNN
1 4700 4950
0 -1 -1 0
$EndComp
$Comp
L SD_Card SD1
U 1 1 56ACBF19
P 2300 6600
F 0 "SD1" H 1650 7150 50 0000 C CNN
F 1 "SD_Card" H 2900 6050 50 0000 C CNN
F 2 "micro-sd:MicroSD_TF02D" H 2500 6950 50 0000 C CNN
F 3 "" H 2300 6600 60 0000 C CNN
1 2300 6600
1 0 0 -1
$EndComp
$Comp
L USB_OTG-RESCUE-ulx3s P1
U 1 1 56ACC213
P 5200 6350
F 0 "P1" H 5525 6225 50 0000 C CNN
F 1 "USB_FTDI" H 5200 6550 50 0000 C CNN
F 2 "Connect:USB_Micro-B" V 5150 6250 60 0001 C CNN
F 3 "" V 5150 6250 60 0000 C CNN
1 5200 6350
0 -1 1 0
$EndComp
$Comp
L USB_OTG-RESCUE-ulx3s P2
U 1 1 56ACC38E
P 5200 7300
F 0 "P2" H 5525 7175 50 0000 C CNN
F 1 "USB_FPGA" H 5200 7500 50 0000 C CNN
F 2 "Connect:USB_Micro-B" V 5150 7200 60 0001 C CNN
F 3 "" V 5150 7200 60 0000 C CNN
1 5200 7300
0 -1 1 0
$EndComp
$Comp
L MICRO-HDMI-D GPDI1
U 1 1 56ACD5D4
P 6150 4650
F 0 "GPDI1" H 6150 5700 50 0000 C CNN
F 1 "MICRO-GPDI-D" V 6250 4650 50 0000 C CNN
F 2 "micro-hdmi-d:MICRO-HDMI-D" H 6150 4650 60 0001 C CNN
F 3 "" H 6150 4650 60 0000 C CNN
1 6150 4650
-1 0 0 -1
$EndComp
$Comp
L JACK_TRS_6PINS AUDIO1
U 1 1 56A9D95B
P 1050 3700
F 0 "AUDIO1" H 1050 4100 50 0000 C CNN
F 1 "JACK_TRS_6PINS" H 1000 3400 50 0000 C CNN
F 2 "" H 1150 3550 60 0001 C CNN
F 3 "" H 1150 3550 60 0000 C CNN
1 1050 3700
1 0 0 -1
$EndComp
$Comp
L D_Schottky_Small D3
U 1 1 56AA1324
P 5950 6150
F 0 "D3" H 5900 6230 50 0000 L CNN
F 1 "2A" H 5900 6050 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 5950 6150 60 0001 C CNN
F 3 "" V 5950 6150 60 0000 C CNN
1 5950 6150
-1 0 0 1
$EndComp
Wire Wire Line
5500 6150 5850 6150
Wire Wire Line
6050 6150 6200 6150
$Comp
L +5V #PWR04
U 1 1 56AA16D2
P 6200 6150
F 0 "#PWR04" H 6200 6000 50 0001 C CNN
F 1 "+5V" H 6200 6290 50 0000 C CNN
F 2 "" H 6200 6150 60 0000 C CNN
F 3 "" H 6200 6150 60 0000 C CNN
1 6200 6150
0 1 1 0
$EndComp
Text Label 5550 6150 0 60 ~ 0
USB5V
Wire Wire Line
5800 7100 5950 7100
$Comp
L D_Schottky_Small D4
U 1 1 56AA2821
P 5700 7100
F 0 "D4" H 5650 7180 50 0000 L CNN
F 1 "2A" H 5650 7000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 5700 7100 60 0001 C CNN
F 3 "" V 5700 7100 60 0000 C CNN
1 5700 7100
1 0 0 -1
$EndComp
Wire Wire Line
5500 7100 5600 7100
$Comp
L +5V #PWR05
U 1 1 56AA305B
P 5950 7100
F 0 "#PWR05" H 5950 6950 50 0001 C CNN
F 1 "+5V" H 5950 7240 50 0000 C CNN
F 2 "" H 5950 7100 60 0000 C CNN
F 3 "" H 5950 7100 60 0000 C CNN
1 5950 7100
0 1 1 0
$EndComp
$Comp
L LFE5-BG381 U1
U 9 1 56AA9804
P 5900 1750
F 0 "U1" H 5900 1850 60 0000 C CNN
F 1 "LFE5-BG381" H 5900 1750 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 4850 2700 60 0001 C CNN
F 3 "" H 4850 2700 60 0000 C CNN
9 5900 1750
1 0 0 -1
$EndComp
$Comp
L LFE5-BG381 U1
U 10 1 56AAA6F3
P 1700 4700
F 0 "U1" H 1700 4800 60 0000 C CNN
F 1 "LFE5-BG381" H 1700 4700 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 650 5650 60 0001 C CNN
F 3 "" H 650 5650 60 0000 C CNN
10 1700 4700
-1 0 0 -1
$EndComp
Wire Wire Line
3450 5450 3450 5700
Text Label 3450 5650 1 60 ~ 0
MTDO
Wire Wire Line
3550 5450 3550 5700
Wire Wire Line
3650 5450 3650 5700
Wire Wire Line
3750 5450 3750 5700
Wire Wire Line
3850 5450 3850 5700
Wire Wire Line
3950 5450 3950 5700
Text Label 3550 5650 1 60 ~ 0
MTDI
Text Label 3650 5650 1 60 ~ 0
SD_3
Text Label 3750 5650 1 60 ~ 0
MTMS
Text Label 3850 5650 1 60 ~ 0
MTCK
Text Label 3950 5650 1 60 ~ 0
SD_2
Wire Wire Line
1400 6200 1050 6200
Text Label 1100 6200 0 60 ~ 0
SD_2
Wire Wire Line
1050 6300 1400 6300
Text Label 1100 6300 0 60 ~ 0
SD_3
Wire Wire Line
1050 6400 1400 6400
Text Label 1100 6400 0 60 ~ 0
MTMS
Wire Wire Line
1400 6700 1050 6700
Text Label 1100 6700 0 60 ~ 0
MTCK
Wire Wire Line
1050 6900 1400 6900
Text Label 1100 6900 0 60 ~ 0
MTDO
Wire Wire Line
1050 7000 1400 7000
Text Label 1100 7000 0 60 ~ 0
MTDI
$Sheet
S 6800 4650 800 600
U 56AC389C
F0 "gpio" 60
F1 "gpio.sch" 60
$EndSheet
$Comp
L CONN_02X06 J3
U 1 1 58D3C869
P 3000 3200
F 0 "J3" H 3000 3550 50 0000 C CNN
F 1 "CONN_02X06" H 3000 2850 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x06_Pitch2.54mm" H 3000 2000 50 0001 C CNN
F 3 "" H 3000 2000 50 0001 C CNN
1 3000 3200
1 0 0 -1
$EndComp
$EndSCHEMATC
EESchema Schematic File Version 2
LIBS:ulx3s-rescue
LIBS:power
LIBS:device
LIBS:transistors
LIBS:conn
LIBS:linear
LIBS:regul
LIBS:74xx
LIBS:cmos4000
LIBS:adc-dac
LIBS:memory
LIBS:xilinx
LIBS:microcontrollers
LIBS:dsp
LIBS:microchip
LIBS:analog_switches
LIBS:motorola
LIBS:texas
LIBS:intel
LIBS:audio
LIBS:interface
LIBS:digital-audio
LIBS:philips
LIBS:display
LIBS:cypress
LIBS:siliconi
LIBS:opto
LIBS:atmel
LIBS:contrib
LIBS:valves
LIBS:ESP8266
LIBS:lfe5bg381
LIBS:micro-hdmi-d
LIBS:ulx3s-cache
EELAYER 25 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 2
Title "ULX3S"
Date ""
Rev "0.0.1"
Comp "FER+RIZ+RADIONA"
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L GND #PWR01
U 1 1 56ABA99F
P 1100 2650
F 0 "#PWR01" H 1100 2400 50 0001 C CNN
F 1 "GND" H 1100 2500 50 0000 C CNN
F 2 "" H 1100 2650 60 0000 C CNN
F 3 "" H 1100 2650 60 0000 C CNN
1 1100 2650
1 0 0 -1
$EndComp
$Comp
L VCC #PWR02
U 1 1 56ABAA88
P 2250 950
F 0 "#PWR02" H 2250 800 50 0001 C CNN
F 1 "VCC" H 2250 1100 50 0000 C CNN
F 2 "" H 2250 950 60 0000 C CNN
F 3 "" H 2250 950 60 0000 C CNN
1 2250 950
1 0 0 -1
$EndComp
$Comp
L ESP-12E U2
U 1 1 56AC980A
P 3700 4550
F 0 "U2" H 3700 4450 50 0000 C CNN
F 1 "ESP-12E" H 3700 4650 50 0000 C CNN
F 2 "ESP8266:ESP-12E" H 3700 4550 50 0001 C CNN
F 3 "" H 3700 4550 50 0001 C CNN
1 3700 4550
1 0 0 -1
$EndComp
Wire Wire Line
900 2450 900 2550
Wire Wire Line
900 2550 10850 2550
Wire Wire Line
1400 2550 1400 2450
Wire Wire Line
1300 2450 1300 2550
Connection ~ 1300 2550
Wire Wire Line
1200 2450 1200 2550
Connection ~ 1200 2550
Wire Wire Line
1100 2450 1100 2650
Connection ~ 1100 2550
Wire Wire Line
1000 2450 1000 2550
Connection ~ 1000 2550
Wire Wire Line
2050 1000 2050 1100
Wire Wire Line
2050 1000 7150 1000
Wire Wire Line
2550 1000 2550 1100
Wire Wire Line
2150 1000 2150 1100
Connection ~ 2150 1000
Wire Wire Line
2250 950 2250 1100
Connection ~ 2250 1000
Wire Wire Line
2350 1000 2350 1100
Connection ~ 2350 1000
Wire Wire Line
2450 1000 2450 1100
Connection ~ 2450 1000
Wire Wire Line
10850 2550 10850 2450
Connection ~ 1400 2550
Wire Wire Line
1500 2450 1500 2550
Connection ~ 1500 2550
Wire Wire Line
1600 2450 1600 2550
Connection ~ 1600 2550
Wire Wire Line
1700 2450 1700 2550
Connection ~ 1700 2550
Wire Wire Line
1800 2450 1800 2550
Connection ~ 1800 2550
Wire Wire Line
2050 2450 2050 2550
Connection ~ 2050 2550
Wire Wire Line
2150 2450 2150 2550
Connection ~ 2150 2550
Wire Wire Line
2250 2450 2250 2550
Connection ~ 2250 2550
Wire Wire Line
2350 2450 2350 2550
Connection ~ 2350 2550
Wire Wire Line
2450 2450 2450 2550
Connection ~ 2450 2550
Wire Wire Line
2550 2450 2550 2550
Connection ~ 2550 2550
Wire Wire Line
2650 2450 2650 2550
Connection ~ 2650 2550
Wire Wire Line
2750 2450 2750 2550
Connection ~ 2750 2550
Wire Wire Line
2850 2450 2850 2550
Connection ~ 2850 2550
Wire Wire Line
2950 2450 2950 2550
Connection ~ 2950 2550
Wire Wire Line
3050 2450 3050 2550
Connection ~ 3050 2550
Wire Wire Line
3150 2450 3150 2550
Connection ~ 3150 2550
Wire Wire Line
3250 2450 3250 2550
Connection ~ 3250 2550
Wire Wire Line
3350 2450 3350 2550
Connection ~ 3350 2550
Wire Wire Line
3450 2450 3450 2550
Connection ~ 3450 2550
Wire Wire Line
3550 2450 3550 2550
Connection ~ 3550 2550
Wire Wire Line
3650 2450 3650 2550
Connection ~ 3650 2550
Wire Wire Line
3750 2450 3750 2550
Connection ~ 3750 2550
Wire Wire Line
3850 2450 3850 2550
Connection ~ 3850 2550
Wire Wire Line
3950 2450 3950 2550
Connection ~ 3950 2550
Wire Wire Line
4050 2450 4050 2550
Connection ~ 4050 2550
Wire Wire Line
4150 2450 4150 2550
Connection ~ 4150 2550
Wire Wire Line
4250 2450 4250 2550
Connection ~ 4250 2550
Wire Wire Line
4350 2450 4350 2550
Connection ~ 4350 2550
Wire Wire Line
4450 2450 4450 2550
Connection ~ 4450 2550
Wire Wire Line
4550 2450 4550 2550
Connection ~ 4550 2550
Wire Wire Line
4650 2450 4650 2550
Connection ~ 4650 2550
Wire Wire Line
4750 2450 4750 2550
Connection ~ 4750 2550
Wire Wire Line
4850 2450 4850 2550
Connection ~ 4850 2550
Wire Wire Line
4950 2450 4950 2550
Connection ~ 4950 2550
Wire Wire Line
5050 2450 5050 2550
Connection ~ 5050 2550
Wire Wire Line
5150 2450 5150 2550
Connection ~ 5150 2550
Wire Wire Line
5250 2450 5250 2550
Connection ~ 5250 2550
Wire Wire Line
5350 2450 5350 2550
Connection ~ 5350 2550
Wire Wire Line
5450 2450 5450 2550
Connection ~ 5450 2550
Wire Wire Line
5550 2450 5550 2550
Connection ~ 5550 2550
Wire Wire Line
5650 2450 5650 2550
Connection ~ 5650 2550
Wire Wire Line
5750 2450 5750 2550
Connection ~ 5750 2550
Wire Wire Line
5850 2450 5850 2550
Connection ~ 5850 2550
Wire Wire Line
5950 2450 5950 2550
Connection ~ 5950 2550
Wire Wire Line
6050 2450 6050 2550
Connection ~ 6050 2550
Wire Wire Line
6150 2450 6150 2550
Connection ~ 6150 2550
Wire Wire Line
6250 2450 6250 2550
Connection ~ 6250 2550
Wire Wire Line
6350 2450 6350 2550
Connection ~ 6350 2550
Wire Wire Line
6450 2450 6450 2550
Connection ~ 6450 2550
Wire Wire Line
6550 2450 6550 2550
Connection ~ 6550 2550
Wire Wire Line
6650 2450 6650 2550
Connection ~ 6650 2550
Wire Wire Line
6750 2450 6750 2550
Connection ~ 6750 2550
Wire Wire Line
6850 2450 6850 2550
Connection ~ 6850 2550
Wire Wire Line
6950 2450 6950 2550
Connection ~ 6950 2550
Wire Wire Line
7050 2450 7050 2550
Connection ~ 7050 2550
Wire Wire Line
7150 2450 7150 2550
Connection ~ 7150 2550
Wire Wire Line
7250 2450 7250 2550
Connection ~ 7250 2550
Wire Wire Line
7350 2450 7350 2550
Connection ~ 7350 2550
Wire Wire Line
7450 2450 7450 2550
Connection ~ 7450 2550
Wire Wire Line
7550 2450 7550 2550
Connection ~ 7550 2550
Wire Wire Line
7650 2450 7650 2550
Connection ~ 7650 2550
Wire Wire Line
7750 2450 7750 2550
Connection ~ 7750 2550
Wire Wire Line
7850 2450 7850 2550
Connection ~ 7850 2550
Wire Wire Line
7950 2450 7950 2550
Connection ~ 7950 2550
Wire Wire Line
8050 2450 8050 2550
Connection ~ 8050 2550
Wire Wire Line
8150 2450 8150 2550
Connection ~ 8150 2550
Wire Wire Line
8250 2450 8250 2550
Connection ~ 8250 2550
Wire Wire Line
8350 2450 8350 2550
Connection ~ 8350 2550
Wire Wire Line
8450 2450 8450 2550
Connection ~ 8450 2550
Wire Wire Line
8550 2450 8550 2550
Connection ~ 8550 2550
Wire Wire Line
8650 2450 8650 2550
Connection ~ 8650 2550
Wire Wire Line
8750 2450 8750 2550
Connection ~ 8750 2550
Wire Wire Line
8850 2450 8850 2550
Connection ~ 8850 2550
Wire Wire Line
8950 2450 8950 2550
Connection ~ 8950 2550
Wire Wire Line
9050 2450 9050 2550
Connection ~ 9050 2550
Wire Wire Line
9150 2450 9150 2550
Connection ~ 9150 2550
Wire Wire Line
9250 2450 9250 2550
Connection ~ 9250 2550
Wire Wire Line
9350 2450 9350 2550
Connection ~ 9350 2550
Wire Wire Line
9450 2450 9450 2550
Connection ~ 9450 2550
Wire Wire Line
9550 2450 9550 2550
Connection ~ 9550 2550
Wire Wire Line
9650 2450 9650 2550
Connection ~ 9650 2550
Wire Wire Line
9750 2450 9750 2550
Connection ~ 9750 2550
Wire Wire Line
9850 2450 9850 2550
Connection ~ 9850 2550
Wire Wire Line
9950 2450 9950 2550
Connection ~ 9950 2550
Wire Wire Line
10050 2450 10050 2550
Connection ~ 10050 2550
Wire Wire Line
10150 2450 10150 2550
Connection ~ 10150 2550
Wire Wire Line
10250 2450 10250 2550
Connection ~ 10250 2550
Wire Wire Line
10350 2450 10350 2550
Connection ~ 10350 2550
Wire Wire Line
10450 2450 10450 2550
Connection ~ 10450 2550
Wire Wire Line
10550 2450 10550 2550
Connection ~ 10550 2550
Wire Wire Line
10650 2450 10650 2550
Connection ~ 10650 2550
Wire Wire Line
10750 2450 10750 2550
Connection ~ 10750 2550
Wire Wire Line
7150 1000 7150 1100
Connection ~ 2550 1000
Wire Wire Line
7050 1100 7050 1000
Connection ~ 7050 1000
Wire Wire Line
6950 1100 6950 1000
Connection ~ 6950 1000
Wire Wire Line
6750 1100 6750 1000
Connection ~ 6750 1000
Wire Wire Line
6650 1100 6650 1000
Connection ~ 6650 1000
Wire Wire Line
6550 1100 6550 1000
Connection ~ 6550 1000
Wire Wire Line
6350 1100 6350 1000
Connection ~ 6350 1000
Wire Wire Line
6250 1100 6250 1000
Connection ~ 6250 1000
Wire Wire Line
5850 1100 5850 1000
Connection ~ 5850 1000
Wire Wire Line
5750 1100 5750 1000
Connection ~ 5750 1000
Wire Wire Line
5650 1100 5650 1000
Connection ~ 5650 1000
Wire Wire Line
5450 1100 5450 1000
Connection ~ 5450 1000
Wire Wire Line
5350 1100 5350 1000
Connection ~ 5350 1000
Wire Wire Line
5250 1100 5250 1000
Connection ~ 5250 1000
Wire Wire Line
5050 1100 5050 1000
Connection ~ 5050 1000
Wire Wire Line
4950 1100 4950 1000
Connection ~ 4950 1000
Wire Wire Line
4750 1100 4750 1000
Connection ~ 4750 1000
Wire Wire Line
4650 1100 4650 1000
Connection ~ 4650 1000
Wire Wire Line
4450 1100 4450 1000
Connection ~ 4450 1000
Wire Wire Line
4350 1100 4350 1000
Connection ~ 4350 1000
Wire Wire Line
4250 1100 4250 1000
Connection ~ 4250 1000
Wire Wire Line
4150 1100 4150 1000
Connection ~ 4150 1000
Wire Wire Line
3950 1100 3950 1000
Connection ~ 3950 1000
Wire Wire Line
3850 1100 3850 1000
Connection ~ 3850 1000
Wire Wire Line
3750 1100 3750 1000
Connection ~ 3750 1000
Wire Wire Line
3650 1100 3650 1000
Connection ~ 3650 1000
Wire Wire Line
3550 1100 3550 1000
Connection ~ 3550 1000
Wire Wire Line
3450 1100 3450 1000
Connection ~ 3450 1000
Wire Wire Line
3350 1100 3350 1000
Connection ~ 3350 1000
Wire Wire Line
3250 1100 3250 1000
Connection ~ 3250 1000
Wire Wire Line
3150 1100 3150 1000
Connection ~ 3150 1000
Wire Wire Line
3050 1100 3050 1000
Connection ~ 3050 1000
Wire Wire Line
2950 1100 2950 1000
Connection ~ 2950 1000
Wire Wire Line
2850 1100 2850 1000
Connection ~ 2850 1000
Wire Wire Line
2750 1100 2750 1000
Connection ~ 2750 1000
Wire Wire Line
2650 1100 2650 1000
Connection ~ 2650 1000
Wire Wire Line
2800 4650 2400 4650
Text Label 2450 4650 0 60 ~ 0
TDO
Wire Wire Line
2800 4550 2400 4550
Text Label 2450 4550 0 60 ~ 0
TDI
Wire Wire Line
2400 4750 2800 4750
Text Label 2450 4750 0 60 ~ 0
TCK
Wire Wire Line
2400 4850 2800 4850
Text Label 2450 4850 0 60 ~ 0
TMS
Wire Wire Line
4600 4950 4700 4950
$Comp
L GND #PWR03
U 1 1 56ACA1D2
P 4700 4950
F 0 "#PWR03" H 4700 4700 50 0001 C CNN
F 1 "GND" H 4700 4800 50 0000 C CNN
F 2 "" H 4700 4950 60 0000 C CNN
F 3 "" H 4700 4950 60 0000 C CNN
1 4700 4950
0 -1 -1 0
$EndComp
$Comp
L SD_Card SD1
U 1 1 56ACBF19
P 2300 6600
F 0 "SD1" H 1650 7150 50 0000 C CNN
F 1 "SD_Card" H 2900 6050 50 0000 C CNN
F 2 "micro-sd:MicroSD_TF02D" H 2500 6950 50 0000 C CNN
F 3 "" H 2300 6600 60 0000 C CNN
1 2300 6600
1 0 0 -1
$EndComp
$Comp
L MICRO-HDMI-D GPDI1
U 1 1 56ACD5D4
P 6150 4650
F 0 "GPDI1" H 6150 5700 50 0000 C CNN
F 1 "MICRO-GPDI-D" V 6250 4650 50 0000 C CNN
F 2 "micro-hdmi-d:MICRO-HDMI-D" H 6150 4650 60 0001 C CNN
F 3 "" H 6150 4650 60 0000 C CNN
1 6150 4650
-1 0 0 -1
$EndComp
$Comp
L JACK_TRS_6PINS AUDIO1
U 1 1 56A9D95B
P 1050 3700
F 0 "AUDIO1" H 1050 4100 50 0000 C CNN
F 1 "JACK_TRS_6PINS" H 1000 3400 50 0000 C CNN
F 2 "" H 1150 3550 60 0001 C CNN
F 3 "" H 1150 3550 60 0000 C CNN
1 1050 3700
1 0 0 -1
$EndComp
$Comp
L D_Schottky_Small D3
U 1 1 56AA1324
P 5950 6150
F 0 "D3" H 5900 6230 50 0000 L CNN
F 1 "2A" H 5900 6050 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 5950 6150 60 0001 C CNN
F 3 "" V 5950 6150 60 0000 C CNN
1 5950 6150
-1 0 0 1
$EndComp
Wire Wire Line
5500 6150 5850 6150
Wire Wire Line
6050 6150 6200 6150
$Comp
L +5V #PWR04
U 1 1 56AA16D2
P 6200 6150
F 0 "#PWR04" H 6200 6000 50 0001 C CNN
F 1 "+5V" H 6200 6290 50 0000 C CNN
F 2 "" H 6200 6150 60 0000 C CNN
F 3 "" H 6200 6150 60 0000 C CNN
1 6200 6150
0 1 1 0
$EndComp
Text Label 5550 6150 0 60 ~ 0
USB5V
Wire Wire Line
5800 7100 5950 7100
$Comp
L D_Schottky_Small D4
U 1 1 56AA2821
P 5700 7100
F 0 "D4" H 5650 7180 50 0000 L CNN
F 1 "2A" H 5650 7000 50 0000 L CNN
F 2 "SMD_Packages:SMD-1206_Pol" V 5700 7100 60 0001 C CNN
F 3 "" V 5700 7100 60 0000 C CNN
1 5700 7100
1 0 0 -1
$EndComp
Wire Wire Line
5500 7100 5600 7100
$Comp
L +5V #PWR05
U 1 1 56AA305B
P 5950 7100
F 0 "#PWR05" H 5950 6950 50 0001 C CNN
F 1 "+5V" H 5950 7240 50 0000 C CNN
F 2 "" H 5950 7100 60 0000 C CNN
F 3 "" H 5950 7100 60 0000 C CNN
1 5950 7100
0 1 1 0
$EndComp
$Comp
L LFE5-BG381 U1
U 9 1 56AA9804
P 5900 1750
F 0 "U1" H 5900 1850 60 0000 C CNN
F 1 "LFE5-BG381" H 5900 1750 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 4850 2700 60 0001 C CNN
F 3 "" H 4850 2700 60 0000 C CNN
9 5900 1750
1 0 0 -1
$EndComp
$Comp
L LFE5-BG381 U1
U 10 1 56AAA6F3
P 1700 4700
F 0 "U1" H 1700 4800 60 0000 C CNN
F 1 "LFE5-BG381" H 1700 4700 60 0000 C CNN
F 2 "lfe5bg381:BGA-381_pitch0.8mm_dia0.4mm" H 650 5650 60 0001 C CNN
F 3 "" H 650 5650 60 0000 C CNN
10 1700 4700
-1 0 0 -1
$EndComp
Wire Wire Line
3450 5450 3450 5700
Text Label 3450 5650 1 60 ~ 0
MTDO
Wire Wire Line
3550 5450 3550 5700
Wire Wire Line
3650 5450 3650 5700
Wire Wire Line
3750 5450 3750 5700
Wire Wire Line
3850 5450 3850 5700
Wire Wire Line
3950 5450 3950 5700
Text Label 3550 5650 1 60 ~ 0
MTDI
Text Label 3650 5650 1 60 ~ 0
SD_3
Text Label 3750 5650 1 60 ~ 0
MTMS
Text Label 3850 5650 1 60 ~ 0
MTCK
Text Label 3950 5650 1 60 ~ 0
SD_2
Wire Wire Line
1400 6200 1050 6200
Text Label 1100 6200 0 60 ~ 0
SD_2
Wire Wire Line
1050 6300 1400 6300
Text Label 1100 6300 0 60 ~ 0
SD_3
Wire Wire Line
1050 6400 1400 6400
Text Label 1100 6400 0 60 ~ 0
MTMS
Wire Wire Line
1400 6700 1050 6700
Text Label 1100 6700 0 60 ~ 0
MTCK
Wire Wire Line
1050 6900 1400 6900
Text Label 1100 6900 0 60 ~ 0
MTDO
Wire Wire Line
1050 7000 1400 7000
Text Label 1100 7000 0 60 ~ 0
MTDI
$Sheet
S 6800 4650 800 600
U 56AC389C
F0 "gpio" 60
F1 "gpio.sch" 60
$EndSheet
$Comp
L CONN_02X06 J3
U 1 1 58D3C869
P 3000 3200
F 0 "J3" H 3000 3550 50 0000 C CNN
F 1 "CONN_02X06" H 3000 2850 50 0000 C CNN
F 2 "Socket_Strips:Socket_Strip_Angled_2x06_Pitch2.54mm" H 3000 2000 50 0001 C CNN
F 3 "" H 3000 2000 50 0001 C CNN
1 3000 3200
1 0 0 -1
$EndComp
$Comp
L USB_OTG US1
U 1 1 58D432CE
P 5200 6350
F 0 "US1" H 5525 6225 50 0000 C CNN
F 1 "USB_FTDI" H 5200 6550 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 5150 6250 50 0001 C CNN
F 3 "" V 5150 6250 50 0000 C CNN
1 5200 6350
0 -1 1 0
$EndComp
$Comp
L USB_OTG US2
U 1 1 58D4378B
P 5200 7300
F 0 "US2" H 5525 7175 50 0000 C CNN
F 1 "USB_FPGA" H 5200 7500 50 0000 C CNN
F 2 "usb_otg:USB_Micro-B" V 5150 7200 50 0001 C CNN
F 3 "" V 5150 7200 50 0000 C CNN
1 5200 7300
0 -1 1 0
$EndComp
$Comp
L GND #PWR06
U 1 1 58D43846
P 5600 6550
F 0 "#PWR06" H 5600 6300 50 0001 C CNN
F 1 "GND" H 5600 6400 50 0000 C CNN
F 2 "" H 5600 6550 50 0000 C CNN
F 3 "" H 5600 6550 50 0000 C CNN
1 5600 6550
0 -1 -1 0
$EndComp
Wire Wire Line
5500 6550 5600 6550
Wire Wire Line
5500 7500 5600 7500
$Comp
L GND #PWR07
U 1 1 58D43AF2
P 5600 7500
F 0 "#PWR07" H 5600 7250 50 0001 C CNN
F 1 "GND" H 5600 7350 50 0000 C CNN
F 2 "" H 5600 7500 50 0000 C CNN
F 3 "" H 5600 7500 50 0000 C CNN
1 5600 7500
0 -1 -1 0
$EndComp
$EndSCHEMATC

Loading…
Cancel
Save