You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

2861 lines
77 KiB

/* XPM */
static char * FONT[] = {
/* <Values> */
/* <width/columns> <height/rows> <colors> <chars per pixels>*/
"24 2755 2 1",
/* <Colors> */
"0 c #FFFFFF",
"1 c #000000",
/* <Pixels> */
/* 32 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 33 ! */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 34 " */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011001100000000000000000",
"011001100000000000000000",
"011001100000000000000000",
"011001100000000000000000",
"011001100000000000000000",
"001000100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 35 # */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000110011000000000000",
"000000110011000000000000",
"000000110011000000000000",
"000001100110000000000000",
"001111111111100000000000",
"001111111111100000000000",
"000001100110000000000000",
"000001100110000000000000",
"000011001100000000000000",
"000011001100000000000000",
"001111111111100000000000",
"001111111111100000000000",
"000011001100000000000000",
"000011001100000000000000",
"000110011000000000000000",
"000110011000000000000000",
"000110011000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 36 $ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000011111100000000000000",
"000111111110000000000000",
"001110110111000000000000",
"001100110011000000000000",
"001100110011000000000000",
"001100110000000000000000",
"001110110000000000000000",
"000111110000000000000000",
"000111110000000000000000",
"000001111100000000000000",
"000000111110000000000000",
"000000110111000000000000",
"000000110011000000000000",
"011000110011000000000000",
"011000110011000000000000",
"011100110111000000000000",
"001111111110000000000000",
"000111111100000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 37 % */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000001100000000",
"000111100000011000000000",
"001111110000011000000000",
"011000011000110000000000",
"011000011000110000000000",
"011000011001100000000000",
"011000011001100000000000",
"001111110011000000000000",
"000111100011000000000000",
"000000000110000000000000",
"000000000110001111000000",
"000000001100011111100000",
"000000001100110000110000",
"000000011000110000110000",
"000000011000110000110000",
"000000110000110000110000",
"000000110000011111100000",
"000000100000001111000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 38 & */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000111100000000000000",
"000001111110000000000000",
"000011100111000000000000",
"000011000011000000000000",
"000011000011000000000000",
"000011000011000000000000",
"000001100110000000000000",
"000000111100000000000000",
"000001111000000000000000",
"000011111100011000000000",
"000111001110011000000000",
"000110000111011000000000",
"001100000011110000000000",
"001100000001100000000000",
"001100000011110000000000",
"001110000111011000000000",
"000111111110011100000000",
"000001111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 39 ' */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 40 ( */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000001100000000000000000",
"000001100000000000000000",
/* 41 ) */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
/* 42 * */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000010000000000000000000",
"000010000000000000000000",
"011010110000000000000000",
"001111100000000000000000",
"000111000000000000000000",
"001101100000000000000000",
"001000100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 43 + */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"011111111111100000000000",
"011111111111100000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 44 , */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000100000000000000000000",
"000100000000000000000000",
"001000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 45 - */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111100000000000000000",
"011111100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 46 . */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 47 / */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001100000000000000000",
"000001000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000010000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000100000000000000000000",
"000100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"010000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"100000000000000000000000",
"100000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 48 0 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011111000000000000000",
"000111111100000000000000",
"001110001110000000000000",
"001100000110000000000000",
"001100000110000000000000",
"011100000111000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011100000111000000000000",
"001100000110000000000000",
"001100000110000000000000",
"001110001110000000000000",
"000111111100000000000000",
"000011111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 49 1 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000001110000000000000000",
"001111110000000000000000",
"001111110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 50 2 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011110000000000000000",
"001111111100000000000000",
"001100001110000000000000",
"011000000110000000000000",
"011000000011000000000000",
"011000000011000000000000",
"000000000111000000000000",
"000000000110000000000000",
"000000001110000000000000",
"000000011100000000000000",
"000001111000000000000000",
"000011100000000000000000",
"000111000000000000000000",
"001110000000000000000000",
"011100000000000000000000",
"011000000000000000000000",
"011111111111000000000000",
"011111111111000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 51 3 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011111000000000000000",
"001111111100000000000000",
"001100001100000000000000",
"011000000110000000000000",
"011000000110000000000000",
"011000000110000000000000",
"000000000110000000000000",
"000000001100000000000000",
"000001111000000000000000",
"000001111110000000000000",
"000000000110000000000000",
"000000000011000000000000",
"000000000011000000000000",
"011000000011000000000000",
"011000000110000000000000",
"001100001110000000000000",
"001111111100000000000000",
"000011111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 52 4 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000001100000000000000",
"000000011100000000000000",
"000000011100000000000000",
"000000111100000000000000",
"000001111100000000000000",
"000001101100000000000000",
"000011001100000000000000",
"000111001100000000000000",
"000110001100000000000000",
"001100001100000000000000",
"011100001100000000000000",
"011000001100000000000000",
"011111111111000000000000",
"011111111111000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 53 5 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111110000000000000",
"001111111110000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111110000000000000000",
"001111111100000000000000",
"001110001110000000000000",
"000000000110000000000000",
"000000000111000000000000",
"000000000011000000000000",
"000000000011000000000000",
"011000000111000000000000",
"011000000110000000000000",
"011100001110000000000000",
"001111111100000000000000",
"000011110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 54 6 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001111000000000000000",
"000111111110000000000000",
"001110000110000000000000",
"001100000011000000000000",
"011100000011000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011001111000000000000000",
"011011111100000000000000",
"011110001110000000000000",
"011100000110000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011100000111000000000000",
"001110001110000000000000",
"001111111110000000000000",
"000011111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 55 7 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111111000000000000",
"011111111111000000000000",
"000000000111000000000000",
"000000000110000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000011100000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000111000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 56 8 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001110000000000000000",
"000111111100000000000000",
"000110001100000000000000",
"001100000110000000000000",
"001100000110000000000000",
"001100000110000000000000",
"000110001100000000000000",
"000011111000000000000000",
"000111111100000000000000",
"001110001110000000000000",
"001100000110000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"001100000110000000000000",
"001111111110000000000000",
"000011111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 57 9 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011111000000000000000",
"001111111110000000000000",
"001110001110000000000000",
"011100000110000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011100000111000000000000",
"001110001111000000000000",
"001111111011000000000000",
"000011110011000000000000",
"000000000011000000000000",
"000000000111000000000000",
"011000000110000000000000",
"011100001110000000000000",
"001111111100000000000000",
"000011110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 58 : */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 59 ; */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000100000000000000000000",
"000100000000000000000000",
"001000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 60 < */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000001100000000000",
"000000000111100000000000",
"000000011110000000000000",
"000001111000000000000000",
"000111100000000000000000",
"011100000000000000000000",
"011100000000000000000000",
"000111100000000000000000",
"000001111000000000000000",
"000000011110000000000000",
"000000000111100000000000",
"000000000001100000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 61 = */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111111000000000000",
"001111111111000000000000",
"000000000000000000000000",
"001111111111000000000000",
"001111111111000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 62 > */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011110000000000000000000",
"000111100000000000000000",
"000001111000000000000000",
"000000011110000000000000",
"000000000011100000000000",
"000000000011100000000000",
"000000011110000000000000",
"000001111000000000000000",
"000111100000000000000000",
"011110000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 63 ? */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011111000000000000000",
"001111111100000000000000",
"001110001110000000000000",
"011100000110000000000000",
"011000000110000000000000",
"011000001110000000000000",
"000000001100000000000000",
"000000011100000000000000",
"000000111000000000000000",
"000000110000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 64 @ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000011111111000000",
"000000001111111111110000",
"000000111100000001111000",
"000001110000000000011100",
"000011100000000000000110",
"000011000000000000000110",
"000110000001110011000011",
"000110000011111011000011",
"001100000111000111000011",
"001100001110000110000011",
"001100001100000110000011",
"001100011000000110000011",
"001100011000001100000111",
"001100011000001100000110",
"001100011000001100001110",
"001110011100011100011100",
"000110001111110111111000",
"000111000111100011100000",
"000011100000000000000000",
"000001110000000000000000",
"000000111100000011000000",
"000000011111111111000000",
"000000000011111100000000",
"000000000000000000000000",
/* 65 A */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000011100000000000000",
"000000011100000000000000",
"000000110110000000000000",
"000000110110000000000000",
"000001100010000000000000",
"000001100011000000000000",
"000001100011000000000000",
"000011000001100000000000",
"000011000001100000000000",
"000011000001100000000000",
"000110000000110000000000",
"000111111111110000000000",
"000111111111110000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"011000000000001100000000",
"011000000000001100000000",
"011000000000001100000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 66 B */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111111000000000000",
"001111111111110000000000",
"001100000001110000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000110000000000",
"001111111111100000000000",
"001111111111110000000000",
"001100000000011000000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000011100000000",
"001100000001111000000000",
"001111111111110000000000",
"001111111111000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 67 C */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000111111000000000000",
"000011111111110000000000",
"000111100001111000000000",
"001110000000011100000000",
"001100000000001100000000",
"011100000000001100000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011100000000001100000000",
"001100000000001100000000",
"001110000000011100000000",
"000111100001111000000000",
"000011111111110000000000",
"000000111111000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 68 D */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111111000000000000",
"001111111111110000000000",
"001100000001111000000000",
"001100000000011100000000",
"001100000000001100000000",
"001100000000001110000000",
"001100000000000110000000",
"001100000000000110000000",
"001100000000000110000000",
"001100000000000110000000",
"001100000000000110000000",
"001100000000000110000000",
"001100000000000110000000",
"001100000000001110000000",
"001100000000001100000000",
"001100000000011100000000",
"001100000001111000000000",
"001111111111110000000000",
"001111111111000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 69 E */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111111110000000000",
"001111111111110000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111111111100000000000",
"001111111111100000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111111111110000000000",
"001111111111110000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 70 F */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111111100000000000",
"001111111111100000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111111111000000000000",
"001111111111000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 71 G */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000111111000000000000",
"000011111111110000000000",
"000111100001111000000000",
"001110000000011100000000",
"001100000000001100000000",
"011100000000001100000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000011111110000000",
"011000000011111110000000",
"011000000000000110000000",
"011000000000000110000000",
"011100000000000110000000",
"001100000000001110000000",
"001110000000011110000000",
"000111100001111110000000",
"000011111111110110000000",
"000000111111000110000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 72 H */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001111111111111100000000",
"001111111111111100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 73 I */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 74 J */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"000000000110000000000000",
"011000000110000000000000",
"011000000110000000000000",
"011000000110000000000000",
"011000000110000000000000",
"001100001100000000000000",
"001111111100000000000000",
"000111111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 75 K */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000110000000011100000000",
"000110000000111000000000",
"000110000001110000000000",
"000110000011100000000000",
"000110000111000000000000",
"000110001110000000000000",
"000110011100000000000000",
"000110111000000000000000",
"000111111000000000000000",
"000111111100000000000000",
"000111001110000000000000",
"000110000111000000000000",
"000110000011000000000000",
"000110000011100000000000",
"000110000001110000000000",
"000110000000110000000000",
"000110000000111000000000",
"000110000000011100000000",
"000110000000001100000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 76 L */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111111111100000000000",
"001111111111100000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 77 M */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000001100000",
"001110000000000011100000",
"001110000000000011100000",
"001111000000000111100000",
"001111000000000111100000",
"001101100000001101100000",
"001101100000001101100000",
"001101100000001101100000",
"001100110000011001100000",
"001100110000011001100000",
"001100110000011001100000",
"001100011000110001100000",
"001100011000110001100000",
"001100011000110001100000",
"001100001101100001100000",
"001100001101100001100000",
"001100001101100001100000",
"001100000111000001100000",
"001100000111000001100000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 78 N */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001110000000001100000000",
"001111000000001100000000",
"001111000000001100000000",
"001101100000001100000000",
"001101110000001100000000",
"001100110000001100000000",
"001100111000001100000000",
"001100011000001100000000",
"001100011100001100000000",
"001100001100001100000000",
"001100001110001100000000",
"001100000110001100000000",
"001100000111001100000000",
"001100000011001100000000",
"001100000011101100000000",
"001100000001101100000000",
"001100000000111100000000",
"001100000000111100000000",
"001100000000011100000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 79 O */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000111111000000000000",
"000011111111110000000000",
"000111100001111000000000",
"001110000000011100000000",
"001100000000001100000000",
"011100000000001110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011100000000001110000000",
"001100000000001100000000",
"001110000000011100000000",
"000111100001111000000000",
"000011111111110000000000",
"000000111111000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 80 P */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111111100000000000",
"001111111111110000000000",
"001100000000110000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000110000000000",
"001111111111110000000000",
"001111111111100000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 81 Q */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000111111000000000000",
"000011111111110000000000",
"000111100001111000000000",
"001110000000011100000000",
"001100000000001100000000",
"011100000000001110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011000000000000110000000",
"011100000000001110000000",
"001100000111001100000000",
"001110000011111100000000",
"000111100000111000000000",
"000011111111111100000000",
"000000111111001110000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 82 R */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111111100000000000",
"001111111111110000000000",
"001100000000110000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000110000000000",
"001111111111110000000000",
"001111111111100000000000",
"001100000001110000000000",
"001100000000110000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"001100000000011000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 83 S */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000111110000000000000",
"000011111111100000000000",
"000110000001100000000000",
"001100000000110000000000",
"001100000000110000000000",
"001100000000000000000000",
"001110000000000000000000",
"000111110000000000000000",
"000011111110000000000000",
"000000011111100000000000",
"000000000011110000000000",
"000000000000111000000000",
"000000000000011000000000",
"000000000000011000000000",
"001100000000011000000000",
"001100000000111000000000",
"001111000001110000000000",
"000111111111100000000000",
"000001111110000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 84 T */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111111111000000000",
"011111111111111000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 85 U */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"001100000000001100000000",
"000110000000011000000000",
"000111000000111000000000",
"000011111111110000000000",
"000000111111000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 86 V */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000001100000000",
"011000000000001100000000",
"011100000000011100000000",
"001100000000011000000000",
"001110000000111000000000",
"000110000000110000000000",
"000110000000110000000000",
"000111000001110000000000",
"000011000001100000000000",
"000011000001100000000000",
"000011100011100000000000",
"000001100011000000000000",
"000001100011000000000000",
"000001110111000000000000",
"000000110110000000000000",
"000000110110000000000000",
"000000011100000000000000",
"000000011100000000000000",
"000000011100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 87 W */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000011000000011000",
"011000000011000000011000",
"011000000011000000011000",
"011000000111100000011000",
"001100000111100000110000",
"001100001100110000110000",
"001100001100110000110000",
"001100001100110000110000",
"001100001100110000110000",
"000110001100110001100000",
"000110011000011001100000",
"000110011000011001100000",
"000110011000011001100000",
"000011011000011011000000",
"000011011000011011000000",
"000011110000001111000000",
"000001110000001110000000",
"000001100000000110000000",
"000001100000000110000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 88 X */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000001100000000",
"011100000000011100000000",
"001110000000111000000000",
"000110000000110000000000",
"000011000001100000000000",
"000011100011100000000000",
"000001110111000000000000",
"000000111110000000000000",
"000000011100000000000000",
"000000011100000000000000",
"000000111110000000000000",
"000001110111000000000000",
"000001100011000000000000",
"000011100011100000000000",
"000111000001110000000000",
"000110000000110000000000",
"001100000000011000000000",
"011100000000011100000000",
"011000000000001100000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 89 Y */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000011000000000",
"011100000000111000000000",
"001100000000110000000000",
"001110000001110000000000",
"000110000001100000000000",
"000111000011100000000000",
"000011000011000000000000",
"000011100111000000000000",
"000001100110000000000000",
"000001111110000000000000",
"000000111100000000000000",
"000000111100000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 90 Z */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111111110000000000",
"011111111111110000000000",
"000000000001110000000000",
"000000000011100000000000",
"000000000111000000000000",
"000000001110000000000000",
"000000001110000000000000",
"000000011100000000000000",
"000000111000000000000000",
"000000111000000000000000",
"000001110000000000000000",
"000011100000000000000000",
"000011100000000000000000",
"000111000000000000000000",
"001110000000000000000000",
"001110000000000000000000",
"011100000000000000000000",
"011111111111110000000000",
"011111111111110000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 91 [ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111000000000000000000",
"001111000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111000000000000000000",
"001111000000000000000000",
/* 92 \ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110000000000000000000000",
"010000000000000000000000",
"010000000000000000000000",
"011000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001100000000000000000000",
"000100000000000000000000",
"000100000000000000000000",
"000100000000000000000000",
"000110000000000000000000",
"000010000000000000000000",
"000010000000000000000000",
"000011000000000000000000",
"000001000000000000000000",
"000001000000000000000000",
"000001100000000000000000",
"000000100000000000000000",
"000000100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 93 ] */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011110000000000000000000",
"011110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"011110000000000000000000",
"011110000000000000000000",
/* 94 ^ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000011110000000000000000",
"000010010000000000000000",
"000110011000000000000000",
"001100001100000000000000",
"001100001100000000000000",
"011000000110000000000000",
"011000000110000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 95 _ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"111111111111110000000000",
"111111111111110000000000",
/* 96 ` */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
"000011000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 97 a */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011111000000000000000",
"000111111100000000000000",
"001100001110000000000000",
"001100000110000000000000",
"000000000110000000000000",
"000000111110000000000000",
"000111111110000000000000",
"001111000110000000000000",
"011100000110000000000000",
"011000000110000000000000",
"011000001110000000000000",
"011100011110000000000000",
"001111110111000000000000",
"000111100011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 98 b */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100111100000000000000",
"001101111110000000000000",
"001111000111000000000000",
"001110000011000000000000",
"001100000011100000000000",
"001100000001100000000000",
"001100000001100000000000",
"001100000001100000000000",
"001100000001100000000000",
"001100000011100000000000",
"001110000011000000000000",
"001111000111000000000000",
"001101111110000000000000",
"001100111100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 99 c */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011111000000000000000",
"000111111100000000000000",
"001110001110000000000000",
"001100000110000000000000",
"011100000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011100000000000000000000",
"001100000110000000000000",
"001110001110000000000000",
"000111111100000000000000",
"000011111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 100 d */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000011000000000000",
"000000000011000000000000",
"000000000011000000000000",
"000000000011000000000000",
"000000000011000000000000",
"000011110011000000000000",
"000111111011000000000000",
"001110001111000000000000",
"001100000111000000000000",
"011100000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011100000011000000000000",
"001100000111000000000000",
"001110001111000000000000",
"000111111011000000000000",
"000011110011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 101 e */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001110000000000000000",
"000111111100000000000000",
"001110001110000000000000",
"001100000110000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011111111111000000000000",
"011111111111000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001100000011000000000000",
"001110000111000000000000",
"000111111110000000000000",
"000001111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 102 f */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011100000000000000000",
"000111100000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"011111100000000000000000",
"011111100000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 103 g */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011110011000000000000",
"000111111011000000000000",
"001110001111000000000000",
"001100000111000000000000",
"011100000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011100000011000000000000",
"001100000111000000000000",
"001110001111000000000000",
"000111111011000000000000",
"000011110011000000000000",
"000000000011000000000000",
"011000000011000000000000",
"011100000110000000000000",
"001111111110000000000000",
"000011111000000000000000",
/* 104 h */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100111000000000000000",
"001101111110000000000000",
"001111000110000000000000",
"001110000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 105 i */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 106 j */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"111100000000000000000000",
"111000000000000000000000",
/* 107 k */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000110000000000000",
"001100001110000000000000",
"001100011100000000000000",
"001100111000000000000000",
"001101110000000000000000",
"001111100000000000000000",
"001111110000000000000000",
"001100111000000000000000",
"001100011000000000000000",
"001100011100000000000000",
"001100001110000000000000",
"001100000110000000000000",
"001100000111000000000000",
"001100000011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 108 l */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 109 m */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100111000111100000000",
"001111111101111110000000",
"001110001111000111000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"001100000110000011000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 110 n */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100111000000000000000",
"001101111110000000000000",
"001111000110000000000000",
"001110000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 111 o */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011111000000000000000",
"000111111100000000000000",
"001110001110000000000000",
"001100000110000000000000",
"011100000111000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011100000111000000000000",
"001100000110000000000000",
"001110001110000000000000",
"000111111100000000000000",
"000011111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 112 p */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100111100000000000000",
"001101111110000000000000",
"001111000111000000000000",
"001110000011000000000000",
"001100000011100000000000",
"001100000001100000000000",
"001100000001100000000000",
"001100000001100000000000",
"001100000001100000000000",
"001100000011100000000000",
"001110000011000000000000",
"001111000111000000000000",
"001101111110000000000000",
"001100111100000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
/* 113 q */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011110011000000000000",
"000111111011000000000000",
"001110001111000000000000",
"001100000111000000000000",
"011100000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011100000011000000000000",
"001100000111000000000000",
"001110001111000000000000",
"000111111011000000000000",
"000011110011000000000000",
"000000000011000000000000",
"000000000011000000000000",
"000000000011000000000000",
"000000000011000000000000",
"000000000011000000000000",
/* 114 r */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100110000000000000000",
"001101110000000000000000",
"001111100000000000000000",
"001111000000000000000000",
"001110000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 115 s */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111111000000000000000",
"001111111100000000000000",
"011100001110000000000000",
"011000000110000000000000",
"011000000000000000000000",
"011111000000000000000000",
"001111111000000000000000",
"000001111100000000000000",
"000000001110000000000000",
"011000000110000000000000",
"011000000110000000000000",
"011100001110000000000000",
"001111111100000000000000",
"000111111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 116 t */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"011111100000000000000000",
"011111100000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000111100000000000000000",
"000011100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 117 u */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000111000000000000",
"000110001111000000000000",
"000111111011000000000000",
"000001110011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 118 v */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"001100000110000000000000",
"001100000110000000000000",
"001110001110000000000000",
"000110001100000000000000",
"000110001100000000000000",
"000011011000000000000000",
"000011011000000000000000",
"000011011000000000000000",
"000001110000000000000000",
"000001110000000000000000",
"000001110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 119 w */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110000001100000011000000",
"110000001100000011000000",
"011000011110000110000000",
"011000011110000110000000",
"011000011110000110000000",
"001100010010001100000000",
"001100110011001100000000",
"001100110011001100000000",
"000110110011011000000000",
"000110100001011000000000",
"000111100001111000000000",
"000011100001110000000000",
"000011000000110000000000",
"000011000000110000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 120 x */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000110000000000000",
"011100001110000000000000",
"001100001100000000000000",
"000110011000000000000000",
"000011110000000000000000",
"000011110000000000000000",
"000001100000000000000000",
"000011110000000000000000",
"000011110000000000000000",
"000110011000000000000000",
"001110011100000000000000",
"001100001100000000000000",
"011000000110000000000000",
"011000000110000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 121 y */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110000000011000000000000",
"110000000011000000000000",
"011000000011000000000000",
"011100000110000000000000",
"001100000110000000000000",
"001110001110000000000000",
"000110001100000000000000",
"000110001100000000000000",
"000011011000000000000000",
"000011011000000000000000",
"000001111000000000000000",
"000001110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000011000000000000000000",
"001111000000000000000000",
"001110000000000000000000",
/* 122 z */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111110000000000000",
"011111111110000000000000",
"000000001100000000000000",
"000000011000000000000000",
"000000111000000000000000",
"000001110000000000000000",
"000001100000000000000000",
"000011100000000000000000",
"000111000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"011100000000000000000000",
"011111111110000000000000",
"011111111110000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 123 { */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001100000000000000000",
"000011000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000011000000000000000000",
"000001100000000000000000",
/* 124 | */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
/* 125 } */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000011000000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000011000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
/* 126 ~ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111000011000000000000",
"001111110011000000000000",
"001100111111000000000000",
"001100001110000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
};