You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

2196 lines
58 KiB

/* XPM */
static char * FONT[] = {
/* <Values> */
/* <width/columns> <height/rows> <colors> <chars per pixels>*/
"24 2090 2 1",
/* <Colors> */
"0 c #FFFFFF",
"1 c #000000",
/* <Pixels> */
/* 32 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 33 ! */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 34 " */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110110000000000000000000",
"110110000000000000000000",
"110110000000000000000000",
"110110000000000000000000",
"110110000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 35 # */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000010010000000000000000",
"000010010000000000000000",
"000010010000000000000000",
"011111111100000000000000",
"011111111100000000000000",
"000100100000000000000000",
"000100100000000000000000",
"000100100000000000000000",
"111111111000000000000000",
"111111111000000000000000",
"001001000000000000000000",
"001001000000000000000000",
"001001000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 36 $ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001000000000000000000",
"000111110000000000000000",
"001111111000000000000000",
"011001011000000000000000",
"011001000000000000000000",
"011101000000000000000000",
"001111000000000000000000",
"000111110000000000000000",
"000001111000000000000000",
"000001001100000000000000",
"011001001100000000000000",
"011101011100000000000000",
"001111111000000000000000",
"000111110000000000000000",
"000001000000000000000000",
"000001000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 37 % */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111000011000000000000",
"011001100110000000000000",
"011001100110000000000000",
"011001101100000000000000",
"011001101100000000000000",
"001111011000000000000000",
"000000011000000000000000",
"000000110011110000000000",
"000000110110011000000000",
"000001100110011000000000",
"000001100110011000000000",
"000011000110011000000000",
"000011000011110000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 38 & */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111100000000000000000",
"001111110000000000000000",
"001100110000000000000000",
"001100110000000000000000",
"000111100000000000000000",
"001111100000000000000000",
"011101110110000000000000",
"011000110110000000000000",
"011000011110000000000000",
"011000011100000000000000",
"011100111110000000000000",
"001111110111000000000000",
"000111100011100000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 39 ' */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"010000000000000000000000",
"010000000000000000000000",
"010000000000000000000000",
"010000000000000000000000",
"010000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 40 ( */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000100000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"000100000000000000000000",
/* 41 ) */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"010000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"010000000000000000000000",
/* 42 * */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000100000000000000000000",
"010101000000000000000000",
"011111000000000000000000",
"000100000000000000000000",
"011111000000000000000000",
"010101000000000000000000",
"000100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 43 + */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"011111111000000000000000",
"011111111000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 44 , */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"010000000000000000000000",
"000000000000000000000000",
/* 45 - */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"111110000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 46 . */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 47 / */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 48 0 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111100000000000000000",
"001111110000000000000000",
"001100110000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"001100110000000000000000",
"001111110000000000000000",
"000111100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 49 1 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001100000000000000000",
"001111100000000000000000",
"001111100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 50 2 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111100000000000000000",
"011111110000000000000000",
"011000011000000000000000",
"000000011000000000000000",
"000000111000000000000000",
"000001110000000000000000",
"000011100000000000000000",
"000111000000000000000000",
"001110000000000000000000",
"011100000000000000000000",
"011000000000000000000000",
"011111111000000000000000",
"011111111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 51 3 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111110000000000000000",
"001111111000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"000000110000000000000000",
"000011100000000000000000",
"000011110000000000000000",
"000000111000000000000000",
"000000011000000000000000",
"011000011000000000000000",
"011000111000000000000000",
"001111110000000000000000",
"000111100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 52 4 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000110000000000000000",
"000001110000000000000000",
"000011110000000000000000",
"000110110000000000000000",
"001100110000000000000000",
"001100110000000000000000",
"011000110000000000000000",
"110000110000000000000000",
"111111111000000000000000",
"111111111000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 53 5 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111110000000000000000",
"011111110000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011111100000000000000000",
"011111110000000000000000",
"011000111000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"011000011000000000000000",
"011000111000000000000000",
"011111110000000000000000",
"001111100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 54 6 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111100000000000000000",
"001111111000000000000000",
"001100011000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011011100000000000000000",
"011111110000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011100011000000000000000",
"001111110000000000000000",
"000111100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 55 7 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111000000000000000",
"011111111000000000000000",
"000000011000000000000000",
"000000110000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 56 8 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111100000000000000000",
"001111110000000000000000",
"011100111000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"001100110000000000000000",
"001111110000000000000000",
"011100111000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011100111000000000000000",
"001111110000000000000000",
"000111100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 57 9 */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111100000000000000000",
"001111110000000000000000",
"011000111000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"001111111000000000000000",
"000111011000000000000000",
"000000011000000000000000",
"000000011000000000000000",
"011000110000000000000000",
"011111110000000000000000",
"001111100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 58 : */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 59 ; */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001000000000000000000000",
"001000000000000000000000",
"010000000000000000000000",
"000000000000000000000000",
/* 60 < */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000011000000000000000",
"000001111000000000000000",
"000111100000000000000000",
"001110000000000000000000",
"011000000000000000000000",
"001110000000000000000000",
"000111100000000000000000",
"000001111000000000000000",
"000000011000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 61 = */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111000000000000000",
"001111111000000000000000",
"000000000000000000000000",
"001111111000000000000000",
"001111111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 62 > */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011110000000000000000000",
"000111100000000000000000",
"000001110000000000000000",
"000000011000000000000000",
"000001110000000000000000",
"000111100000000000000000",
"011110000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 63 ? */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111100000000000000000",
"011111110000000000000000",
"011000110000000000000000",
"011000110000000000000000",
"000001110000000000000000",
"000011100000000000000000",
"000111000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 64 @ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000011111100000000000",
"000001111111111000000000",
"000011100000011100000000",
"000110000000001100000000",
"001100011110100110000000",
"001100111011100110000000",
"011000110001100110000000",
"011001100011000110000000",
"011001100011001100000000",
"011001100011001100000000",
"011001100110011000000000",
"011001111111110000000000",
"001100111011100000000000",
"001110000000000000000000",
"000111000000000000000000",
"000011111111100000000000",
"000000111111000000000000",
"000000000000000000000000",
/* 65 A */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000011110000000000000000",
"000011110000000000000000",
"000110011000000000000000",
"000110011000000000000000",
"001100001100000000000000",
"001100001100000000000000",
"001111111100000000000000",
"011111111110000000000000",
"011000000110000000000000",
"011000000110000000000000",
"110000000011000000000000",
"110000000011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 66 B */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111000000000000000",
"011111111100000000000000",
"011000001110000000000000",
"011000000110000000000000",
"011000000110000000000000",
"011000001100000000000000",
"011111111100000000000000",
"011111111110000000000000",
"011000000111000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000111000000000000",
"011111111110000000000000",
"011111111100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 67 C */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001111100000000000000",
"000111111111000000000000",
"001110000011100000000000",
"001100000001100000000000",
"011100000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011100000000000000000000",
"001100000001100000000000",
"001110000011100000000000",
"000111111111000000000000",
"000001111100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 68 D */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111100000000000000",
"011111111110000000000000",
"011000000111000000000000",
"011000000011000000000000",
"011000000001100000000000",
"011000000001100000000000",
"011000000001100000000000",
"011000000001100000000000",
"011000000001100000000000",
"011000000001100000000000",
"011000000011000000000000",
"011000000111000000000000",
"011111111110000000000000",
"011111111100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 69 E */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111111000000000000",
"001111111111000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111111110000000000000",
"001111111110000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111111111000000000000",
"001111111111000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 70 F */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111110000000000000",
"001111111110000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111111100000000000000",
"001111111100000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 71 G */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001111110000000000000",
"000111111111100000000000",
"001110000001110000000000",
"001100000000110000000000",
"011100000000110000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000111110000000000",
"011000000111110000000000",
"011100000000110000000000",
"001100000000110000000000",
"001110000001110000000000",
"000111111111110000000000",
"000001111110110000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 72 H */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011111111111000000000000",
"011111111111000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 73 I */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 74 J */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"000000110000000000000000",
"110000110000000000000000",
"110000110000000000000000",
"111001110000000000000000",
"011111100000000000000000",
"001111000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 75 K */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000011100000000000",
"001100000111000000000000",
"001100001110000000000000",
"001100011100000000000000",
"001100111000000000000000",
"001101110000000000000000",
"001111100000000000000000",
"001111110000000000000000",
"001100111000000000000000",
"001100011100000000000000",
"001100001110000000000000",
"001100000111000000000000",
"001100000011100000000000",
"001100000001100000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 76 L */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011111111100000000000000",
"011111111100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 77 M */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000011000000000",
"011000000000011000000000",
"011100000000111000000000",
"011100000000111000000000",
"011110000001111000000000",
"011110000001111000000000",
"011011000011011000000000",
"011011000011011000000000",
"011001100110011000000000",
"011001100110011000000000",
"011000100100011000000000",
"011000111100011000000000",
"011000011000011000000000",
"011000011000011000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 78 N */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000011000000000000",
"011100000011000000000000",
"011110000011000000000000",
"011110000011000000000000",
"011011000011000000000000",
"011001100011000000000000",
"011001100011000000000000",
"011000110011000000000000",
"011000110011000000000000",
"011000011011000000000000",
"011000001111000000000000",
"011000001111000000000000",
"011000000111000000000000",
"011000000011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 79 O */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001111100000000000000",
"000111111111000000000000",
"001110000011100000000000",
"001100000001100000000000",
"011100000001110000000000",
"011000000000110000000000",
"011000000000110000000000",
"011000000000110000000000",
"011000000000110000000000",
"011100000001110000000000",
"001100000001100000000000",
"001110000011100000000000",
"000111111111000000000000",
"000001111100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 80 P */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111111100000000000000",
"001111111110000000000000",
"001100000111000000000000",
"001100000011000000000000",
"001100000011000000000000",
"001100000111000000000000",
"001111111110000000000000",
"001111111100000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 81 Q */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000001111100000000000000",
"000111111111000000000000",
"001110000011100000000000",
"001100000001100000000000",
"011100000001110000000000",
"011000000000110000000000",
"011000000000110000000000",
"011000000000110000000000",
"011000000000110000000000",
"011100001101110000000000",
"001100001101100000000000",
"001110000111100000000000",
"000111111111000000000000",
"000001111101100000000000",
"000000000001100000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 82 R */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111100000000000000",
"011111111110000000000000",
"011000000111000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000111000000000000",
"011111111110000000000000",
"011111111100000000000000",
"011000000110000000000000",
"011000000110000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 83 S */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000011110000000000000000",
"001111111100000000000000",
"011100001110000000000000",
"011000000110000000000000",
"011100000000000000000000",
"001111100000000000000000",
"000011111000000000000000",
"000000011100000000000000",
"000000001110000000000000",
"000000000110000000000000",
"011000000110000000000000",
"011100001110000000000000",
"001111111100000000000000",
"000111111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 84 T */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111110000000000000",
"011111111110000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 85 U */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"011000000011000000000000",
"001100000110000000000000",
"001111111110000000000000",
"000011111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 86 V */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110000000011000000000000",
"110000000011000000000000",
"011000000110000000000000",
"011000000110000000000000",
"011000000110000000000000",
"001100001100000000000000",
"001100001100000000000000",
"001100001100000000000000",
"000110011000000000000000",
"000110011000000000000000",
"000110011000000000000000",
"000011110000000000000000",
"000011110000000000000000",
"000001100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 87 W */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000001100000110000000",
"011000001100000110000000",
"011000001100000110000000",
"011000011110000110000000",
"001100011110001100000000",
"001100010010001100000000",
"001100110011001100000000",
"001100110011001100000000",
"000110110011011000000000",
"000110110011011000000000",
"000110100001011000000000",
"000011100001110000000000",
"000011000000110000000000",
"000011000000110000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 88 X */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000011000000000000",
"011000000011000000000000",
"001100000110000000000000",
"001110001110000000000000",
"000110001100000000000000",
"000011011000000000000000",
"000001110000000000000000",
"000001110000000000000000",
"000011011000000000000000",
"000110001100000000000000",
"001110001110000000000000",
"001100000110000000000000",
"011000000011000000000000",
"011000000011000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 89 Y */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110000000011000000000000",
"110000000011000000000000",
"011000000110000000000000",
"011000000110000000000000",
"001100001100000000000000",
"001110011100000000000000",
"000110011000000000000000",
"000011110000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000001100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 90 Z */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111111110000000000000",
"011111111110000000000000",
"000000000110000000000000",
"000000001100000000000000",
"000000011000000000000000",
"000000110000000000000000",
"000001100000000000000000",
"000011100000000000000000",
"000011000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"011111111110000000000000",
"011111111110000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 91 [ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"111100000000000000000000",
"111100000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"111100000000000000000000",
"111100000000000000000000",
/* 92 \ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"110000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000110000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 93 ] */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"111100000000000000000000",
"111100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"111100000000000000000000",
"111100000000000000000000",
/* 94 ^ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000010000000000000000000",
"000111000000000000000000",
"001101100000000000000000",
"001101100000000000000000",
"011000110000000000000000",
"011000110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 95 _ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"111111111110000000000000",
"111111111110000000000000",
/* 96 ` */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 97 a */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001111110000000000000000",
"011100111000000000000000",
"011000011000000000000000",
"000000111000000000000000",
"001111111000000000000000",
"011100011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011100111100000000000000",
"001111001100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 98 b */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011011110000000000000000",
"011111111000000000000000",
"011100011000000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011100011000000000000000",
"011111111000000000000000",
"011011110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 99 c */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111110000000000000000",
"001111111000000000000000",
"001100011000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001100011000000000000000",
"001111111000000000000000",
"000111110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 100 d */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000111101100000000000000",
"001111111100000000000000",
"001100011100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"001100011100000000000000",
"001111111100000000000000",
"000111101100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 101 e */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111100000000000000000",
"001111110000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011111111000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011100011000000000000000",
"001111111000000000000000",
"000111100000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 102 f */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111000000000000000000",
"001111000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"111111000000000000000000",
"111111000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 103 g */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111101100000000000000",
"001111111100000000000000",
"001100001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"001100011100000000000000",
"001111111100000000000000",
"000111101100000000000000",
"000000001100000000000000",
"001100011000000000000000",
"001111111000000000000000",
"000011100000000000000000",
/* 104 h */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011011110000000000000000",
"011111111000000000000000",
"011100011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 105 i */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 106 j */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"111000000000000000000000",
"110000000000000000000000",
/* 107 k */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000110000000000000000",
"011001100000000000000000",
"011011000000000000000000",
"011110000000000000000000",
"011111000000000000000000",
"011011000000000000000000",
"011001100000000000000000",
"011001110000000000000000",
"011000110000000000000000",
"011000111000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 108 l */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 109 m */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011011110011110000000000",
"011111111111111000000000",
"011100011100011000000000",
"011000011000011000000000",
"011000011000011000000000",
"011000011000011000000000",
"011000011000011000000000",
"011000011000011000000000",
"011000011000011000000000",
"011000011000011000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 110 n */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011011110000000000000000",
"011111111000000000000000",
"011100011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 111 o */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111110000000000000000",
"001111111000000000000000",
"001100011000000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"001100011000000000000000",
"001111111000000000000000",
"000111110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 112 p */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011011110000000000000000",
"011111111000000000000000",
"011100011000000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011100011000000000000000",
"011111111000000000000000",
"011011110000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
/* 113 q */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111101100000000000000",
"001111111100000000000000",
"001100011100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"011000001100000000000000",
"001100011100000000000000",
"001111111100000000000000",
"000111101100000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000000001100000000000000",
"000000001100000000000000",
/* 114 r */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011011000000000000000000",
"011011000000000000000000",
"011100000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 115 s */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000111100000000000000000",
"001111110000000000000000",
"011000110000000000000000",
"011000000000000000000000",
"011111100000000000000000",
"000111110000000000000000",
"000000110000000000000000",
"011000110000000000000000",
"011111100000000000000000",
"001111000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 116 t */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"111111000000000000000000",
"111111000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001111000000000000000000",
"000111000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 117 u */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000111000000000000000",
"011111111000000000000000",
"001111011000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 118 v */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"001100110000000000000000",
"001100110000000000000000",
"001100110000000000000000",
"000100100000000000000000",
"000111100000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 119 w */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000110001100000000000",
"011000110001100000000000",
"011000110001100000000000",
"001100110011000000000000",
"001100110011000000000000",
"001101001011000000000000",
"000101001010000000000000",
"000111001110000000000000",
"000011001100000000000000",
"000011001100000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 120 x */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000011000000000000000",
"011100111000000000000000",
"001100110000000000000000",
"000111100000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000111100000000000000000",
"001100110000000000000000",
"011100111000000000000000",
"011000011000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 121 y */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"011000011000000000000000",
"001100110000000000000000",
"001100110000000000000000",
"001100110000000000000000",
"000100100000000000000000",
"000111100000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"000011000000000000000000",
"001110000000000000000000",
"001110000000000000000000",
/* 122 z */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011111110000000000000000",
"011111110000000000000000",
"000000110000000000000000",
"000001100000000000000000",
"000011000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"011111110000000000000000",
"011111110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
/* 123 { */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"110000000000000000000000",
"100000000000000000000000",
"110000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
/* 124 | */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
"011000000000000000000000",
/* 125 } */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"110000000000000000000000",
"011000000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"000110000000000000000000",
"000011000000000000000000",
"000110000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"001100000000000000000000",
"011000000000000000000000",
"110000000000000000000000",
/* 126 ~ */
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"001110011000000000000000",
"011111111000000000000000",
"011001110000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
"000000000000000000000000",
};