/* XPM */ static char * FONT[] = { /* */ /* */ "8 1024 2 1", /* */ "0 c #FFFFFF", "1 c #000000", /* */ /* 0 */ "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", /* 1 */ "01111110", "10000001", "10100101", "10000001", "10111101", "10011001", "10000001", "01111110", /* 2 */ "01111110", "11111111", "11011011", "11111111", "11000011", "11100111", "11111111", "01111110", /* 3 */ "01101100", "11111110", "11111110", "11111110", "01111100", "00111000", "00010000", "00000000", /* 4 */ "00010000", "00111000", "01111100", "11111110", "01111100", "00111000", "00010000", "00000000", /* 5 */ "00111000", "01111100", "00111000", "11111110", "11111110", "01111100", "00111000", "01111100", /* 6 */ "00010000", "00010000", "00111000", "01111100", "11111110", "01111100", "00111000", "01111100", /* 7 */ "00000000", "00000000", "00011000", "00111100", "00111100", "00011000", "00000000", "00000000", /* 8 */ "11111111", "11111111", "11100111", "11000011", "11000011", "11100111", "11111111", "11111111", /* 9 */ "00000000", "00111100", "01100110", "01000010", "01000010", "01100110", "00111100", "00000000", /* 10 */ "11111111", "11000011", "10011001", "10111101", "10111101", "10011001", "11000011", "11111111", /* 11 */ "00001111", "00000111", "00001111", "01111101", "11001100", "11001100", "11001100", "01111000", /* 12 */ "00111100", "01100110", "01100110", "01100110", "00111100", "00011000", "01111110", "00011000", /* 13 */ "00111111", "00110011", "00111111", "00110000", "00110000", "01110000", "11110000", "11100000", /* 14 */ "01111111", "01100011", "01111111", "01100011", "01100011", "01100111", "11100110", "11000000", /* 15 */ "10011001", "01011010", "00111100", "11100111", "11100111", "00111100", "01011010", "10011001", /* 16 */ "10000000", "11100000", "11111000", "11111110", "11111000", "11100000", "10000000", "00000000", /* 17 */ "00000010", "00001110", "00111110", "11111110", "00111110", "00001110", "00000010", "00000000", /* 18 */ "00011000", "00111100", "01111110", "00011000", "00011000", "01111110", "00111100", "00011000", /* 19 */ "01100110", "01100110", "01100110", "01100110", "01100110", "00000000", "01100110", "00000000", /* 20 */ "01111111", "11011011", "11011011", "01111011", "00011011", "00011011", "00011011", "00000000", /* 21 */ "00111110", "01100011", "00111000", "01101100", "01101100", "00111000", "11001100", "01111000", /* 22 */ "00000000", "00000000", "00000000", "00000000", "01111110", "01111110", "01111110", "00000000", /* 23 */ "00011000", "00111100", "01111110", "00011000", "01111110", "00111100", "00011000", "11111111", /* 24 */ "00011000", "00111100", "01111110", "00011000", "00011000", "00011000", "00011000", "00000000", /* 25 */ "00011000", "00011000", "00011000", "00011000", "01111110", "00111100", "00011000", "00000000", /* 26 */ "00000000", "00011000", "00001100", "11111110", "00001100", "00011000", "00000000", "00000000", /* 27 */ "00000000", "00110000", "01100000", "11111110", "01100000", "00110000", "00000000", "00000000", /* 28 */ "00000000", "00000000", "11000000", "11000000", "11000000", "11111110", "00000000", "00000000", /* 29 */ "00000000", "00100100", "01100110", "11111111", "01100110", "00100100", "00000000", "00000000", /* 30 */ "00000000", "00011000", "00111100", "01111110", "11111111", "11111111", "00000000", "00000000", /* 31 */ "00000000", "11111111", "11111111", "01111110", "00111100", "00011000", "00000000", "00000000", /* 32 */ "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", /* 33 ! */ "00110000", "01111000", "01111000", "00110000", "00110000", "00000000", "00110000", "00000000", /* 34 " */ "01101100", "01101100", "01101100", "00000000", "00000000", "00000000", "00000000", "00000000", /* 35 # */ "01101100", "01101100", "11111110", "01101100", "11111110", "01101100", "01101100", "00000000", /* 36 $ */ "00110000", "01111100", "11000000", "01111000", "00001100", "11111000", "00110000", "00000000", /* 37 % */ "00000000", "11000110", "11001100", "00011000", "00110000", "01100110", "11000110", "00000000", /* 38 & */ "00111000", "01101100", "00111000", "01110110", "11011100", "11001100", "01110110", "00000000", /* 39 ' */ "01100000", "01100000", "11000000", "00000000", "00000000", "00000000", "00000000", "00000000", /* 40 ( */ "00011000", "00110000", "01100000", "01100000", "01100000", "00110000", "00011000", "00000000", /* 41 ) */ "01100000", "00110000", "00011000", "00011000", "00011000", "00110000", "01100000", "00000000", /* 42 * */ "00000000", "01100110", "00111100", "11111111", "00111100", "01100110", "00000000", "00000000", /* 43 + */ "00000000", "00110000", "00110000", "11111100", "00110000", "00110000", "00000000", "00000000", /* 44 , */ "00000000", "00000000", "00000000", "00000000", "00000000", "00110000", "00110000", "01100000", /* 45 - */ "00000000", "00000000", "00000000", "11111100", "00000000", "00000000", "00000000", "00000000", /* 46 . */ "00000000", "00000000", "00000000", "00000000", "00000000", "00110000", "00110000", "00000000", /* 47 / */ "00000110", "00001100", "00011000", "00110000", "01100000", "11000000", "10000000", "00000000", /* 48 0 */ "01111100", "11000110", "11001110", "11011110", "11110110", "11100110", "01111100", "00000000", /* 49 1 */ "00110000", "01110000", "00110000", "00110000", "00110000", "00110000", "11111100", "00000000", /* 50 2 */ "01111000", "11001100", "00001100", "00111000", "01100000", "11001100", "11111100", "00000000", /* 51 3 */ "01111000", "11001100", "00001100", "00111000", "00001100", "11001100", "01111000", "00000000", /* 52 4 */ "00011100", "00111100", "01101100", "11001100", "11111110", "00001100", "00011110", "00000000", /* 53 5 */ "11111100", "11000000", "11111000", "00001100", "00001100", "11001100", "01111000", "00000000", /* 54 6 */ "00111000", "01100000", "11000000", "11111000", "11001100", "11001100", "01111000", "00000000", /* 55 7 */ "11111100", "11001100", "00001100", "00011000", "00110000", "00110000", "00110000", "00000000", /* 56 8 */ "01111000", "11001100", "11001100", "01111000", "11001100", "11001100", "01111000", "00000000", /* 57 9 */ "01111000", "11001100", "11001100", "01111100", "00001100", "00011000", "01110000", "00000000", /* 58 : */ "00000000", "00110000", "00110000", "00000000", "00000000", "00110000", "00110000", "00000000", /* 59 ; */ "00000000", "00110000", "00110000", "00000000", "00000000", "00110000", "00110000", "01100000", /* 60 < */ "00011000", "00110000", "01100000", "11000000", "01100000", "00110000", "00011000", "00000000", /* 61 = */ "00000000", "00000000", "11111100", "00000000", "00000000", "11111100", "00000000", "00000000", /* 62 > */ "01100000", "00110000", "00011000", "00001100", "00011000", "00110000", "01100000", "00000000", /* 63 ? */ "01111000", "11001100", "00001100", "00011000", "00110000", "00000000", "00110000", "00000000", /* 64 @ */ "01111100", "11000110", "11011110", "11011110", "11011110", "11000000", "01111000", "00000000", /* 65 A */ "00110000", "01111000", "11001100", "11001100", "11111100", "11001100", "11001100", "00000000", /* 66 B */ "11111100", "01100110", "01100110", "01111100", "01100110", "01100110", "11111100", "00000000", /* 67 C */ "00111100", "01100110", "11000000", "11000000", "11000000", "01100110", "00111100", "00000000", /* 68 D */ "11111000", "01101100", "01100110", "01100110", "01100110", "01101100", "11111000", "00000000", /* 69 E */ "11111110", "01100010", "01101000", "01111000", "01101000", "01100010", "11111110", "00000000", /* 70 F */ "11111110", "01100010", "01101000", "01111000", "01101000", "01100000", "11110000", "00000000", /* 71 G */ "00111100", "01100110", "11000000", "11000000", "11001110", "01100110", "00111110", "00000000", /* 72 H */ "11001100", "11001100", "11001100", "11111100", "11001100", "11001100", "11001100", "00000000", /* 73 I */ "01111000", "00110000", "00110000", "00110000", "00110000", "00110000", "01111000", "00000000", /* 74 J */ "00011110", "00001100", "00001100", "00001100", "11001100", "11001100", "01111000", "00000000", /* 75 K */ "11100110", "01100110", "01101100", "01111000", "01101100", "01100110", "11100110", "00000000", /* 76 L */ "11110000", "01100000", "01100000", "01100000", "01100010", "01100110", "11111110", "00000000", /* 77 M */ "11000110", "11101110", "11111110", "11111110", "11010110", "11000110", "11000110", "00000000", /* 78 N */ "11000110", "11100110", "11110110", "11011110", "11001110", "11000110", "11000110", "00000000", /* 79 O */ "00111000", "01101100", "11000110", "11000110", "11000110", "01101100", "00111000", "00000000", /* 80 P */ "11111100", "01100110", "01100110", "01111100", "01100000", "01100000", "11110000", "00000000", /* 81 Q */ "01111000", "11001100", "11001100", "11001100", "11011100", "01111000", "00011100", "00000000", /* 82 R */ "11111100", "01100110", "01100110", "01111100", "01101100", "01100110", "11100110", "00000000", /* 83 S */ "01111000", "11001100", "11100000", "01110000", "00011100", "11001100", "01111000", "00000000", /* 84 T */ "11111100", "10110100", "00110000", "00110000", "00110000", "00110000", "01111000", "00000000", /* 85 U */ "11001100", "11001100", "11001100", "11001100", "11001100", "11001100", "11111100", "00000000", /* 86 V */ "11001100", "11001100", "11001100", "11001100", "11001100", "01111000", "00110000", "00000000", /* 87 W */ "11000110", "11000110", "11000110", "11010110", "11111110", "11101110", "11000110", "00000000", /* 88 X */ "11000110", "11000110", "01101100", "00111000", "00111000", "01101100", "11000110", "00000000", /* 89 Y */ "11001100", "11001100", "11001100", "01111000", "00110000", "00110000", "01111000", "00000000", /* 90 Z */ "11111110", "11000110", "10001100", "00011000", "00110010", "01100110", "11111110", "00000000", /* 91 [ */ "01111000", "01100000", "01100000", "01100000", "01100000", "01100000", "01111000", "00000000", /* 92 \ */ "11000000", "01100000", "00110000", "00011000", "00001100", "00000110", "00000010", "00000000", /* 93 ] */ "01111000", "00011000", "00011000", "00011000", "00011000", "00011000", "01111000", "00000000", /* 94 ^ */ "00010000", "00111000", "01101100", "11000110", "00000000", "00000000", "00000000", "00000000", /* 95 _ */ "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", "11111111", /* 96 ` */ "00110000", "00110000", "00011000", "00000000", "00000000", "00000000", "00000000", "00000000", /* 97 a */ "00000000", "00000000", "01111000", "00001100", "01111100", "11001100", "01110110", "00000000", /* 98 b */ "11100000", "01100000", "01100000", "01111100", "01100110", "01100110", "11011100", "00000000", /* 99 c */ "00000000", "00000000", "01111000", "11001100", "11000000", "11001100", "01111000", "00000000", /* 100 d */ "00011100", "00001100", "00001100", "01111100", "11001100", "11001100", "01110110", "00000000", /* 101 e */ "00000000", "00000000", "01111000", "11001100", "11111100", "11000000", "01111000", "00000000", /* 102 f */ "00111000", "01101100", "01100000", "11110000", "01100000", "01100000", "11110000", "00000000", /* 103 g */ "00000000", "00000000", "01110110", "11001100", "11001100", "01111100", "00001100", "11111000", /* 104 h */ "11100000", "01100000", "01101100", "01110110", "01100110", "01100110", "11100110", "00000000", /* 105 i */ "00110000", "00000000", "01110000", "00110000", "00110000", "00110000", "01111000", "00000000", /* 106 j */ "00001100", "00000000", "00001100", "00001100", "00001100", "11001100", "11001100", "01111000", /* 107 k */ "11100000", "01100000", "01100110", "01101100", "01111000", "01101100", "11100110", "00000000", /* 108 l */ "01110000", "00110000", "00110000", "00110000", "00110000", "00110000", "01111000", "00000000", /* 109 m */ "00000000", "00000000", "11001100", "11111110", "11111110", "11010110", "11000110", "00000000", /* 110 n */ "00000000", "00000000", "11111000", "11001100", "11001100", "11001100", "11001100", "00000000", /* 111 o */ "00000000", "00000000", "01111000", "11001100", "11001100", "11001100", "01111000", "00000000", /* 112 p */ "00000000", "00000000", "11011100", "01100110", "01100110", "01111100", "01100000", "11110000", /* 113 q */ "00000000", "00000000", "01110110", "11001100", "11001100", "01111100", "00001100", "00011110", /* 114 r */ "00000000", "00000000", "11011100", "01110110", "01100110", "01100000", "11110000", "00000000", /* 115 s */ "00000000", "00000000", "01111100", "11000000", "01111000", "00001100", "11111000", "00000000", /* 116 t */ "00010000", "00110000", "01111100", "00110000", "00110000", "00110100", "00011000", "00000000", /* 117 u */ "00000000", "00000000", "11001100", "11001100", "11001100", "11001100", "01110110", "00000000", /* 118 v */ "00000000", "00000000", "11001100", "11001100", "11001100", "01111000", "00110000", "00000000", /* 119 w */ "00000000", "00000000", "11000110", "11010110", "11111110", "11111110", "01101100", "00000000", /* 120 x */ "00000000", "00000000", "11000110", "01101100", "00111000", "01101100", "11000110", "00000000", /* 121 y */ "00000000", "00000000", "11001100", "11001100", "11001100", "01111100", "00001100", "11111000", /* 122 z */ "00000000", "00000000", "11111100", "10011000", "00110000", "01100100", "11111100", "00000000", /* 123 { */ "00011100", "00110000", "00110000", "11100000", "00110000", "00110000", "00011100", "00000000", /* 124 | */ "00011000", "00011000", "00011000", "00000000", "00011000", "00011000", "00011000", "00000000", /* 125 } */ "11100000", "00110000", "00110000", "00011100", "00110000", "00110000", "11100000", "00000000", /* 126 ~ */ "01110110", "11011100", "00000000", "00000000", "00000000", "00000000", "00000000", "00000000", /* 127 */ "00000000", "00010000", "00111000", "01101100", "11000110", "11000110", "11111110", "00000000", };