/* XPM */ static char * FONT[] = { /* */ /* */ "16 1900 2 1", /* */ "0 c #FFFFFF", "1 c #000000", /* */ /* 32 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 33 ! */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 34 " */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100100000000000", "0100100000000000", "0100100000000000", "0100100000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 35 # */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001001000000000", "0001001000000000", "0001001000000000", "0111111100000000", "0010010000000000", "0010010000000000", "0010010000000000", "1111111000000000", "0100100000000000", "0100100000000000", "0100100000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 36 $ */ "0000000000000000", "0000000000000000", "0000000000000000", "0000100000000000", "0011111000000000", "0100100100000000", "0100100100000000", "0100100000000000", "0010100000000000", "0001100000000000", "0000110000000000", "0000101000000000", "0000100100000000", "0100100100000000", "0100100100000000", "0011111000000000", "0000100000000000", "0000100000000000", "0000000000000000", "0000000000000000", /* 37 % */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0111000001000000", "1000100010000000", "1000100010000000", "1000100100000000", "0111001000000000", "0000001000000000", "0000010000000000", "0000010011100000", "0000100100010000", "0001000100010000", "0001000100010000", "0010000011100000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 38 & */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001100000000000", "0010010000000000", "0100001000000000", "0100001000000000", "0010010000000000", "0001100000000000", "0010100100000000", "0100010100000000", "1000001000000000", "1000001100000000", "0100010010000000", "0011100001000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 39 ' */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1100000000000000", "1100000000000000", "0100000000000000", "0100000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 40 ( */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0001000000000000", /* 41 ) */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0001000000000000", "0001000000000000", "0001000000000000", "0001000000000000", "0001000000000000", "0001000000000000", "0001000000000000", "0001000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0100000000000000", /* 42 * */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "1010100000000000", "0111000000000000", "0101000000000000", "1000100000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 43 + */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "1111111110000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 44 , */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0100000000000000", "0000000000000000", "0000000000000000", /* 45 - */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1111000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 46 . */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 47 / */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001000000000000", "0001000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "1000000000000000", "1000000000000000", "1000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 48 0 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0010001000000000", "0010001000000000", "0001110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 49 1 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000010000000000", "0000010000000000", "0000110000000000", "0001010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 50 2 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0000000100000000", "0000001000000000", "0000010000000000", "0001100000000000", "0010000000000000", "0100000000000000", "0100000000000000", "0111111100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 51 3 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0000001000000000", "0001110000000000", "0000001000000000", "0000000100000000", "0100000100000000", "0100000100000000", "0010001000000000", "0001110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 52 4 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000110000000000", "0001010000000000", "0001010000000000", "0010010000000000", "0010010000000000", "0100010000000000", "0100010000000000", "1000010000000000", "1111111100000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 53 5 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001111100000000", "0001000000000000", "0001000000000000", "0010000000000000", "0011110000000000", "0010001000000000", "0000000100000000", "0000000100000000", "0000000100000000", "0100000100000000", "0010001000000000", "0001110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 54 6 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001111000000000", "0010000100000000", "0100000100000000", "0100000000000000", "0101110000000000", "0110001000000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0010001000000000", "0001110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 55 7 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1111111100000000", "0000000100000000", "0000001000000000", "0000010000000000", "0000010000000000", "0000100000000000", "0000100000000000", "0001000000000000", "0001000000000000", "0001000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 56 8 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0010001000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0100000100000000", "0010001000000000", "0001110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 57 9 */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0010001100000000", "0001110100000000", "0000000100000000", "0100000100000000", "0100001000000000", "0011110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 58 : */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 59 ; */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0100000000000000", "0000000000000000", "0000000000000000", /* 60 < */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000110000000", "0000011000000000", "0001100000000000", "0110000000000000", "1000000000000000", "0110000000000000", "0001100000000000", "0000011000000000", "0000000110000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 61 = */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1111111100000000", "0000000000000000", "0000000000000000", "1111111100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 62 > */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0110000000000000", "0001100000000000", "0000011000000000", "0000000110000000", "0000000001000000", "0000000110000000", "0000011000000000", "0001100000000000", "0110000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 63 ? */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0000000100000000", "0000001000000000", "0000010000000000", "0000100000000000", "0000100000000000", "0000000000000000", "0000100000000000", "0000100000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 64 @ */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000001111000000", "0000110000110000", "0001000000001000", "0010000111101000", "0010011000100100", "0100010000100100", "0100100001000100", "0100100001000100", "0100100001001000", "0100110011001000", "0010011100110000", "0010000000000000", "0001100000110000", "0000011111000000", "0000000000000000", "0000000000000000", /* 65 A */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000110000000000", "0000110000000000", "0001001000000000", "0001001000000000", "0001001000000000", "0010000100000000", "0010000100000000", "0111111110000000", "0100000010000000", "0100000010000000", "1000000001000000", "1000000001000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 66 B */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0111111000000000", "0100000100000000", "0100000010000000", "0100000010000000", "0100000100000000", "0111111100000000", "0100000010000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000010000000", "0111111100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 67 C */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000011110000000", "0001100001000000", "0010000000100000", "0010000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0010000000000000", "0010000000100000", "0001100001000000", "0000011110000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 68 D */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0111111000000000", "0100000110000000", "0100000001000000", "0100000001000000", "0100000000100000", "0100000000100000", "0100000000100000", "0100000000100000", "0100000001000000", "0100000001000000", "0100000110000000", "0111111000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 69 E */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0111111110000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0111111110000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0111111110000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 70 F */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0111111100000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0111111100000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 71 G */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000011100000000", "0001100011000000", "0010000000100000", "0010000000000000", "0100000000000000", "0100000000000000", "0100001111100000", "0100000000100000", "0010000000100000", "0010000001100000", "0001100010100000", "0000011100100000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 72 H */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0111111111000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 73 I */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 74 J */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "1000010000000000", "1000010000000000", "1000010000000000", "0111100000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 75 K */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000010000000", "0100000100000000", "0100001000000000", "0100010000000000", "0100100000000000", "0101100000000000", "0110010000000000", "0100010000000000", "0100001000000000", "0100000100000000", "0100000100000000", "0100000010000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 76 L */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0111111100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 77 M */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000010000", "0110000000110000", "0110000000110000", "0101000001010000", "0101000001010000", "0101000001010000", "0100100010010000", "0100100010010000", "0100100010010000", "0100010100010000", "0100010100010000", "0100001000010000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 78 N */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000001000000", "0110000001000000", "0101000001000000", "0101000001000000", "0100100001000000", "0100010001000000", "0100010001000000", "0100001001000000", "0100000101000000", "0100000101000000", "0100000011000000", "0100000001000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 79 O */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000011100000000", "0001100011000000", "0010000000100000", "0010000000100000", "0100000000010000", "0100000000010000", "0100000000010000", "0100000000010000", "0010000000100000", "0010000000100000", "0001100011000000", "0000011100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 80 P */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0011111100000000", "0010000010000000", "0010000001000000", "0010000001000000", "0010000010000000", "0011111100000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 81 Q */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000011100000000", "0001100011000000", "0010000000100000", "0010000000100000", "0100000000010000", "0100000000010000", "0100000000010000", "0100000000010000", "0010000000100000", "0010000100100000", "0001100011000000", "0000011101000000", "0000000000100000", "0000000000000000", "0000000000000000", "0000000000000000", /* 82 R */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0011111100000000", "0010000010000000", "0010000001000000", "0010000001000000", "0010000010000000", "0011111100000000", "0010000010000000", "0010000001000000", "0010000001000000", "0010000001000000", "0010000001000000", "0010000000100000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 83 S */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001111100000000", "0010000010000000", "0100000001000000", "0100000001000000", "0010000000000000", "0001100000000000", "0000011100000000", "0000000010000000", "0100000001000000", "0100000001000000", "0010000010000000", "0001111100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 84 T */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1111111110000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000100000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 85 U */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0100000001000000", "0010000010000000", "0001111100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 86 V */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1000000001000000", "1000000001000000", "0100000010000000", "0100000010000000", "0100000010000000", "0010000100000000", "0010000100000000", "0001001000000000", "0001001000000000", "0001001000000000", "0000110000000000", "0000110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 87 W */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000100000100", "0100000100000100", "0100000100000100", "0010001010001000", "0010001010001000", "0010001010001000", "0001010001010000", "0001010001010000", "0001010001010000", "0000100000100000", "0000100000100000", "0000100000100000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 88 X */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000010000000", "0010000100000000", "0010000100000000", "0001001000000000", "0001001000000000", "0000110000000000", "0000110000000000", "0001001000000000", "0001001000000000", "0010000100000000", "0010000100000000", "0100000010000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 89 Y */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000001000000", "0010000010000000", "0010000010000000", "0001000100000000", "0001000100000000", "0000101000000000", "0000111000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000010000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 90 Z */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0111111110000000", "0000000100000000", "0000001100000000", "0000001000000000", "0000010000000000", "0000110000000000", "0000100000000000", "0001000000000000", "0011000000000000", "0010000000000000", "0100000000000000", "1111111110000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 91 [ */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0111000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0111000000000000", "0000000000000000", /* 92 \ */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1000000000000000", "1000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0001000000000000", "0001000000000000", "0001000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 93 ] */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1110000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "1110000000000000", "0000000000000000", /* 94 ^ */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001000000000000", "0010100000000000", "0010100000000000", "0100010000000000", "0100010000000000", "1000001000000000", "1000001000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 95 _ */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1111111110000000", "0000000000000000", /* 96 ` */ "0000000000000000", "0000000000000000", "0000000000000000", "1000000000000000", "1100000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 97 a */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0011111000000000", "0100000100000000", "0000000100000000", "0000001100000000", "0011110100000000", "0100000100000000", "0100000100000000", "0100001100000000", "0011110110000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 98 b */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0101110000000000", "0110001000000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0110001000000000", "0101110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 99 c */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000100000000", "0010001000000000", "0001110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 100 d */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000100000000", "0000000100000000", "0000000100000000", "0001110100000000", "0010001100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0010001100000000", "0001110100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 101 e */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0111111100000000", "0100000000000000", "0100000100000000", "0010001000000000", "0001110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 102 f */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001100000000000", "0010000000000000", "0010000000000000", "0111100000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 103 g */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110100000000", "0010001100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0010001100000000", "0001110100000000", "0000000100000000", "0100000100000000", "0100001000000000", "0011110000000000", /* 104 h */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0101111000000000", "0110000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 105 i */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 106 j */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "1000000000000000", /* 107 k */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1000000000000000", "1000000000000000", "1000000000000000", "1000010000000000", "1000100000000000", "1001000000000000", "1010000000000000", "1110000000000000", "1001000000000000", "1000100000000000", "1000010000000000", "1000001000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 108 l */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 109 m */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010111001110000", "0011000110001000", "0010000100001000", "0010000100001000", "0010000100001000", "0010000100001000", "0010000100001000", "0010000100001000", "0010000100001000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 110 n */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0101111000000000", "0110000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 111 o */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110000000000", "0010001000000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0010001000000000", "0001110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 112 p */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0101110000000000", "0110001000000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0110001000000000", "0101110000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", /* 113 q */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001110100000000", "0010001100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0010001100000000", "0001110100000000", "0000000100000000", "0000000100000000", "0000000100000000", "0000000100000000", /* 114 r */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0101100000000000", "0110000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 115 s */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0011110000000000", "0100001000000000", "0100001000000000", "0110000000000000", "0001100000000000", "0000011000000000", "0100001000000000", "0100001000000000", "0011110000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 116 t */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0010000000000000", "0010000000000000", "0111100000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0001100000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 117 u */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100000100000000", "0100001100000000", "0011110100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 118 v */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1000001000000000", "1000001000000000", "0100010000000000", "0100010000000000", "0100010000000000", "0010100000000000", "0010100000000000", "0011100000000000", "0001000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 119 w */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1000010000100000", "1000010000100000", "0100010001000000", "0100111001000000", "0100101001000000", "0010101010000000", "0010101010000000", "0001000100000000", "0001000100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 120 x */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1000001000000000", "0100010000000000", "0100010000000000", "0010100000000000", "0001000000000000", "0010100000000000", "0100010000000000", "0100010000000000", "1000001000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 121 y */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "1000001000000000", "1000001000000000", "0100010000000000", "0100010000000000", "0010100000000000", "0010100000000000", "0011100000000000", "0001000000000000", "0001000000000000", "0010000000000000", "0010000000000000", "1100000000000000", "0000000000000000", /* 122 z */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0111111000000000", "0000001000000000", "0000010000000000", "0000100000000000", "0001000000000000", "0001000000000000", "0010000000000000", "0100000000000000", "0111111000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", /* 123 { */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0001000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0100000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0001000000000000", /* 124 | */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", "0100000000000000", /* 125 } */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0100000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0001000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0010000000000000", "0100000000000000", /* 126 ~ */ "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0011100010000000", "0100011100000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", "0000000000000000", };