/* XPM */ static char * FONT[] = { /* */ /* */ "32 3610 2 1", /* */ "0 c #FFFFFF", "1 c #000000", /* */ /* 32 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 33 ! */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000100000000000000000000000000", "00000100000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 34 " */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111001110000000000000000000000", "00111001110000000000000000000000", "00111001110000000000000000000000", "00111001110000000000000000000000", "00111001110000000000000000000000", "00111001110000000000000000000000", "00010000100000000000000000000000", "00010000100000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 35 # */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000001110000111000000000000000", "00000001110000111000000000000000", "00000001100000110000000000000000", "00000001100000110000000000000000", "00000011100001110000000000000000", "00000011100001110000000000000000", "00111111111111111100000000000000", "00111111111111111100000000000000", "00111111111111111100000000000000", "00000111000011100000000000000000", "00000111000011100000000000000000", "00000110000011000000000000000000", "00001110000111000000000000000000", "00001110000111000000000000000000", "01111111111111111000000000000000", "01111111111111111000000000000000", "01111111111111111000000000000000", "00011100001110000000000000000000", "00011100001110000000000000000000", "00011000001100000000000000000000", "00011000001100000000000000000000", "00111000011100000000000000000000", "00111000011100000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 36 $ */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000110000000000000000000000", "00000000110000000000000000000000", "00000000110000000000000000000000", "00000111111110000000000000000000", "00001111111111000000000000000000", "00011110110111100000000000000000", "00111000110001110000000000000000", "00111000110001110000000000000000", "01110000110001110000000000000000", "01110000110000000000000000000000", "01110000110000000000000000000000", "00111000110000000000000000000000", "00111110110000000000000000000000", "00011111111000000000000000000000", "00001111111110000000000000000000", "00000011111111100000000000000000", "00000000111111110000000000000000", "00000000110011110000000000000000", "00000000110001111000000000000000", "00000000110000111000000000000000", "01110000110000111000000000000000", "01110000110000111000000000000000", "00111000110001110000000000000000", "00111000110001110000000000000000", "00011110110111100000000000000000", "00011111111111000000000000000000", "00000111111110000000000000000000", "00000000110000000000000000000000", "00000000110000000000000000000000", "00000000110000000000000000000000", "00000000110000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 37 % */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000001100000000000", "00001111100000000011100000000000", "00111111110000000011000000000000", "00111001111000000111000000000000", "01110000011000000110000000000000", "01100000011100001110000000000000", "01100000011100001100000000000000", "01100000011100011100000000000000", "01110000011000011000000000000000", "00111001111000111000000000000000", "00111111110000110000000000000000", "00001111100001110000000000000000", "00000000000001100000000000000000", "00000000000011100001111100000000", "00000000000011000011111111000000", "00000000000111000111100111000000", "00000000000110000110000011100000", "00000000001110001110000001100000", "00000000001100001110000001100000", "00000000011100001110000001100000", "00000000011000000110000011100000", "00000000111000000111000111000000", "00000000110000000011111111000000", "00000001110000000001111100000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 38 & */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000001111100000000000000000000", "00000011111110000000000000000000", "00000111100111000000000000000000", "00001111000011100000000000000000", "00001110000011100000000000000000", "00001110000011100000000000000000", "00001111000111000000000000000000", "00000111101111000000000000000000", "00000011111110000000000000000000", "00000001111100000000000000000000", "00000011111000000000000000000000", "00001111111100000000000000000000", "00011110011100011100000000000000", "00011100001110011100000000000000", "00111000001111011000000000000000", "00111000000111111000000000000000", "00111000000011110000000000000000", "00111000000001110000000000000000", "00111100000011111000000000000000", "00011110000111111100000000000000", "00011111111111011110000000000000", "00001111111110001111000000000000", "00000011110000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 39 ' */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00010000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 40 ( */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000001100000000000000000000000", "00000011000000000000000000000000", "00000011000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00001110000000000000000000000000", "00001100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00011000000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00001100000000000000000000000000", "00001110000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00000011000000000000000000000000", "00000011000000000000000000000000", "00000001100000000000000000000000", /* 41 ) */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01100000000000000000000000000000", "00110000000000000000000000000000", "00110000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011100000000000000000000000000", "00001100000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000110000000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00011100000000000000000000000000", "00011000000000000000000000000000", "00111000000000000000000000000000", "00110000000000000000000000000000", "00110000000000000000000000000000", "01100000000000000000000000000000", /* 42 * */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00100110010000000000000000000000", "01110110111000000000000000000000", "00111111110000000000000000000000", "00011111100000000000000000000000", "00001111000000000000000000000000", "00011111100000000000000000000000", "00111001110000000000000000000000", "01110000111000000000000000000000", "00100000010000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 43 + */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "01111111111111111100000000000000", "01111111111111111100000000000000", "01111111111111111100000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 44 , */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00000100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00011000000000000000000000000000", "00010000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 45 - */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01111111100000000000000000000000", "01111111100000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 46 . */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 47 / */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000001100000000000000000000000", "00000001100000000000000000000000", "00000001100000000000000000000000", "00000011000000000000000000000000", "00000011000000000000000000000000", "00000011000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00110000000000000000000000000000", "00110000000000000000000000000000", "00110000000000000000000000000000", "01100000000000000000000000000000", "01100000000000000000000000000000", "01100000000000000000000000000000", "11000000000000000000000000000000", "11000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 48 0 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111110000000000000000000", "00011111111111000000000000000000", "00011110001111000000000000000000", "00111100000111100000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "00111000000111100000000000000000", "00011110001111000000000000000000", "00011111111111000000000000000000", "00001111111110000000000000000000", "00000011111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 49 1 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000011000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000001111000000000000000000000", "00000111111000000000000000000000", "00011111111000000000000000000000", "00011111111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 50 2 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111110000000000000000000", "00011111111111000000000000000000", "00111100000111100000000000000000", "00111000000011100000000000000000", "01111000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "00000000000001110000000000000000", "00000000000011100000000000000000", "00000000000111100000000000000000", "00000000001111000000000000000000", "00000000011110000000000000000000", "00000001111100000000000000000000", "00000011111000000000000000000000", "00001111100000000000000000000000", "00011110000000000000000000000000", "00111100000000000000000000000000", "00111000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01111111111111110000000000000000", "01111111111111110000000000000000", "01111111111111110000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 51 3 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111110000000000000000000", "00011111111111000000000000000000", "00011100000111000000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "00000000000011100000000000000000", "00000000000111000000000000000000", "00000001111111000000000000000000", "00000001111110000000000000000000", "00000001111111000000000000000000", "00000000000111100000000000000000", "00000000000011110000000000000000", "00000000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "00111000000011100000000000000000", "00111100000111100000000000000000", "00011111111111000000000000000000", "00001111111110000000000000000000", "00000011111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 52 4 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000110000000000000000000", "00000000001110000000000000000000", "00000000011110000000000000000000", "00000000011110000000000000000000", "00000000111110000000000000000000", "00000001111110000000000000000000", "00000011101110000000000000000000", "00000011101110000000000000000000", "00000111001110000000000000000000", "00001110001110000000000000000000", "00001110001110000000000000000000", "00011100001110000000000000000000", "00111000001110000000000000000000", "00111000001110000000000000000000", "01110000001110000000000000000000", "11100000001110000000000000000000", "11111111111111110000000000000000", "11111111111111110000000000000000", "11111111111111110000000000000000", "00000000001110000000000000000000", "00000000001110000000000000000000", "00000000001110000000000000000000", "00000000001110000000000000000000", "00000000001110000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 53 5 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011111111111100000000000000000", "00011111111111100000000000000000", "00011111111111100000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111011111000000000000000000000", "00111111111110000000000000000000", "00111111111111000000000000000000", "00111100001111100000000000000000", "00111000000011100000000000000000", "00000000000011110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "01110000000001110000000000000000", "01110000000011110000000000000000", "01111000000011100000000000000000", "00111100001111100000000000000000", "00111111111111000000000000000000", "00011111111110000000000000000000", "00000111110000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 54 6 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000001111000000000000000000000", "00000111111110000000000000000000", "00001111111111000000000000000000", "00011110000111000000000000000000", "00011100000011100000000000000000", "00111000000011100000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00110000000000000000000000000000", "01110001111000000000000000000000", "01110111111110000000000000000000", "01111111111111000000000000000000", "01111100000111100000000000000000", "01111000000011100000000000000000", "01111000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "00110000000001110000000000000000", "00111000000001110000000000000000", "00111000000011100000000000000000", "00011100000111100000000000000000", "00011111111111000000000000000000", "00001111111110000000000000000000", "00000011111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 55 7 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01111111111111110000000000000000", "01111111111111110000000000000000", "01111111111111110000000000000000", "00000000000001110000000000000000", "00000000000011100000000000000000", "00000000000011000000000000000000", "00000000000111000000000000000000", "00000000001110000000000000000000", "00000000001110000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000001110000000000000000000000", "00000001110000000000000000000000", "00000011100000000000000000000000", "00000011100000000000000000000000", "00000011100000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 56 8 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111110000000000000000000", "00011111111111000000000000000000", "00011100000111100000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "00111100000111100000000000000000", "00011110001111000000000000000000", "00001111111110000000000000000000", "00000111111100000000000000000000", "00011111111111000000000000000000", "00111100000111100000000000000000", "00111000000011100000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01111000000011100000000000000000", "00111100000111100000000000000000", "00011111111111000000000000000000", "00001111111110000000000000000000", "00000011111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 57 9 */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111110000000000000000000", "00011111111111000000000000000000", "00111100001111100000000000000000", "00111000000111100000000000000000", "01111000000011100000000000000000", "01110000000011110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000011110000000000000000", "01110000000011110000000000000000", "00111000000111110000000000000000", "00111111111111110000000000000000", "00011111111101110000000000000000", "00000111111001110000000000000000", "00000000000001110000000000000000", "00000000000011100000000000000000", "01110000000011100000000000000000", "01111000000111100000000000000000", "00111100001111000000000000000000", "00011111111110000000000000000000", "00011111111100000000000000000000", "00000111110000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 58 : */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 59 ; */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000010000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00001100000000000000000000000000", "00001000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 60 < */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000001100000000000000", "00000000000000111100000000000000", "00000000000011111100000000000000", "00000000011111110000000000000000", "00000001111110000000000000000000", "00000111111000000000000000000000", "00111111100000000000000000000000", "00111100000000000000000000000000", "00111100000000000000000000000000", "00111111100000000000000000000000", "00000111111000000000000000000000", "00000001111110000000000000000000", "00000000011111110000000000000000", "00000000000111111100000000000000", "00000000000000111100000000000000", "00000000000000001100000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 61 = */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111111111111111000000000000000", "00111111111111111000000000000000", "00111111111111111000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111111111111111000000000000000", "00111111111111111000000000000000", "00111111111111111000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 62 > */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01100000000000000000000000000000", "01111000000000000000000000000000", "01111111000000000000000000000000", "00011111110000000000000000000000", "00000011111100000000000000000000", "00000000111111000000000000000000", "00000000001111111000000000000000", "00000000000001111000000000000000", "00000000000001111000000000000000", "00000000001111111000000000000000", "00000000111111000000000000000000", "00000011111100000000000000000000", "00011111110000000000000000000000", "01111111000000000000000000000000", "01111000000000000000000000000000", "01100000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 63 ? */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000001111100000000000000000000", "00000111111111000000000000000000", "00001111111111100000000000000000", "00011110000011100000000000000000", "00011100000001110000000000000000", "00111100000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00000000000001110000000000000000", "00000000000011100000000000000000", "00000000000011100000000000000000", "00000000000111000000000000000000", "00000000001110000000000000000000", "00000000011110000000000000000000", "00000000011100000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 64 @ */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000111110000000000000", "00000000000111111111110000000000", "00000000011111111111111100000000", "00000001111110000001111111000000", "00000011111000000000001111100000", "00000111100000000000000111110000", "00001111000000000000000011110000", "00001110000000000000000001111000", "00011100000001111100011000111000", "00011100000011111110111000011100", "00111000000111100111111000011100", "00111000001110000011111000011100", "00110000011100000001110000001100", "01110000011100000001110000001100", "01110000111100000001110000001100", "01110000111000000011100000011100", "01110000111000000011100000011100", "01110000111000000011100000111000", "01110000111000000111000000111000", "01110000111100000111000001110000", "00111000011110011111100111100000", "00111000011111111011111111000000", "00111100000111110011111110000000", "00011110000000000000000000000000", "00001111000000000000000000000000", "00001111100000000000000000000000", "00000111110000000000000000000000", "00000001111100000011110000000000", "00000000111111111111110000000000", "00000000001111111111000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 65 A */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000011110000000000000000000", "00000000011110000000000000000000", "00000000011111000000000000000000", "00000000111111000000000000000000", "00000000111111000000000000000000", "00000001110011100000000000000000", "00000001110011100000000000000000", "00000001110011100000000000000000", "00000011110011110000000000000000", "00000011100001110000000000000000", "00000011100001110000000000000000", "00000111100001111000000000000000", "00000111100001111000000000000000", "00000111000000111000000000000000", "00001111000000111100000000000000", "00001111111111111100000000000000", "00001111111111111100000000000000", "00011111111111111110000000000000", "00011110000000011110000000000000", "00011100000000001110000000000000", "00111100000000001111000000000000", "00111100000000001111000000000000", "00111000000000000111000000000000", "01111000000000000111100000000000", "01111000000000000111100000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 66 B */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011111111111100000000000000000", "00011111111111111000000000000000", "00011111111111111100000000000000", "00011100000001111100000000000000", "00011100000000011110000000000000", "00011100000000011110000000000000", "00011100000000001110000000000000", "00011100000000001110000000000000", "00011100000000011110000000000000", "00011100000000011100000000000000", "00011100000001111100000000000000", "00011111111111111000000000000000", "00011111111111111000000000000000", "00011111111111111100000000000000", "00011100000000111110000000000000", "00011100000000001111000000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000001111000000000000", "00011100000000011110000000000000", "00011111111111111100000000000000", "00011111111111111100000000000000", "00011111111111110000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 67 C */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000011111110000000000000000", "00000001111111111100000000000000", "00000011111111111110000000000000", "00000111110000011111000000000000", "00001111000000000111100000000000", "00001110000000000011100000000000", "00011100000000000011110000000000", "00011100000000000001110000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000001110000000000", "00111100000000000001110000000000", "00011100000000000011110000000000", "00011110000000000011100000000000", "00001111000000000111100000000000", "00001111110000011111000000000000", "00000111111111111110000000000000", "00000001111111111000000000000000", "00000000011111100000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 68 D */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011111111111100000000000000000", "00011111111111111000000000000000", "00011111111111111100000000000000", "00011100000000111110000000000000", "00011100000000011110000000000000", "00011100000000001111000000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000111100000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000001111000000000000", "00011100000000011110000000000000", "00011100000000111100000000000000", "00011111111111111100000000000000", "00011111111111111000000000000000", "00011111111111100000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 69 E */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011111111111111110000000000000", "00011111111111111110000000000000", "00011111111111111110000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011111111111111110000000000000", "00011111111111111110000000000000", "00011111111111111110000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011111111111111111000000000000", "00011111111111111111000000000000", "00011111111111111111000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 70 F */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011111111111111110000000000000", "00011111111111111110000000000000", "00011111111111111110000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011111111111111000000000000000", "00011111111111111000000000000000", "00011111111111111000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 71 G */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000011111110000000000000000", "00000001111111111100000000000000", "00000111111111111110000000000000", "00001111110000011111100000000000", "00011111000000000111100000000000", "00011110000000000011110000000000", "00111100000000000001110000000000", "00111000000000000001110000000000", "01111000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000001111111111000000000", "01110000000001111111111000000000", "01110000000001111111111000000000", "01110000000000000000111000000000", "01111000000000000000111000000000", "00111000000000000000111000000000", "00111100000000000001111000000000", "00011100000000000001111000000000", "00011110000000000111111000000000", "00001111100000001111111000000000", "00000111111111111110111000000000", "00000011111111111000111000000000", "00000000111111100000111000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 72 H */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011111111111111111100000000000", "00011111111111111111100000000000", "00011111111111111111100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 73 I */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 74 J */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "00000000000111000000000000000000", "01110000000111000000000000000000", "01110000000111000000000000000000", "01110000000111000000000000000000", "01110000000111000000000000000000", "01111000001111000000000000000000", "00111100011110000000000000000000", "00111111111110000000000000000000", "00011111111100000000000000000000", "00001111110000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 75 K */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011100000000000111100000000000", "00011100000000001111000000000000", "00011100000000011110000000000000", "00011100000000111100000000000000", "00011100000001111000000000000000", "00011100000011110000000000000000", "00011100000111100000000000000000", "00011100001111000000000000000000", "00011100011110000000000000000000", "00011100111110000000000000000000", "00011101111100000000000000000000", "00011111111110000000000000000000", "00011111111110000000000000000000", "00011111001111000000000000000000", "00011110000111100000000000000000", "00011100000011100000000000000000", "00011100000011110000000000000000", "00011100000001111000000000000000", "00011100000000111000000000000000", "00011100000000111100000000000000", "00011100000000011110000000000000", "00011100000000001111000000000000", "00011100000000000111100000000000", "00011100000000000111110000000000", "00011100000000000011110000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 76 L */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011111111111111000000000000000", "00011111111111111000000000000000", "00011111111111111000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 77 M */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011110000000000000111100000000", "00011110000000000000111100000000", "00011111000000000001111100000000", "00011111000000000001111100000000", "00011111100000000011111100000000", "00011111100000000011111100000000", "00011111100000000011111100000000", "00011101100000000011011100000000", "00011101110000000111011100000000", "00011101110000000111011100000000", "00011100110000000110011100000000", "00011100111000001110011100000000", "00011100111000001110011100000000", "00011100011000001100011100000000", "00011100011100011100011100000000", "00011100011100011100011100000000", "00011100011100011100011100000000", "00011100001100011000011100000000", "00011100001110111000011100000000", "00011100001110111000011100000000", "00011100000110110000011100000000", "00011100000111110000011100000000", "00011100000111110000011100000000", "00011100000011100000011100000000", "00011100000011100000011100000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 78 N */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111100000000000011100000000000", "00111100000000000011100000000000", "00111110000000000011100000000000", "00111111000000000011100000000000", "00111111000000000011100000000000", "00111111100000000011100000000000", "00111011110000000011100000000000", "00111001110000000011100000000000", "00111001111000000011100000000000", "00111000111100000011100000000000", "00111000111100000011100000000000", "00111000011110000011100000000000", "00111000001110000011100000000000", "00111000001111000011100000000000", "00111000000111100011100000000000", "00111000000011100011100000000000", "00111000000011110011100000000000", "00111000000001110011100000000000", "00111000000001111011100000000000", "00111000000000111111100000000000", "00111000000000011111100000000000", "00111000000000011111100000000000", "00111000000000001111100000000000", "00111000000000000111100000000000", "00111000000000000111100000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 79 O */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000011111110000000000000000", "00000001111111111100000000000000", "00000111111101111111000000000000", "00001111100000001111100000000000", "00011111000000000111110000000000", "00011110000000000011110000000000", "00111100000000000001111000000000", "00111000000000000000111000000000", "01111000000000000000111100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01111000000000000000111100000000", "01111000000000000000111100000000", "00111100000000000001111000000000", "00011110000000000011110000000000", "00011111000000000111110000000000", "00001111100000001111100000000000", "00000111111101111111000000000000", "00000001111111111100000000000000", "00000000011111110000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 80 P */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011111111111110000000000000000", "00011111111111111100000000000000", "00011111111111111110000000000000", "00011100000000011110000000000000", "00011100000000001111000000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000000111000000000000", "00011100000000001111000000000000", "00011100000000011110000000000000", "00011111111111111110000000000000", "00011111111111111100000000000000", "00011111111111110000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 81 Q */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000011111110000000000000000", "00000001111111111100000000000000", "00000111111101111111000000000000", "00001111100000001111100000000000", "00011111000000000111110000000000", "00011110000000000011110000000000", "00111100000000000001111000000000", "00111000000000000000111000000000", "01111000000000000000111100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01110000000000000000011100000000", "01111000000000000000111100000000", "01111000000000010000111100000000", "00111100000000111001111000000000", "00011110000000111101110000000000", "00011111000000011111110000000000", "00001111100000001111100000000000", "00000111111101111111110000000000", "00000001111111111101111000000000", "00000000011111110000111100000000", "00000000000000000000011000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 82 R */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011111111111111000000000000000", "00011111111111111110000000000000", "00011111111111111111000000000000", "00011100000000001111000000000000", "00011100000000000111100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000111100000000000", "00011100000000000111000000000000", "00011100000000011111000000000000", "00011111111111111110000000000000", "00011111111111111100000000000000", "00011111111111111110000000000000", "00011100000000011111000000000000", "00011100000000001111000000000000", "00011100000000000111000000000000", "00011100000000000111100000000000", "00011100000000000111100000000000", "00011100000000000111100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011110000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 83 S */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000001111111000000000000000000", "00000111111111110000000000000000", "00001111111111111000000000000000", "00011110000001111100000000000000", "00011100000000011110000000000000", "00111000000000001110000000000000", "00111000000000001110000000000000", "00111000000000000000000000000000", "00111100000000000000000000000000", "00111110000000000000000000000000", "00011111111000000000000000000000", "00001111111111000000000000000000", "00000011111111111000000000000000", "00000000001111111100000000000000", "00000000000001111110000000000000", "00000000000000001111000000000000", "00000000000000000111000000000000", "01110000000000000111000000000000", "01111000000000000111000000000000", "00111000000000001111000000000000", "00111100000000001110000000000000", "00011111000000111110000000000000", "00011111111111111100000000000000", "00000111111111110000000000000000", "00000001111111000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 84 T */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11111111111111111110000000000000", "11111111111111111110000000000000", "11111111111111111110000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 85 U */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011100000000000011100000000000", "00011110000000000111100000000000", "00001111000000001111000000000000", "00001111100000011111000000000000", "00000111111111111110000000000000", "00000011111111111100000000000000", "00000000011111100000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 86 V */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01111000000000001111000000000000", "01111000000000001111000000000000", "01111000000000001111000000000000", "00111000000000001110000000000000", "00111100000000011110000000000000", "00111100000000011110000000000000", "00011100000000011100000000000000", "00011100000000011100000000000000", "00011110000000111100000000000000", "00001110000000111000000000000000", "00001110000000111000000000000000", "00001111000001111000000000000000", "00000111000001110000000000000000", "00000111000001110000000000000000", "00000111100001110000000000000000", "00000011100011100000000000000000", "00000011100011100000000000000000", "00000011110011100000000000000000", "00000001110111000000000000000000", "00000001110111000000000000000000", "00000001110111000000000000000000", "00000000111110000000000000000000", "00000000111110000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 87 W */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01111000000000111000000000111100", "01111000000000111000000000111100", "01111000000001111100000000111100", "00111000000001111100000000111000", "00111000000001111100000000111000", "00111100000011101110000001111000", "00111100000011101110000001111000", "00011100000011101110000001110000", "00011100000011101110000001110000", "00011100000111000111000001110000", "00011110000111000111000011110000", "00001110000111000111000011100000", "00001110000110000111000011100000", "00001110001110000011100011100000", "00001110001110000011100011100000", "00000111001110000011100111000000", "00000111011100000001110111000000", "00000111011100000001110111000000", "00000111011100000001110111000000", "00000011111100000001111111000000", "00000011111000000000111110000000", "00000011111000000000111110000000", "00000011111000000000111110000000", "00000001110000000000011100000000", "00000001110000000000011100000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 88 X */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01111100000000000111100000000000", "00111100000000001111000000000000", "00011110000000011110000000000000", "00001110000000011110000000000000", "00001111000000111100000000000000", "00000111100000111000000000000000", "00000111100001111000000000000000", "00000011110011110000000000000000", "00000001110011100000000000000000", "00000001111111100000000000000000", "00000000111111000000000000000000", "00000000011110000000000000000000", "00000000011110000000000000000000", "00000000111111000000000000000000", "00000000111111000000000000000000", "00000001110011100000000000000000", "00000011110011110000000000000000", "00000011100001110000000000000000", "00000111100001111000000000000000", "00001111000000111100000000000000", "00001111000000111100000000000000", "00011110000000011110000000000000", "00111100000000001111000000000000", "00111100000000001111000000000000", "01111000000000000111100000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 89 Y */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11110000000000000111100000000000", "01111000000000001111000000000000", "01111000000000001110000000000000", "00111100000000011110000000000000", "00011100000000011100000000000000", "00011110000000111100000000000000", "00001110000001111000000000000000", "00001111000001111000000000000000", "00000111100011110000000000000000", "00000011100011100000000000000000", "00000011110111100000000000000000", "00000001110111000000000000000000", "00000001111111000000000000000000", "00000000111110000000000000000000", "00000000111110000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000011100000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 90 Z */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111111111111111110000000000000", "00111111111111111110000000000000", "00111111111111111110000000000000", "00000000000000111100000000000000", "00000000000001111100000000000000", "00000000000001111000000000000000", "00000000000011110000000000000000", "00000000000111100000000000000000", "00000000000111100000000000000000", "00000000001111000000000000000000", "00000000011110000000000000000000", "00000000111100000000000000000000", "00000000111100000000000000000000", "00000001111000000000000000000000", "00000011110000000000000000000000", "00000011110000000000000000000000", "00000111100000000000000000000000", "00001111000000000000000000000000", "00011110000000000000000000000000", "00011110000000000000000000000000", "00111100000000000000000000000000", "01111000000000000000000000000000", "01111111111111111110000000000000", "01111111111111111110000000000000", "01111111111111111110000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 91 [ */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111111000000000000000000000000", "00111111000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111111000000000000000000000000", "00111111000000000000000000000000", /* 92 \ */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11000000000000000000000000000000", "11000000000000000000000000000000", "01100000000000000000000000000000", "01100000000000000000000000000000", "01100000000000000000000000000000", "00110000000000000000000000000000", "00110000000000000000000000000000", "00110000000000000000000000000000", "00010000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00000110000000000000000000000000", "00000010000000000000000000000000", "00000011000000000000000000000000", "00000011000000000000000000000000", "00000011000000000000000000000000", "00000001100000000000000000000000", "00000001100000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 93 ] */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01111110000000000000000000000000", "01111110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "01111110000000000000000000000000", "01111110000000000000000000000000", /* 94 ^ */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011000000000000000000000000", "00000111100000000000000000000000", "00000111100000000000000000000000", "00001111110000000000000000000000", "00001100110000000000000000000000", "00001100110000000000000000000000", "00011100111000000000000000000000", "00011000011000000000000000000000", "00111000011100000000000000000000", "00110000001100000000000000000000", "00110000001100000000000000000000", "01110000001110000000000000000000", "01100000000110000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 95 _ */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11111111111111111100000000000000", "11111111111111111100000000000000", "00000000000000000000000000000000", /* 96 ` */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01110000000000000000000000000000", "01111000000000000000000000000000", "00111000000000000000000000000000", "00011100000000000000000000000000", "00001110000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 97 a */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000111111100000000000000000000", "00011111111111000000000000000000", "00011110001111000000000000000000", "00111000000111100000000000000000", "00111000000011100000000000000000", "00000000000011100000000000000000", "00000000000111100000000000000000", "00000000111111100000000000000000", "00001111111111100000000000000000", "00111111000011100000000000000000", "00111100000011100000000000000000", "01111000000011100000000000000000", "01110000000011100000000000000000", "01110000000111100000000000000000", "01110000001111100000000000000000", "00111100111111110000000000000000", "00111111111011111000000000000000", "00011111110001111000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 98 b */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000111110000000000000000000", "00111011111111000000000000000000", "00111111111111100000000000000000", "00111110000011110000000000000000", "00111100000001110000000000000000", "00111100000001111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111100000001111000000000000000", "00111100000001110000000000000000", "00111111000011110000000000000000", "00111111111111100000000000000000", "00111011111111000000000000000000", "00111001111110000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 99 c */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111110000000000000000000", "00011111111111000000000000000000", "00011100000111100000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000011100000000000000000", "01110000000011100000000000000000", "00111000000011100000000000000000", "00111100000111000000000000000000", "00011111111111000000000000000000", "00001111111110000000000000000000", "00000011111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 100 d */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000011111001110000000000000000", "00001111111101110000000000000000", "00011111111111110000000000000000", "00111110000111110000000000000000", "00111000000011110000000000000000", "01111000000011110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01111000000011110000000000000000", "01111000000011110000000000000000", "00111100000111110000000000000000", "00111111111111110000000000000000", "00011111111101110000000000000000", "00000111111001110000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 101 e */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000001111100000000000000000000", "00000111111111000000000000000000", "00001111111111100000000000000000", "00011110000011110000000000000000", "00011100000001110000000000000000", "00111100000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111111111111111000000000000000", "00111111111111111000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111100000000111000000000000000", "00011100000001111000000000000000", "00011110000011110000000000000000", "00001111111111100000000000000000", "00000111111111000000000000000000", "00000001111100000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 102 f */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000111100000000000000000000000", "00001111100000000000000000000000", "00011110000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "01111111100000000000000000000000", "01111111100000000000000000000000", "01111111100000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 103 g */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111001110000000000000000", "00001111111101110000000000000000", "00011111111111110000000000000000", "00111110000111110000000000000000", "00111000000011110000000000000000", "01111000000011110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01111000000011110000000000000000", "00111000000111110000000000000000", "00111100000111110000000000000000", "00011111111111110000000000000000", "00001111111101110000000000000000", "00000011110001110000000000000000", "00000000000001110000000000000000", "01110000000001110000000000000000", "01110000000011100000000000000000", "01111000000011100000000000000000", "00111110001111000000000000000000", "00011111111111000000000000000000", "00001111111100000000000000000000", /* 104 h */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000111110000000000000000000", "00111011111111000000000000000000", "00111111111111100000000000000000", "00111110000011110000000000000000", "00111100000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 105 i */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 106 j */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "01111000000000000000000000000000", "11111000000000000000000000000000", "11110000000000000000000000000000", /* 107 k */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "01110000001111000000000000000000", "01110000011110000000000000000000", "01110000111100000000000000000000", "01110001111000000000000000000000", "01110011110000000000000000000000", "01110111100000000000000000000000", "01111111100000000000000000000000", "01111111100000000000000000000000", "01111101110000000000000000000000", "01111001111000000000000000000000", "01110000111000000000000000000000", "01110000111100000000000000000000", "01110000011100000000000000000000", "01110000011110000000000000000000", "01110000001111000000000000000000", "01110000000111000000000000000000", "01110000000111100000000000000000", "01110000000011110000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 108 l */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 109 m */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000111100000011110000000000", "00111011111111001111111100000000", "00111111111111011111111100000000", "00111110000111111000011110000000", "00111100000011110000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00111000000011100000001110000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 110 n */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000111110000000000000000000", "00111011111111100000000000000000", "00111111111111100000000000000000", "00111110000011110000000000000000", "00111100000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 111 o */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111110000000000000000000", "00011111111111000000000000000000", "00111100000111100000000000000000", "00111000000011100000000000000000", "01111000000011110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01111000000011110000000000000000", "00111000000011100000000000000000", "00111100000111100000000000000000", "00011111111111000000000000000000", "00001111111110000000000000000000", "00000011111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 112 p */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000111100000000000000000000", "00111011111111000000000000000000", "00111111111111100000000000000000", "00111110000011110000000000000000", "00111100000001110000000000000000", "00111100000001111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111000000000111000000000000000", "00111100000001111000000000000000", "00111100000001110000000000000000", "00111110000011110000000000000000", "00111111111111100000000000000000", "00111011111111000000000000000000", "00111001111110000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", /* 113 q */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111101110000000000000000", "00011111111111110000000000000000", "00111110000111110000000000000000", "00111000000011110000000000000000", "01111000000011110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01110000000001110000000000000000", "01111000000011110000000000000000", "00111000000011110000000000000000", "00111100000111110000000000000000", "00011111111111110000000000000000", "00001111111101110000000000000000", "00000111111001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", "00000000000001110000000000000000", /* 114 r */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111001110000000000000000000000", "00111011110000000000000000000000", "00111111110000000000000000000000", "00111111000000000000000000000000", "00111100000000000000000000000000", "00111100000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00111000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 115 s */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000011111000000000000000000000", "00001111111110000000000000000000", "00011111111110000000000000000000", "00011100001111000000000000000000", "00111000000111000000000000000000", "00111000000000000000000000000000", "00011100000000000000000000000000", "00011111100000000000000000000000", "00001111111100000000000000000000", "00000001111111000000000000000000", "00000000001111000000000000000000", "00000000000111100000000000000000", "00111000000011100000000000000000", "00111000000011100000000000000000", "00111100000111000000000000000000", "00011111111111000000000000000000", "00011111111110000000000000000000", "00000111111000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 116 t */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "01111111100000000000000000000000", "01111111100000000000000000000000", "01111111100000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00011110000000000000000000000000", "00011111100000000000000000000000", "00001111100000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 117 u */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000001110000000000000000", "00111000000011110000000000000000", "00111100000111110000000000000000", "00011111111111110000000000000000", "00011111111101110000000000000000", "00000111110001110000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 118 v */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11100000000011100000000000000000", "11100000000011100000000000000000", "01110000000111000000000000000000", "01110000000111000000000000000000", "01110000000111000000000000000000", "00111000001110000000000000000000", "00111000001110000000000000000000", "00111000001110000000000000000000", "00011100011100000000000000000000", "00011100011100000000000000000000", "00011100011100000000000000000000", "00001110111000000000000000000000", "00001110111000000000000000000000", "00001110111000000000000000000000", "00000111110000000000000000000000", "00000111110000000000000000000000", "00000011100000000000000000000000", "00000011100000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 119 w */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11100000001110000000111000000000", "11100000001110000000111000000000", "01110000011111000001110000000000", "01110000011111000001110000000000", "01110000011011000001110000000000", "00111000111011100001110000000000", "00111000111011100011100000000000", "00111000111011100011100000000000", "00111000110001100011100000000000", "00011000110001100011000000000000", "00011101110001110111000000000000", "00011101110001110111000000000000", "00011101110001110111000000000000", "00001101100000110110000000000000", "00001111100000111110000000000000", "00001111100000111110000000000000", "00000111000000011100000000000000", "00000111000000011100000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 120 x */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11110000000111100000000000000000", "01111000000111000000000000000000", "00111000001110000000000000000000", "00111100011100000000000000000000", "00011100011100000000000000000000", "00001110111000000000000000000000", "00001111111000000000000000000000", "00000111110000000000000000000000", "00000011100000000000000000000000", "00000111110000000000000000000000", "00000111110000000000000000000000", "00001111111000000000000000000000", "00011110111000000000000000000000", "00011100011100000000000000000000", "00111000011110000000000000000000", "00111000001110000000000000000000", "01110000000111000000000000000000", "11110000000111100000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 121 y */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01110000000011100000000000000000", "01110000000011100000000000000000", "01111000000111000000000000000000", "00111000000111000000000000000000", "00111000000111000000000000000000", "00111000001110000000000000000000", "00011100001110000000000000000000", "00011100001110000000000000000000", "00011100011100000000000000000000", "00011110011100000000000000000000", "00001110011100000000000000000000", "00001110111000000000000000000000", "00001110111000000000000000000000", "00000111111000000000000000000000", "00000111110000000000000000000000", "00000111110000000000000000000000", "00000011100000000000000000000000", "00000011100000000000000000000000", "00000011100000000000000000000000", "00000111000000000000000000000000", "00000111000000000000000000000000", "00001110000000000000000000000000", "00111110000000000000000000000000", "00111100000000000000000000000000", "00111000000000000000000000000000", /* 122 z */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00111111111111000000000000000000", "00111111111111000000000000000000", "00111111111111000000000000000000", "00000000001111000000000000000000", "00000000011110000000000000000000", "00000000011100000000000000000000", "00000000111000000000000000000000", "00000001111000000000000000000000", "00000011110000000000000000000000", "00000011100000000000000000000000", "00000111000000000000000000000000", "00001110000000000000000000000000", "00011110000000000000000000000000", "00111100000000000000000000000000", "00111000000000000000000000000000", "01111111111111100000000000000000", "01111111111111100000000000000000", "01111111111111100000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", /* 123 { */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000111100000000000000000000000", "00001111100000000000000000000000", "00001110000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00111000000000000000000000000000", "01110000000000000000000000000000", "01110000000000000000000000000000", "00111000000000000000000000000000", "00011100000000000000000000000000", "00011100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001110000000000000000000000000", "00001111100000000000000000000000", "00000111100000000000000000000000", /* 124 | */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", /* 125 } */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "01111000000000000000000000000000", "01111100000000000000000000000000", "00011100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00000111000000000000000000000000", "00000011100000000000000000000000", "00000011100000000000000000000000", "00000111000000000000000000000000", "00001110000000000000000000000000", "00001110000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00001100000000000000000000000000", "00011100000000000000000000000000", "01111100000000000000000000000000", "01111000000000000000000000000000", /* 126 ~ */ "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000110000000000000000000000000", "00011111000000110000000000000000", "00011111110000110000000000000000", "00110001111101110000000000000000", "00110000011111100000000000000000", "00000000001111000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", };