You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

3327 lines
231 KiB

# Generated by Yosys 0.5+ (git sha1 f13e387, gcc 5.3.1-8ubuntu2 -O2 -fstack-protector-strong -fPIC -Os)
.model top
5 years ago
.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.names $false
.names $true
1
.names $undef
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$61156
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$61381$n543 I1=$abc$61381$n538 I2=$abc$61381$n496_1 I3=$abc$61381$n548 O=$auto$rtlil.cc:1692:NotGate$61316
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000001011101
.gate SB_LUT4 I0=$abc$61381$n529 I1=$abc$61381$n523 I2=$abc$61381$n497 I3=$abc$61381$n534 O=$abc$61381$n496_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0101110100000000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$61381$n498_1 I2=$abc$61381$n519 I3=$abc$61381$n515 O=$abc$61381$n497
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000001110000
.gate SB_LUT4 I0=$abc$61381$n511 I1=$abc$61381$n514 I2=$abc$61381$n499 I3=$abc$61381$n506 O=$abc$61381$n498_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1101110100001101
.gate SB_LUT4 I0=$abc$61381$n500_1 I1=$abc$61381$n503_1 I2=$abc$61381$n505 I3=$false O=$abc$61381$n499
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n501_1 I1=$abc$61381$n502 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$61381$n500_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$61381$n501_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$61381$n502
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n504 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$61381$n503_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00100000
.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$61381$n504
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 0010
.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n505
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000100000
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$61381$n507 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$61381$n506
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1010110001010011
.gate SB_LUT4 I0=$abc$61381$n501_1 I1=$abc$61381$n508 I2=$abc$61381$n509 I3=$abc$61381$n510 O=$abc$61381$n507
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$61381$n508
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000010000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n509
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$61381$n510
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$61381$n500_1 I1=$abc$61381$n504 I2=$abc$61381$n512 I3=$abc$61381$n513 O=$abc$61381$n511
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n512
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000100
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$61381$n513
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$61381$n507 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$61381$n514
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1010110001010011
.gate SB_LUT4 I0=$abc$61381$n516 I1=$abc$61381$n509 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$61381$n515
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$61381$n517 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$61381$n516
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$61381$n501_1 I1=$abc$61381$n518 I2=$false I3=$false O=$abc$61381$n517
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$61381$n518
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$61381$n521 I1=$abc$61381$n522 I2=$abc$61381$n499 I3=$abc$61381$n520 O=$abc$61381$n519
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$61381$n507 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$61381$n520
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000011001010
.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$61381$n507 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$61381$n521
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000011001010
.gate SB_LUT4 I0=$abc$61381$n500_1 I1=$abc$61381$n504 I2=$abc$61381$n512 I3=$abc$61381$n513 O=$abc$61381$n522
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$61381$n524 I1=$abc$61381$n515 I2=$abc$61381$n526 I3=$false O=$abc$61381$n523
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$61381$n525 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$false O=$abc$61381$n524
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10001110
.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$61381$n507 I3=$false O=$abc$61381$n525
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$61381$n517 I1=$abc$61381$n527 I2=$abc$61381$n528 I3=$false O=$abc$61381$n526
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n513 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$61381$n527
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n528
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$61381$n530 I1=$abc$61381$n532 I2=$false I3=$false O=$abc$61381$n529
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$61381$n516 I1=$abc$61381$n531 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$61381$n530
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n531
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n533 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$61381$n526 O=$abc$61381$n532
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000111000000000
.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.RAM.r_data[4] I2=$abc$61381$n507 I3=$false O=$abc$61381$n533
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$61381$n536 I1=$abc$61381$n530 I2=$abc$61381$n535 I3=$false O=$abc$61381$n534
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$61381$n516 I1=$abc$61381$n512 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$61381$n535
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$61381$n537 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$61381$n536
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10001110
.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$61381$n507 I3=$false O=$abc$61381$n537
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$61381$n539 I1=$abc$61381$n541 I2=$false I3=$false O=$abc$61381$n538
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$61381$n540 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$61381$n535 O=$abc$61381$n539
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000111000000000
.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$61381$n507 I3=$false O=$abc$61381$n540
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$61381$n517 I1=$abc$61381$n542 I2=$abc$61381$n505 I3=$false O=$abc$61381$n541
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n513 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$61381$n542
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n544 I1=$abc$61381$n546 I2=$false I3=$false O=$abc$61381$n543
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$61381$n545 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$61381$n541 O=$abc$61381$n544
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0111000100000000
.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$61381$n507 I3=$false O=$abc$61381$n545
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$61381$n547 I1=$abc$61381$n501_1 I2=$abc$61381$n508 I3=$abc$61381$n509 O=$abc$61381$n546
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$61381$n513 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$61381$n547
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$61381$n549 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$61381$n546 O=$abc$61381$n548
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000111000000000
.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KEYBOARD.RAM.r_data[0] I2=$abc$61381$n507 I3=$false O=$abc$61381$n549
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$61381$n527 I1=$abc$61381$n551 I2=$abc$61381$n501_1 I3=$abc$61381$n552 O=$auto$rtlil.cc:1692:NotGate$61364
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$61381$n502 I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=$false O=$abc$61381$n551
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00100000
.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$61381$n552
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
5 years ago
.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=RESET
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111111
.gate SB_LUT4 I0=$abc$61381$n556 I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$55268[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111101
.gate SB_LUT4 I0=$abc$61381$n557 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.kbd_code_hid[3] O=$abc$61381$n556
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n557
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=RESET I1=$abc$61381$n559 I2=I2C.is_read I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$47877
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$61381$n559
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$61381$n559 I1=RESET I2=$abc$61381$n561 I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$48347[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$61381$n562 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$61381$n561
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=RESET I2=I2C.wr I3=last_wr O=$abc$61381$n562
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000100
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$0\uart_double_ff[0:0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$2\INT[0:0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n568 I1=$abc$61381$n567 I2=RESET I3=$auto$simplemap.cc:127:simplemap_reduce$48347[1] O=$auto$dff2dffe.cc:175:make_patterns_logic$47997
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=$abc$61381$n562 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$61381$n567
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$61381$n568
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$61381$n574 I1=I2C.is_read I2=$abc$61381$n561 I3=$abc$61381$n570 O=$auto$dff2dffe.cc:175:make_patterns_logic$48548
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$61381$n568 I1=$abc$61381$n573 I2=$abc$61381$n567 I3=$abc$61381$n571 O=$abc$61381$n570
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=$abc$61381$n559 I1=RESET I2=$abc$61381$n572 I3=$false O=$abc$61381$n571
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=I2C.wr I2=last_wr I3=RESET O=$abc$61381$n572
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100110111111111
.gate SB_LUT4 I0=UART_WR I1=KEYBOARD.isr I2=INT I3=last_isr O=$abc$61381$n573
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$auto$alumacc.cc:484:replace_alu$36690[7] O=$abc$61381$n574
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000001
.gate SB_LUT4 I0=$abc$61381$n567 I1=RESET I2=I2C.wr I3=last_wr O=$auto$dff2dffe.cc:175:make_patterns_logic$48604
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000100
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$61381$n589 I2=$abc$61381$n577 I3=$abc$61381$n583 O=$auto$dff2dffe.cc:175:make_patterns_logic$49267
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=$abc$61381$n581 I1=I2C.byte_counter[1] I2=$abc$61381$n582 I3=$abc$61381$n578 O=$abc$61381$n577
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n579 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$61381$n578
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n580 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$61381$n579
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$61381$n580
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$61381$n581
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[1] O=$abc$61381$n582
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$61381$n584 I1=$abc$61381$n587 I2=RESET I3=$abc$61381$n559 O=$abc$61381$n583
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000000000000
.gate SB_LUT4 I0=$abc$61381$n585 I1=$abc$61381$n586 I2=I2C.is_read I3=$false O=$abc$61381$n584
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$61381$n581 I3=$false O=$abc$61381$n585
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$61381$n586
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$61381$n588 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$61381$n587
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$61381$n588
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$61381$n590 I2=I2C.byte_counter[2] I3=I2C.byte_counter[3] O=$abc$61381$n589
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111000101
.gate SB_LUT4 I0=$abc$61381$n580 I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=$false O=$abc$61381$n590
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$61381$n592 I1=$abc$61381$n619_1 I2=$auto$rtlil.cc:1692:NotGate$61156 I3=RESET O=$auto$dff2dffe.cc:175:make_patterns_logic$49520
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$61381$n559 I1=$abc$61381$n593 I2=$abc$61381$n586 I3=I2C.is_read O=$abc$61381$n592
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101010001010
.gate SB_LUT4 I0=$abc$61381$n587 I1=$abc$61381$n607 I2=$abc$61381$n614_1 I3=$abc$61381$n594 O=$abc$61381$n593
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101010101000101
.gate SB_LUT4 I0=$abc$61381$n602 I1=$abc$61381$n595 I2=$abc$61381$n581 I3=$false O=$abc$61381$n594
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$61381$n596 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$61381$n595
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$61381$n601 I1=$abc$61381$n597 I2=$abc$61381$n590 I3=$false O=$abc$61381$n596
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$61381$n600 I1=$abc$61381$n598 I2=$abc$61381$n579 I3=$false O=$abc$61381$n597
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$61381$n599 I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=$false O=$abc$61381$n598
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$false I3=$false O=$abc$61381$n599
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$61381$n600
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$61381$n581 I1=$abc$61381$n586 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$61381$n601
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$61381$n579 I1=$abc$61381$n603 I2=$abc$61381$n606 I3=$false O=$abc$61381$n602
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n598 I1=$abc$61381$n604 I2=$false I3=$false O=$abc$61381$n603
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n605 I1=I2C.received_byte[0] I2=I2C.received_byte[1] I3=$false O=$abc$61381$n604
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=$false I3=$false O=$abc$61381$n605
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$61381$n606
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n613 I1=$abc$61381$n612 I2=$abc$61381$n608 I3=$false O=$abc$61381$n607
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n598 I1=$abc$61381$n605 I2=$abc$61381$n579 I3=$abc$61381$n609 O=$abc$61381$n608
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$61381$n578 I3=$abc$61381$n610 O=$abc$61381$n609
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$61381$n611_1 I3=$abc$61381$n601 O=$abc$61381$n610
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=$abc$61381$n580 I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=$false O=$abc$61381$n611_1
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$61381$n598 I1=$abc$61381$n605 I2=I2C.received_byte[0] I3=I2C.received_byte[1] O=$abc$61381$n612
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$61381$n580 I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=$false O=$abc$61381$n613
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$61381$n618_1 I1=$abc$61381$n613 I2=$abc$61381$n615 I3=$false O=$abc$61381$n614_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n616 I1=$abc$61381$n603 I2=$abc$61381$n617 I3=$false O=$abc$61381$n615
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$61381$n588 I1=$abc$61381$n586 I2=$abc$61381$n606 I3=$false O=$abc$61381$n616
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$61381$n606 I3=$false O=$abc$61381$n617
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101011
.gate SB_LUT4 I0=$abc$61381$n581 I1=$abc$61381$n586 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$61381$n618_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$2\INT[0:0] I1=I2C.is_read I2=$abc$61381$n562 I3=$false O=$abc$61381$n619_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00101111
.gate SB_LUT4 I0=$2\INT[0:0] I1=$abc$61381$n568 I2=$abc$61381$n562 I3=$abc$61381$n572 O=$auto$dff2dffe.cc:175:make_patterns_logic$50775
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$61381$n561 I2=$abc$61381$n570 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$51864
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$61381$n623 I1=$abc$61381$n571 I2=$abc$61381$n619_1 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52500
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$61381$n637 I1=$abc$61381$n636 I2=$abc$61381$n624 I3=$abc$61381$n638 O=$abc$61381$n623
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111110100000000
.gate SB_LUT4 I0=$abc$61381$n625 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$61381$n624
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n635 I1=$abc$61381$n578 I2=$abc$61381$n626 I3=$false O=$abc$61381$n625
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$61381$n627 I1=$abc$61381$n630 I2=$abc$61381$n632 I3=$abc$61381$n634 O=$abc$61381$n626
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$61381$n629 I1=$abc$61381$n628 I2=$abc$61381$n590 I3=$auto$alumacc.cc:484:replace_alu$36663[3] O=$abc$61381$n627
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$61381$n628
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$61381$n629
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n631 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$61381$n613 O=$abc$61381$n630
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111110100000000
.gate SB_LUT4 I0=$abc$61381$n628 I1=I2C_INPUT_LEN[2] I2=I2C_INPUT_LEN[3] I3=$false O=$abc$61381$n631
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$61381$n633 I1=$abc$61381$n631 I2=$abc$61381$n590 I3=$false O=$abc$61381$n632
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$false I3=$false O=$abc$61381$n633
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$61381$n631 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$61381$n611_1 O=$abc$61381$n634
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101111100000000
.gate SB_LUT4 I0=$abc$61381$n628 I1=$abc$61381$n633 I2=I2C_INPUT_LEN[2] I3=I2C_INPUT_LEN[3] O=$abc$61381$n635
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$61381$n625 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$61381$n636
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n625 I1=$abc$61381$n580 I2=$false I3=$false O=$abc$61381$n637
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n561 I1=I2C.is_read I2=$false I3=$false O=$abc$61381$n638
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=RESET I1=$auto$rtlil.cc:1692:NotGate$61156 I2=$auto$dff2dffe.cc:175:make_patterns_logic$47997 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52724
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n641 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52791
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$61381$n642 I1=$abc$61381$n647_1 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n641
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$61381$n643 I1=$abc$61381$n646_1 I2=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I3=$false O=$abc$61381$n642
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$61381$n644 I1=I2C.SCL_LAST I2=I2C.FLT_SCL.out I3=$false O=$abc$61381$n643
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.is_read I1=$techmap\I2C.$procmux$19301_Y I2=I2C.i2c_state_machine I3=I2C.i2c_start_latency O=$abc$61381$n644
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101000101010
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=$false O=$techmap\I2C.$procmux$19301_Y
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11011111
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.i2c_state_machine O=$abc$61381$n646_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111011100100000
.gate SB_LUT4 I0=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[3] I1=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[4] I2=$auto$simplemap.cc:309:simplemap_lut$46032[1] I3=$false O=$abc$61381$n647_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] I1=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] I2=$false I3=$false O=$abc$61381$n648
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n641 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52858
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n641 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52925
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$61381$n641 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$52992
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$61381$n655 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$53059
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$61381$n642 I1=$abc$61381$n647_1 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n655
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n655 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$53126
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n655 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$53193
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$61381$n655 I1=$abc$61381$n648 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$53260
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$techmap\I2C.$procmux$19301_Y I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$53269
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00101111
.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=RESET I3=UART.tx_activity O=$auto$dff2dffe.cc:158:make_patterns_logic$53542
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1111111101001111
.gate SB_LUT4 I0=UART.tx_activity I1=$abc$61381$n662 I2=RESET I3=$auto$dff2dffe.cc:158:make_patterns_logic$53542 O=$auto$dff2dffe.cc:175:make_patterns_logic$53580
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$61381$n665 I1=UART.tx_bit_counter[2] I2=UART.tx_bit_counter[3] I3=$abc$61381$n663 O=$abc$61381$n662
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111110100000000
.gate SB_LUT4 I0=$abc$61381$n664 I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$abc$61381$n663
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00101000
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36604[0] I1=$auto$wreduce.cc:310:run$36604[2] I2=$auto$wreduce.cc:310:run$36604[3] I3=$false O=$abc$61381$n664
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$61381$n665
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n676 I2=$abc$61381$n683 I3=$abc$61381$n694 O=$auto$dff2dffe.cc:175:make_patterns_logic$55122
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001000000000
.gate SB_LUT4 I0=RESET I1=$abc$61381$n668 I2=$auto$rtlil.cc:1692:NotGate$61316 I3=$abc$61381$n673 O=$abc$61381$n667
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111110100010
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$55268[1] I1=$abc$61381$n669 I2=$false I3=$false O=$abc$61381$n668
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$61381$n672 I1=$abc$61381$n670 I2=$auto$alumacc.cc:484:replace_alu$36629[7] I3=$auto$alumacc.cc:484:replace_alu$36634[7] O=$abc$61381$n669
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$61381$n671 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=$false O=$abc$61381$n670
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$abc$61381$n671
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00001000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n672
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n675 I1=$abc$61381$n674 I2=RESET I3=$false O=$abc$61381$n673
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$61381$n674
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$61381$n675
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n677 I3=$abc$61381$n673 O=$abc$61381$n676
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000010000000000
.gate SB_LUT4 I0=$abc$61381$n682 I1=$abc$61381$n681 I2=$abc$61381$n678 I3=$false O=$abc$61381$n677
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$61381$n679 I1=$abc$61381$n680 I2=$false I3=$false O=$abc$61381$n678
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$61381$n679
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$61381$n680
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=kbd_report[3][4] I1=kbd_report[3][5] I2=kbd_report[3][6] I3=kbd_report[3][7] O=$abc$61381$n681
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=kbd_report[3][0] I1=kbd_report[3][1] I2=kbd_report[3][2] I3=kbd_report[3][3] O=$abc$61381$n682
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n684 I3=$abc$61381$n685 O=$abc$61381$n683
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=$abc$61381$n677 I1=$abc$61381$n673 I2=$false I3=$false O=$abc$61381$n684
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n686 I1=$abc$61381$n689 I2=$abc$61381$n692 I3=$abc$61381$n693 O=$abc$61381$n685
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$61381$n687 I1=$abc$61381$n688 I2=$false I3=$false O=$abc$61381$n686
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1000
.gate SB_LUT4 I0=kbd_report[4][4] I1=kbd_report[4][5] I2=kbd_report[4][6] I3=kbd_report[4][7] O=$abc$61381$n687
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=kbd_report[4][0] I1=kbd_report[4][1] I2=kbd_report[4][2] I3=kbd_report[4][3] O=$abc$61381$n688
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$61381$n690 I1=$abc$61381$n691 I2=$false I3=$false O=$abc$61381$n689
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1000
.gate SB_LUT4 I0=kbd_report[5][4] I1=kbd_report[5][5] I2=kbd_report[5][6] I3=kbd_report[5][7] O=$abc$61381$n690
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=kbd_report[5][0] I1=kbd_report[5][1] I2=kbd_report[5][2] I3=kbd_report[5][3] O=$abc$61381$n691
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=kbd_report[6][4] I1=kbd_report[6][5] I2=kbd_report[6][6] I3=kbd_report[6][7] O=$abc$61381$n692
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=kbd_report[6][0] I1=kbd_report[6][1] I2=kbd_report[6][2] I3=kbd_report[6][3] O=$abc$61381$n693
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n695 I3=RESET O=$abc$61381$n694
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010001011111111
.gate SB_LUT4 I0=$abc$61381$n696 I1=$abc$61381$n697 I2=$abc$61381$n698 I3=$abc$61381$n699 O=$abc$61381$n695
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=kbd_report[6][4] I3=kbd_report[6][5] O=$abc$61381$n696
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000010000100001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=kbd_report[6][6] I3=kbd_report[6][7] O=$abc$61381$n697
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=kbd_report[6][2] I3=kbd_report[6][3] O=$abc$61381$n698
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=kbd_report[6][0] I3=kbd_report[6][1] O=$abc$61381$n699
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n676 I2=$abc$61381$n701 I3=$abc$61381$n703 O=$auto$dff2dffe.cc:175:make_patterns_logic$55287
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001000000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n684 I3=$abc$61381$n702 O=$abc$61381$n701
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=$abc$61381$n686 I1=$abc$61381$n689 I2=$false I3=$false O=$abc$61381$n702
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n704_1 I3=RESET O=$abc$61381$n703
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010001011111111
.gate SB_LUT4 I0=$abc$61381$n705 I1=$abc$61381$n706 I2=$abc$61381$n707 I3=$abc$61381$n708 O=$abc$61381$n704_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=kbd_report[5][4] I3=kbd_report[5][5] O=$abc$61381$n705
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000010000100001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=kbd_report[5][6] I3=kbd_report[5][7] O=$abc$61381$n706
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=kbd_report[5][2] I3=kbd_report[5][3] O=$abc$61381$n707
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=kbd_report[5][0] I3=kbd_report[5][1] O=$abc$61381$n708
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n676 I2=$abc$61381$n710 I3=$abc$61381$n711 O=$auto$dff2dffe.cc:175:make_patterns_logic$56573
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001000000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n684 I3=$abc$61381$n686 O=$abc$61381$n710
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n712 I3=RESET O=$abc$61381$n711
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010001011111111
.gate SB_LUT4 I0=$abc$61381$n713 I1=$abc$61381$n714 I2=$abc$61381$n715 I3=$abc$61381$n716 O=$abc$61381$n712
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=kbd_report[4][4] I3=kbd_report[4][5] O=$abc$61381$n713
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000010000100001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=kbd_report[4][6] I3=kbd_report[4][7] O=$abc$61381$n714
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=kbd_report[4][2] I3=kbd_report[4][3] O=$abc$61381$n715
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=kbd_report[4][0] I3=kbd_report[4][1] O=$abc$61381$n716
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n718 I2=$abc$61381$n719 I3=$abc$61381$n720 O=$auto$dff2dffe.cc:175:make_patterns_logic$57638
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001000000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n673 I3=$abc$61381$n678 O=$abc$61381$n718
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n684 I3=$false O=$abc$61381$n719
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n721 I3=RESET O=$abc$61381$n720
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010001011111111
.gate SB_LUT4 I0=$abc$61381$n722 I1=$abc$61381$n723 I2=$abc$61381$n724 I3=$abc$61381$n725_1 O=$abc$61381$n721
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=kbd_report[3][4] I3=kbd_report[3][5] O=$abc$61381$n722
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000010000100001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=kbd_report[3][6] I3=kbd_report[3][7] O=$abc$61381$n723
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=kbd_report[3][2] I3=kbd_report[3][3] O=$abc$61381$n724
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=kbd_report[3][0] I3=kbd_report[3][1] O=$abc$61381$n725_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$abc$61381$n667 I1=$abc$61381$n727 I2=$abc$61381$n728 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$58513
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n673 I3=$abc$61381$n678 O=$abc$61381$n727
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=$abc$61381$n668 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n729 I3=RESET O=$abc$61381$n728
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010001011111111
.gate SB_LUT4 I0=$abc$61381$n730 I1=$abc$61381$n731 I2=$abc$61381$n732 I3=$abc$61381$n733 O=$abc$61381$n729
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[2][4] I3=KEYBOARD.report[2][5] O=$abc$61381$n730
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000010000100001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$61381$n731
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$61381$n732
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[2][0] I3=KEYBOARD.report[2][1] O=$abc$61381$n733
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$abc$61381$n668 I2=$abc$61381$n673 I3=$abc$61381$n735 O=$auto$dff2dffe.cc:175:make_patterns_logic$59214
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$61381$n736 I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n668 I3=RESET O=$abc$61381$n735
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=$abc$61381$n737 I1=$abc$61381$n738 I2=$abc$61381$n739 I3=$abc$61381$n740 O=$abc$61381$n736
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[1][4] I3=KEYBOARD.report[1][5] O=$abc$61381$n737
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000010000100001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$61381$n738
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$61381$n739
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[1][0] I3=KEYBOARD.report[1][1] O=$abc$61381$n740
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$55268[1] I1=$abc$61381$n669 I2=RESET I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59740
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$7457_Y I1=$auto$rtlil.cc:1692:NotGate$61364 I2=RESET I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$60147
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$61381$n500_1 I1=$abc$61381$n505 I2=$abc$61381$n510 I3=$false O=$techmap\KEYBOARD.$procmux$7457_Y
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61364 I1=RESET I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59992
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.wr I1=I2C_HID_DESC.last_rd_request I2=RESET I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$60701
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00101111
.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$993_Y[2] I1=$abc$61381$n749 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$60782
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n748 I1=$auto$wreduce.cc:310:run$36591[2] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$993_Y[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$61381$n748
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$993_Y[1] I1=$auto$wreduce.cc:310:run$36591[0] I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$61381$n749
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$993_Y[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$61381$n748 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$60797
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 01111101
.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$993_Y[0] I1=$abc$61381$n755 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$60842
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n754 I1=$auto$wreduce.cc:310:run$36590[0] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$993_Y[0]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$61381$n754
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$993_Y[1] I1=$auto$wreduce.cc:310:run$36590[2] I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$61381$n755
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$993_Y[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$61381$n754 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$60857
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01111101
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$36714[0]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[4] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[5] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[5]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[6] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[6]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.byte_counter[7] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36713[7]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.ram_wr I1=KEYBOARD.RAM.last_we I2=$false I3=$false O=$techmap$techmap36770\KEYBOARD.RAM.mem.0.0.0.$reduce_or$/usr/bin/../share/yosys/ice40/brams_map.v:308$36769_Y
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$61381$n782 I1=$abc$61381$n768 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101100000000
.gate SB_LUT4 I0=$abc$61381$n769 I1=$abc$61381$n773 I2=$abc$61381$n775_1 I3=$abc$61381$n778 O=$abc$61381$n768
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000100000
.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][0] I2=kbd_report[6][0] I3=$abc$61381$n770 O=$abc$61381$n769
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n770
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$61381$n771
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n772
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$61381$n774 I1=kbd_report[3][0] I2=$false I3=$false O=$abc$61381$n773
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n774
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][0] I2=kbd_report[5][0] I3=$abc$61381$n776 O=$abc$61381$n775_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n776
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n777
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][0] O=$abc$61381$n778
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n780_1 I1=$abc$61381$n781 I2=$auto$alumacc.cc:484:replace_alu$36674[7] I3=$auto$alumacc.cc:484:replace_alu$36695[7] O=$abc$61381$n779
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000010000000000
.gate SB_LUT4 I0=$abc$61381$n587 I1=$abc$61381$n586 I2=$false I3=$false O=$abc$61381$n780_1
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n601 I1=$abc$61381$n616 I2=$false I3=$false O=$abc$61381$n781
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][0] I3=$false O=$abc$61381$n782
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=$false O=$abc$61381$n783
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111101
.gate SB_LUT4 I0=$abc$61381$n780_1 I1=$abc$61381$n785 I2=$auto$alumacc.cc:484:replace_alu$36674[7] I3=$auto$alumacc.cc:484:replace_alu$36695[7] O=$procmux$23459_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010111000000000
.gate SB_LUT4 I0=$abc$61381$n791 I1=$abc$61381$n786_1 I2=$abc$61381$n618_1 I3=$abc$61381$n781 O=$abc$61381$n785
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101100000000
.gate SB_LUT4 I0=kbd_report[3][1] I1=$abc$61381$n774 I2=$abc$61381$n787 I3=$abc$61381$n790 O=$abc$61381$n786_1
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=kbd_report[6][1] I1=$abc$61381$n770 I2=$abc$61381$n789 I3=$abc$61381$n788 O=$abc$61381$n787
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][1] I2=$false I3=$false O=$abc$61381$n788
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][1] O=$abc$61381$n789
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][1] I2=kbd_report[5][1] I3=$abc$61381$n776 O=$abc$61381$n790
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][1] I3=$false O=$abc$61381$n791
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n798_1 I1=$abc$61381$n793 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101100000000
.gate SB_LUT4 I0=kbd_report[3][2] I1=$abc$61381$n774 I2=$abc$61381$n794 I3=$abc$61381$n797 O=$abc$61381$n793
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=kbd_report[6][2] I1=$abc$61381$n770 I2=$abc$61381$n796 I3=$abc$61381$n795 O=$abc$61381$n794
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][2] I2=$false I3=$false O=$abc$61381$n795
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][2] O=$abc$61381$n796
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][2] I2=kbd_report[5][2] I3=$abc$61381$n776 O=$abc$61381$n797
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][2] I3=$false O=$abc$61381$n798_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n780_1 I1=$abc$61381$n800 I2=$auto$alumacc.cc:484:replace_alu$36674[7] I3=$auto$alumacc.cc:484:replace_alu$36695[7] O=$procmux$23459_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010111000000000
.gate SB_LUT4 I0=$abc$61381$n806 I1=$abc$61381$n801 I2=$abc$61381$n618_1 I3=$abc$61381$n781 O=$abc$61381$n800
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101100000000
.gate SB_LUT4 I0=kbd_report[3][3] I1=$abc$61381$n774 I2=$abc$61381$n802 I3=$abc$61381$n805 O=$abc$61381$n801
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=kbd_report[6][3] I1=$abc$61381$n770 I2=$abc$61381$n804 I3=$abc$61381$n803 O=$abc$61381$n802
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][3] I2=$false I3=$false O=$abc$61381$n803
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][3] O=$abc$61381$n804
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][3] I2=kbd_report[5][3] I3=$abc$61381$n776 O=$abc$61381$n805
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][3] I3=$false O=$abc$61381$n806
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n813 I1=$abc$61381$n808 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[4]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101100000000
.gate SB_LUT4 I0=kbd_report[3][4] I1=$abc$61381$n774 I2=$abc$61381$n809 I3=$abc$61381$n812_1 O=$abc$61381$n808
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=kbd_report[6][4] I1=$abc$61381$n770 I2=$abc$61381$n811 I3=$abc$61381$n810 O=$abc$61381$n809
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][4] I2=$false I3=$false O=$abc$61381$n810
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][4] O=$abc$61381$n811
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][4] I2=kbd_report[5][4] I3=$abc$61381$n776 O=$abc$61381$n812_1
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][4] I3=$false O=$abc$61381$n813
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n820 I1=$abc$61381$n815 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[5]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101100000000
.gate SB_LUT4 I0=$abc$61381$n816 I1=$abc$61381$n817_1 I2=$abc$61381$n818 I3=$abc$61381$n819 O=$abc$61381$n815
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000100000
.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][5] I2=kbd_report[6][5] I3=$abc$61381$n770 O=$abc$61381$n816
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n774 I1=kbd_report[3][5] I2=$false I3=$false O=$abc$61381$n817_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][5] I2=kbd_report[5][5] I3=$abc$61381$n776 O=$abc$61381$n818
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][5] O=$abc$61381$n819
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][5] I3=$false O=$abc$61381$n820
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n827_1 I1=$abc$61381$n822_1 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[6]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101100000000
.gate SB_LUT4 I0=kbd_report[3][6] I1=$abc$61381$n774 I2=$abc$61381$n823 I3=$abc$61381$n826 O=$abc$61381$n822_1
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=kbd_report[6][6] I1=$abc$61381$n770 I2=$abc$61381$n825 I3=$abc$61381$n824 O=$abc$61381$n823
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][6] I2=$false I3=$false O=$abc$61381$n824
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][6] O=$abc$61381$n825
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][6] I2=kbd_report[5][6] I3=$abc$61381$n776 O=$abc$61381$n826
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][6] I3=$false O=$abc$61381$n827_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n834 I1=$abc$61381$n829 I2=$abc$61381$n618_1 I3=$abc$61381$n779 O=$procmux$23459_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101100000000
.gate SB_LUT4 I0=$abc$61381$n830 I1=$abc$61381$n831 I2=$abc$61381$n832_1 I3=$abc$61381$n833 O=$abc$61381$n829
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000100000
.gate SB_LUT4 I0=$abc$61381$n772 I1=kbd_report[4][7] I2=kbd_report[6][7] I3=$abc$61381$n770 O=$abc$61381$n830
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n774 I1=kbd_report[3][7] I2=$false I3=$false O=$abc$61381$n831
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n777 I1=KEYBOARD.report[1][7] I2=kbd_report[5][7] I3=$abc$61381$n776 O=$abc$61381$n832_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$61381$n771 I1=$auto$wreduce.cc:310:run$36584[0] I2=$auto$wreduce.cc:310:run$36584[2] I3=KEYBOARD.report[2][7] O=$abc$61381$n833
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$61381$n783 I1=$abc$61381$n618_1 I2=KEYBOARD.report[0][7] I3=$false O=$abc$61381$n834
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n836 I1=$add$top.v:60$11_Y[0] I2=$false I3=$false O=$0\rststate[3:0][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n636 I1=$abc$61381$n637 I2=$abc$61381$n638 I3=i2c_input_data_type[1] O=$abc$61381$n836
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$61381$n836 I1=$add$top.v:60$11_Y[1] I2=$false I3=$false O=$0\rststate[3:0][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n836 I1=$add$top.v:60$11_Y[2] I2=$false I3=$false O=$0\rststate[3:0][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n836 I1=$add$top.v:60$11_Y[3] I2=$false I3=$false O=$0\rststate[3:0][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[0] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n559 I1=$abc$61381$n771 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[2] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[3] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[4] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][4]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[5] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[6] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n559 I1=$sub$top.v:78$20_Y[7] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n849 I1=$abc$61381$n636 I2=$0\uart_double_ff[0:0] I3=$abc$61381$n559 O=$0\i2c_input_data_type[3:0][0]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101010100001100
.gate SB_LUT4 I0=$abc$61381$n851 I1=$abc$61381$n780_1 I2=$abc$61381$n850 I3=I2C.received_byte[0] O=$abc$61381$n849
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010101010101
.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$36650[7] I1=$abc$61381$n612 I2=$auto$alumacc.cc:484:replace_alu$36685[7] I3=$false O=$abc$61381$n850
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n852 I1=$abc$61381$n598 I2=$abc$61381$n618_1 I3=$abc$61381$n604 O=$abc$61381$n851
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110000000000000
.gate SB_LUT4 I0=$abc$61381$n599 I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=$false O=$abc$61381$n852
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$61381$n854 I1=$abc$61381$n624 I2=$0\uart_double_ff[0:0] I3=$abc$61381$n559 O=$0\i2c_input_data_type[3:0][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0101010100001100
.gate SB_LUT4 I0=$abc$61381$n618_1 I1=$abc$61381$n856 I2=$abc$61381$n855_1 I3=$false O=$abc$61381$n854
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$61381$n850 I1=$abc$61381$n780_1 I2=I2C.received_byte[1] I3=$false O=$abc$61381$n855_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n604 I1=$abc$61381$n599 I2=I2C.received_byte[4] I3=I2C.received_byte[5] O=$abc$61381$n856
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111011101111111
.gate SB_LUT4 I0=$abc$61381$n859_1 I1=$abc$61381$n858_1 I2=$0\uart_double_ff[0:0] I3=$abc$61381$n559 O=$0\i2c_input_data_type[3:0][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0101010100001100
.gate SB_LUT4 I0=$abc$61381$n625 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$61381$n858_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n860 I1=$abc$61381$n850 I2=$abc$61381$n780_1 I3=I2C.received_byte[2] O=$abc$61381$n859_1
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010101010101
.gate SB_LUT4 I0=$abc$61381$n856 I1=$abc$61381$n603 I2=$abc$61381$n618_1 I3=$false O=$abc$61381$n860
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$61381$n863 I1=$abc$61381$n862 I2=$0\uart_double_ff[0:0] I3=$abc$61381$n559 O=$0\i2c_input_data_type[3:0][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1010101000001100
.gate SB_LUT4 I0=$abc$61381$n625 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$61381$n862
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n850 I1=$abc$61381$n780_1 I2=I2C.received_byte[3] I3=$false O=$abc$61381$n863
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$61381$n865 I2=I2C.is_read I3=$false O=$procmux$31585_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[0] I1=I2C_TX_DESC[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$61381$n865
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$61381$n867 I2=I2C.is_read I3=$false O=$procmux$31585_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[1] I1=I2C_TX_DESC[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$61381$n867
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$61381$n869 I2=I2C.is_read I3=$false O=$procmux$31585_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[2] I1=I2C_TX_DESC[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$61381$n869
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$61381$n871 I2=I2C.is_read I3=$false O=$procmux$31585_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[3] I1=I2C_TX_DESC[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$61381$n871
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$61381$n873 I2=I2C.is_read I3=$false O=$procmux$31585_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[4] I1=I2C_TX_DESC[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$61381$n873
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$61381$n875 I2=I2C.is_read I3=$false O=$procmux$31585_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[5] I1=I2C_TX_DESC[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$61381$n875
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$61381$n877 I2=I2C.is_read I3=$false O=$procmux$31585_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[6] I1=I2C_TX_DESC[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$61381$n877
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$61381$n879 I2=I2C.is_read I3=$false O=$procmux$31585_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[7] I1=I2C_TX_DESC[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$61381$n879
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$auto$rtlil.cc:1692:NotGate$61156 I2=$abc$61381$n568 I3=$false O=$2\UART_WR[0:0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111011
.gate SB_LUT4 I0=$abc$61381$n882 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$61381$n624 I1=$abc$61381$n883 I2=$abc$61381$n636 I3=$abc$61381$n884 O=$abc$61381$n882
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0011111100001000
.gate SB_LUT4 I0=$abc$61381$n858_1 I1=$abc$61381$n862 I2=$false I3=$false O=$abc$61381$n883
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$61381$n611_1 I1=$abc$61381$n625 I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$61381$n884
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$61381$n886 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$61381$n636 I1=$abc$61381$n624 I2=$abc$61381$n883 I3=$abc$61381$n887 O=$abc$61381$n886
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0011111100100000
.gate SB_LUT4 I0=$abc$61381$n611_1 I1=$abc$61381$n625 I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$61381$n887
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$61381$n889 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n624 I1=$abc$61381$n636 I2=$abc$61381$n883 I3=$abc$61381$n890 O=$abc$61381$n889
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001111100000000
.gate SB_LUT4 I0=$abc$61381$n611_1 I1=$abc$61381$n625 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$61381$n890
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$61381$n882 I1=$abc$61381$n886 I2=$abc$61381$n889 I3=$2\INT[0:0] O=$2\I2C_OUT_DESC_MASK[7:0][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011000000000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36644[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$61381$n902 I1=$abc$61381$n895 I2=$abc$61381$n905 I3=$abc$61381$n646_1 O=$techmap\I2C.$procmux$20172_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101010001010101
.gate SB_LUT4 I0=$abc$61381$n896 I1=$abc$61381$n900 I2=$false I3=$false O=$abc$61381$n895
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n897 I1=$abc$61381$n899 I2=I2C.FLT_SDA.out I3=$false O=$abc$61381$n896
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$61381$n898 I1=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I2=I2C.SCL_LAST I3=I2C.FLT_SCL.out O=$abc$61381$n897
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$19301_Y I1=I2C.is_ack I2=$false I3=$false O=$abc$61381$n898
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.SDA_DIR O=$abc$61381$n899
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101011100000000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I1=$abc$61381$n901 I2=$abc$61381$n644 I3=$false O=$abc$61381$n900
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$61381$n901
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$61381$n646_1 I1=$abc$61381$n903 I2=$abc$61381$n904 I3=$false O=$abc$61381$n902
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$36709[7] I1=$abc$61381$n644 I2=$abc$61381$n897 I3=$false O=$abc$61381$n903
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.wr O=$abc$61381$n904
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101011100000000
.gate SB_LUT4 I0=$abc$61381$n898 I1=$abc$61381$n901 I2=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I3=$abc$61381$n644 O=$abc$61381$n905
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001111111
.gate SB_LUT4 I0=I2C.is_ack I1=$abc$61381$n907 I2=$abc$61381$n646_1 I3=$false O=$techmap\I2C.$procmux$20126_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=$abc$61381$n918 I1=$abc$61381$n917 I2=$abc$61381$n908 I3=$abc$61381$n644 O=$abc$61381$n907
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101000000011
.gate SB_LUT4 I0=$abc$61381$n909 I1=$abc$61381$n915 I2=$false I3=$false O=$abc$61381$n908
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$61381$n910 I1=$abc$61381$n913 I2=$false I3=$false O=$abc$61381$n909
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$61381$n911 I1=$abc$61381$n912 I2=$false I3=$false O=$abc$61381$n910
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$36714[0] I1=$auto$alumacc.cc:483:replace_alu$36713[1] I2=$auto$alumacc.cc:483:replace_alu$36713[2] I3=$auto$alumacc.cc:483:replace_alu$36713[3] O=$abc$61381$n911
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[4] I1=$auto$alumacc.cc:483:replace_alu$36713[5] I2=$auto$alumacc.cc:483:replace_alu$36713[6] I3=$auto$alumacc.cc:483:replace_alu$36713[7] O=$abc$61381$n912
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$61381$n914_1 I1=I2C.received_byte[2] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$61381$n913
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001000000000
.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=I2C.received_byte[1] O=$abc$61381$n914_1
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000100000
.gate SB_LUT4 I0=$abc$61381$n916 I1=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I2=$false I3=$false O=$abc$61381$n915
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n898 I1=$abc$61381$n901 I2=$false I3=$false O=$abc$61381$n916
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I1=$abc$61381$n901 I2=$abc$61381$n898 I3=$false O=$abc$61381$n917
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I1=$abc$61381$n898 I2=$abc$61381$n901 I3=$false O=$abc$61381$n918
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$36714[0] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[0] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1111110010101010
.gate SB_LUT4 I0=$abc$61381$n897 I1=$abc$61381$n915 I2=$abc$61381$n644 I3=$abc$61381$n646_1 O=$abc$61381$n920
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$61381$n922 I1=$auto$alumacc.cc:483:replace_alu$36713[2] I2=$abc$61381$n606 I3=I2C.byte_counter[3] O=$abc$61381$n921
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[4] I1=$auto$alumacc.cc:483:replace_alu$36713[7] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$61381$n922
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$61381$n920 I1=$abc$61381$n921 I2=$auto$alumacc.cc:484:replace_alu$36714[0] I3=$auto$alumacc.cc:483:replace_alu$36713[1] O=$techmap\I2C.$procmux$20080_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1101111110101000
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[2] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[2] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1111110010101010
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[3] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[3] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1111110010101010
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[4] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[4] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1111110010101010
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[5] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[5] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111110010101010
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[6] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[6] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111110010101010
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[7] I1=$abc$61381$n921 I2=$auto$wreduce.cc:310:run$36586[7] I3=$abc$61381$n920 O=$techmap\I2C.$procmux$20080_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1111110010101010
.gate SB_LUT4 I0=$abc$61381$n933 I1=$abc$61381$n931_1 I2=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] I3=$abc$61381$n646_1 O=$techmap\I2C.$procmux$19988_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011101011110000
.gate SB_LUT4 I0=$abc$61381$n900 I1=$abc$61381$n897 I2=$abc$61381$n932 I3=$false O=$abc$61381$n931_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I1=I2C.SCL_LAST I2=I2C.FLT_SCL.out I3=$abc$61381$n905 O=$abc$61381$n932
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110111100000000
.gate SB_LUT4 I0=$abc$61381$n934 I1=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] I2=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I3=$false O=$abc$61381$n933
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=$abc$61381$n644 I1=I2C.SCL_LAST I2=I2C.FLT_SCL.out I3=$false O=$abc$61381$n934
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100111
.gate SB_LUT4 I0=$abc$61381$n937 I1=$abc$61381$n936 I2=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] I3=$false O=$techmap\I2C.$procmux$19988_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111010
.gate SB_LUT4 I0=$abc$61381$n931_1 I1=$abc$61381$n646_1 I2=$false I3=$false O=$abc$61381$n936
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$61381$n648 I1=$abc$61381$n934 I2=$abc$61381$n646_1 I3=$auto$simplemap.cc:250:simplemap_eqne$52942[1] O=$abc$61381$n937
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000010000
.gate SB_LUT4 I0=$abc$61381$n939 I1=$abc$61381$n936 I2=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] I3=$false O=$techmap\I2C.$procmux$19988_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111010
.gate SB_LUT4 I0=$abc$61381$n934 I1=$abc$61381$n646_1 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$auto$simplemap.cc:250:simplemap_eqne$52942[1] O=$abc$61381$n939
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36644[3] I1=$abc$61381$n936 I2=$abc$61381$n941 I3=$false O=$techmap\I2C.$procmux$19988_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$61381$n934 I1=$abc$61381$n646_1 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[3] I3=$auto$simplemap.cc:250:simplemap_eqne$52942[1] O=$abc$61381$n941
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000100
.gate SB_LUT4 I0=$abc$61381$n644 I1=$abc$61381$n910 I2=$abc$61381$n943 I3=$false O=$techmap\I2C.$procmux$19942_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111010
.gate SB_LUT4 I0=$abc$61381$n915 I1=$abc$61381$n646_1 I2=I2C.received_byte[0] I3=$false O=$abc$61381$n943
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$61381$n945 I1=$abc$61381$n909 I2=$abc$61381$n644 I3=$abc$61381$n646_1 O=$techmap\I2C.$procmux$19896_Y
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101011100000000
.gate SB_LUT4 I0=$abc$61381$n915 I1=$abc$61381$n896 I2=$abc$61381$n644 I3=$false O=$abc$61381$n945
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$61381$n899 I1=I2C.i2c_state_machine I2=$abc$61381$n947 I3=$false O=$techmap\I2C.$procmux$19850_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11110010
.gate SB_LUT4 I0=$abc$61381$n949 I1=$abc$61381$n948 I2=$abc$61381$n644 I3=$abc$61381$n646_1 O=$abc$61381$n947
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$61381$n901 I1=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I2=$abc$61381$n899 I3=$abc$61381$n908 O=$abc$61381$n948
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010001111
.gate SB_LUT4 I0=$abc$61381$n916 I1=$abc$61381$n899 I2=$abc$61381$n950 I3=$auto$simplemap.cc:250:simplemap_eqne$52942[1] O=$abc$61381$n949
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011101111110000
.gate SB_LUT4 I0=$abc$61381$n899 I1=$abc$61381$n954 I2=$abc$61381$n951 I3=$abc$61381$n901 O=$abc$61381$n950
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111110001010101
.gate SB_LUT4 I0=$abc$61381$n953 I1=$abc$61381$n952 I2=$abc$61381$n648 I3=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] O=$abc$61381$n951
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000110101
.gate SB_LUT4 I0=$abc$61381$n873 I1=$abc$61381$n865 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n952
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$61381$n877 I1=$abc$61381$n869 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n953
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$61381$n956_1 I1=$abc$61381$n955 I2=$abc$61381$n648 I3=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0] O=$abc$61381$n954
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$61381$n879 I1=$abc$61381$n871 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n955
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$61381$n875 I1=$abc$61381$n867 I2=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2] I3=$false O=$abc$61381$n956_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$61381$n963 I1=$abc$61381$n958 I2=$auto$alumacc.cc:484:replace_alu$36624[3] I3=$auto$wreduce.cc:310:run$36605[0] O=$techmap\UART.$procmux$892_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111110011111010
.gate SB_LUT4 I0=$abc$61381$n962 I1=$abc$61381$n961 I2=$abc$61381$n959 I3=$false O=$abc$61381$n958
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] I1=$auto$alumacc.cc:470:replace_alu$36760.B_buf[0] I2=$false I3=$false O=$abc$61381$n959
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[1] I2=$auto$wreduce.cc:310:run$36605[2] I3=$false O=$abc$61381$n961
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[3] I2=$auto$wreduce.cc:310:run$36605[2] I3=$false O=$abc$61381$n962
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$61381$n965 I1=$abc$61381$n964_1 I2=$abc$61381$n959 I3=$false O=$abc$61381$n963
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[0] I2=$auto$wreduce.cc:310:run$36605[2] I3=$false O=$abc$61381$n964_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[2] I2=$auto$wreduce.cc:310:run$36605[2] I3=$false O=$abc$61381$n965
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=$abc$61381$n967 I3=UART.tx_activity O=$techmap\UART.$procmux$753_Y
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000111100100010
.gate SB_LUT4 I0=$abc$61381$n663 I1=$abc$61381$n665 I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$61381$n967
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$61381$n663 I1=UART.tx_activity I2=$auto$wreduce.cc:310:run$36604[0] I3=$false O=$techmap\UART.$procmux$739_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111011
.gate SB_LUT4 I0=UART.tx_activity I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$techmap\UART.$procmux$739_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000010
.gate SB_LUT4 I0=$abc$61381$n663 I1=UART.tx_activity I2=$auto$wreduce.cc:310:run$36604[2] I3=$false O=$techmap\UART.$procmux$739_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111011
.gate SB_LUT4 I0=$abc$61381$n663 I1=UART.tx_activity I2=$auto$wreduce.cc:310:run$36604[3] I3=$false O=$techmap\UART.$procmux$739_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111011
.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n557 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n974 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n974
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n976 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n976
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n978 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n978
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n980_1 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n980_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00000010
.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n982 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[5]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n982
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n984 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[6]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$61381$n984
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$auto$rtlil.cc:1692:NotGate$61316 I2=$abc$61381$n672 I3=$false O=$techmap\KEYBOARD.$procmux$18304_Y[7]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$rtlil.cc:1692:NotGate$61364 I3=$false O=$auto$simplemap.cc:309:simplemap_lut$46578[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61364 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$auto$wreduce.cc:310:run$36592[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 01111000
.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$auto$wreduce.cc:310:run$36598[2] I2=$auto$rtlil.cc:1692:NotGate$61364 I3=$false O=$auto$wreduce.cc:310:run$36592[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$auto$wreduce.cc:310:run$36598[3] I2=$auto$rtlil.cc:1692:NotGate$61364 I3=$false O=$auto$wreduce.cc:310:run$36592[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$61381$n549 I2=$abc$61381$n546 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$61381$n540 I2=$abc$61381$n535 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=$abc$61381$n545 I2=$abc$61381$n541 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$61381$n537 I2=$abc$61381$n530 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$61381$n533 I2=$abc$61381$n526 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$61381$n525 I2=$abc$61381$n515 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$61381$n997 I2=$abc$61381$n511 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$61381$n507 I3=$false O=$abc$61381$n997
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$61381$n999 I2=$abc$61381$n499 I3=$false O=$techmap\KEYBOARD.$procmux$8568_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$61381$n507 I3=$false O=$abc$61381$n999
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$61381$n546 I1=$abc$61381$n1013 I2=$abc$61381$n1001 I3=$abc$61381$n1012 O=$techmap\KEYBOARD.$procmux$8366_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111101010001
.gate SB_LUT4 I0=$abc$61381$n1010 I1=$abc$61381$n1008 I2=$abc$61381$n1002 I3=$abc$61381$n541 O=$abc$61381$n1001
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001011101
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36594[0] I1=$abc$61381$n526 I2=$abc$61381$n1003 I3=$abc$61381$n1006 O=$abc$61381$n1002
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$61381$n1004_1 I1=$abc$61381$n1005_1 I2=$abc$61381$n526 I3=$false O=$abc$61381$n1003
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36596[0] I1=$auto$wreduce.cc:310:run$36597[0] I2=$abc$61381$n498_1 I3=$abc$61381$n511 O=$abc$61381$n1004_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0000010100000011
.gate SB_LUT4 I0=$abc$61381$n515 I1=$abc$61381$n525 I2=$auto$wreduce.cc:310:run$36595[0] I3=KEYBOARD.COLS_SHADOW[5] O=$abc$61381$n1005_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001000001000
.gate SB_LUT4 I0=$abc$61381$n530 I1=$abc$61381$n1007 I2=$false I3=$false O=$abc$61381$n1006
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$61381$n526 I1=$abc$61381$n533 I2=KEYBOARD.COLS_SHADOW[4] I3=$false O=$abc$61381$n1007
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000010
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36593[0] I1=$abc$61381$n1009 I2=$abc$61381$n535 I3=$false O=$abc$61381$n1008
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$61381$n530 I1=$abc$61381$n537 I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$61381$n1009
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00101000
.gate SB_LUT4 I0=$techmap\KEYBOARD.$add$matrix_kbd.v:240$336_Y[0] I1=$abc$61381$n535 I2=$abc$61381$n1011 I3=$false O=$abc$61381$n1010
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$61381$n535 I1=$abc$61381$n540 I2=KEYBOARD.COLS_SHADOW[1] I3=$false O=$abc$61381$n1011
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000010
.gate SB_LUT4 I0=$abc$61381$n546 I1=$abc$61381$n549 I2=KEYBOARD.COLS_SHADOW[0] I3=$false O=$abc$61381$n1012
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000010
.gate SB_LUT4 I0=$abc$61381$n541 I1=$abc$61381$n545 I2=$auto$wreduce.cc:310:run$36592[0] I3=KEYBOARD.COLS_SHADOW[2] O=$abc$61381$n1013
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101011101011101
.gate SB_LUT4 I0=$abc$61381$n546 I1=$abc$61381$n1011 I2=$abc$61381$n1015_1 I3=$abc$61381$n1012 O=$techmap\KEYBOARD.$procmux$8366_Y[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111101010100
.gate SB_LUT4 I0=$abc$61381$n1007 I1=$abc$61381$n1016_1 I2=$abc$61381$n535 I3=$false O=$abc$61381$n1015_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$61381$n515 I1=$abc$61381$n525 I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$61381$n526 O=$abc$61381$n1016_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000011010111
.gate SB_LUT4 I0=$abc$61381$n1012 I1=$abc$61381$n546 I2=$abc$61381$n1018 I3=$abc$61381$n1019 O=$techmap\KEYBOARD.$procmux$8366_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000010111011
.gate SB_LUT4 I0=$abc$61381$n1011 I1=$abc$61381$n1009 I2=$abc$61381$n535 I3=$abc$61381$n541 O=$abc$61381$n1018
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010101011
.gate SB_LUT4 I0=$abc$61381$n541 I1=$abc$61381$n545 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$61381$n1012 O=$abc$61381$n1019
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001111101
.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$8366_Y[7] I1=$auto$simplemap.cc:309:simplemap_lut$46578[3] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$8366_Y[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$61381$n1016_1 I1=$abc$61381$n498_1 I2=$abc$61381$n1022 I3=$techmap\KEYBOARD.$procmux$8366_Y[2] O=$techmap\KEYBOARD.$procmux$8366_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$61381$n1006 I1=$abc$61381$n1011 I2=$abc$61381$n1019 I3=$false O=$abc$61381$n1022
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$8366_Y[7] I1=$auto$wreduce.cc:310:run$36592[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$8366_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
5 years ago
.param LUT_INIT 1110
.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$8366_Y[7] I1=$auto$wreduce.cc:310:run$36592[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$8366_Y[5]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$8366_Y[7] I1=$auto$wreduce.cc:310:run$36592[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$8366_Y[6]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$auto$wreduce.cc:310:run$36598[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[0] O=$techmap\I2C_HID_DESC.$procmux$586_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 1110111100100000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$techmap\I2C_HID_DESC.$procmux$586_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
5 years ago
.param LUT_INIT 01001011
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$techmap\I2C_HID_DESC.$procmux$586_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
5 years ago
.param LUT_INIT 0100111110110000
.gate SB_LUT4 I0=I2C.byte_counter[7] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[7] O=$techmap\I2C_HID_DESC.$procmux$586_Y[7]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110111100100000
.gate SB_LUT4 I0=$abc$61381$n748 I1=$auto$wreduce.cc:310:run$36591[0] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$993_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$61381$n754 I1=$auto$wreduce.cc:310:run$36590[2] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$993_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$auto$simplemap.cc:309:simplemap_lut$46966
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[1] I1=$auto$wreduce.cc:310:run$36598[0] I2=$auto$wreduce.cc:310:run$36598[2] I3=$false O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$eq$top.v:225$129_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$61316 I1=$false I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$55251[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$36598[3] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$61332
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=RESET I1=$false I2=$false I3=$false O=$logic_not$top.v:60$10_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36649[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36662[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36662[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36662[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$auto$simplemap.cc:309:simplemap_lut$47343[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36673[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$auto$simplemap.cc:309:simplemap_lut$45894[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36644[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36715.A_buf[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36644[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36724.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37884_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$36760.B_buf[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36760.B_buf[0] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[6]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$36713[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36708[7]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36661.$ternary$/usr/bin/../share/yosys/ice40/arith_map.v:42$37725_Y[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36760.B_buf[2] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36760.B_buf[3] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36644[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36644[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$36689[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=INT I1=$false I2=$false I3=$false O=LED1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_CARRY CI=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] CO=$auto$alumacc.cc:484:replace_alu$36624[1] I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36624[1] CO=$auto$alumacc.cc:484:replace_alu$36624[2] I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36624[2] CO=$auto$alumacc.cc:484:replace_alu$36624[3] I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:484:replace_alu$36629[4] I0=KEYBOARD.kbd_code_hid[4] I1=$true
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36629[4] CO=$auto$alumacc.cc:484:replace_alu$36629[5] I0=KEYBOARD.kbd_code_hid[5] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36629[5] CO=$auto$alumacc.cc:484:replace_alu$36629[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36629[6] CO=$auto$alumacc.cc:484:replace_alu$36629[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:484:replace_alu$36634[1] I0=KEYBOARD.kbd_code_hid[1] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[1] CO=$auto$alumacc.cc:484:replace_alu$36634[2] I0=KEYBOARD.kbd_code_hid[2] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[2] CO=$auto$alumacc.cc:484:replace_alu$36634[3] I0=KEYBOARD.kbd_code_hid[3] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[3] CO=$auto$alumacc.cc:484:replace_alu$36634[4] I0=KEYBOARD.kbd_code_hid[4] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[4] CO=$auto$alumacc.cc:484:replace_alu$36634[5] I0=KEYBOARD.kbd_code_hid[5] I1=$true
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[5] CO=$auto$alumacc.cc:484:replace_alu$36634[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36634[6] CO=$auto$alumacc.cc:484:replace_alu$36634[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36644[0] CO=$auto$alumacc.cc:484:replace_alu$36645[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36644[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36645[1] CO=$auto$alumacc.cc:484:replace_alu$36645[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36644[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36645[2] CO=$auto$simplemap.cc:250:simplemap_eqne$52942[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36644[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36649[1] CO=$auto$alumacc.cc:484:replace_alu$36650[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[2] CO=$auto$alumacc.cc:484:replace_alu$36650[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[3] CO=$auto$alumacc.cc:484:replace_alu$36650[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[4]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[4] CO=$auto$alumacc.cc:484:replace_alu$36650[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[5]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[5] CO=$auto$alumacc.cc:484:replace_alu$36650[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[6]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36650[6] CO=$auto$alumacc.cc:484:replace_alu$36650[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[7]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36662[0] CO=$auto$alumacc.cc:484:replace_alu$36663[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36662[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36663[1] CO=$auto$alumacc.cc:484:replace_alu$36663[2] I0=$true I1=$techmap$auto$alumacc.cc:470:replace_alu$36661.$ternary$/usr/bin/../share/yosys/ice40/arith_map.v:42$37725_Y[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36663[2] CO=$auto$alumacc.cc:484:replace_alu$36663[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36662[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$simplemap.cc:309:simplemap_lut$45894[3] CO=$auto$alumacc.cc:484:replace_alu$36674[1] I0=$true I1=$techmap$auto$alumacc.cc:470:replace_alu$36724.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37884_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[1] CO=$auto$alumacc.cc:484:replace_alu$36674[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[2] CO=$auto$alumacc.cc:484:replace_alu$36674[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[3] CO=$auto$alumacc.cc:484:replace_alu$36674[4] I0=$false I1=$auto$simplemap.cc:309:simplemap_lut$47343[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[4] CO=$auto$alumacc.cc:484:replace_alu$36674[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[5] CO=$auto$alumacc.cc:484:replace_alu$36674[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36674[6] CO=$auto$alumacc.cc:484:replace_alu$36674[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36649[1] CO=$auto$alumacc.cc:484:replace_alu$36685[2] I0=$true I1=$auto$alumacc.cc:483:replace_alu$36649[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[2] CO=$auto$alumacc.cc:484:replace_alu$36685[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[3] CO=$auto$alumacc.cc:484:replace_alu$36685[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[4] CO=$auto$alumacc.cc:484:replace_alu$36685[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[5] CO=$auto$alumacc.cc:484:replace_alu$36685[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36685[6] CO=$auto$alumacc.cc:484:replace_alu$36685[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36649[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36689[1] CO=$auto$alumacc.cc:484:replace_alu$36690[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[2] CO=$auto$alumacc.cc:484:replace_alu$36690[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[3] CO=$auto$alumacc.cc:484:replace_alu$36690[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[4] CO=$auto$alumacc.cc:484:replace_alu$36690[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[5] CO=$auto$alumacc.cc:484:replace_alu$36690[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36690[6] CO=$auto$alumacc.cc:484:replace_alu$36690[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36689[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36673[2] CO=$auto$alumacc.cc:484:replace_alu$36695[3] I0=$true I1=$auto$alumacc.cc:483:replace_alu$36673[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36695[3] CO=$auto$alumacc.cc:484:replace_alu$36695[4] I0=$false I1=$auto$simplemap.cc:309:simplemap_lut$47343[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36695[4] CO=$auto$alumacc.cc:484:replace_alu$36695[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36695[5] CO=$auto$alumacc.cc:484:replace_alu$36695[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36695[6] CO=$auto$alumacc.cc:484:replace_alu$36695[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36673[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$logic_not$top.v:60$10_Y I2=rststate[0] I3=$false O=$add$top.v:60$11_Y[0]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:484:replace_alu$36700[0] I0=$logic_not$top.v:60$10_Y I1=rststate[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:484:replace_alu$36700[0] O=$add$top.v:60$11_Y[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36700[0] CO=$auto$alumacc.cc:484:replace_alu$36700[1] I0=$false I1=rststate[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:484:replace_alu$36700[1] O=$add$top.v:60$11_Y[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36700[1] CO=$auto$alumacc.cc:484:replace_alu$36700[2] I0=$false I1=rststate[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:484:replace_alu$36700[2] O=$add$top.v:60$11_Y[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$36584[0]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:484:replace_alu$36703[1] I0=I2C.byte_counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$false I3=$auto$alumacc.cc:484:replace_alu$36703[1] O=$auto$wreduce.cc:310:run$36584[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$sub$top.v:78$20_Y[0]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$36704.C[2] I0=I2C.byte_counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[2] O=$sub$top.v:78$20_Y[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[2] CO=$auto$alumacc.cc:470:replace_alu$36704.C[3] I0=I2C.byte_counter[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[3] O=$sub$top.v:78$20_Y[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[3] CO=$auto$alumacc.cc:470:replace_alu$36704.C[4] I0=I2C.byte_counter[3] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[4] O=$sub$top.v:78$20_Y[4]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[4] CO=$auto$alumacc.cc:470:replace_alu$36704.C[5] I0=I2C.byte_counter[4] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[5] O=$sub$top.v:78$20_Y[5]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[5] CO=$auto$alumacc.cc:470:replace_alu$36704.C[6] I0=I2C.byte_counter[5] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[6] O=$sub$top.v:78$20_Y[6]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36704.C[6] CO=$auto$alumacc.cc:470:replace_alu$36704.C[7] I0=I2C.byte_counter[6] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$36704.C[7] O=$sub$top.v:78$20_Y[7]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$36708[1] CO=$auto$alumacc.cc:484:replace_alu$36709[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[2] CO=$auto$alumacc.cc:484:replace_alu$36709[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[3] CO=$auto$alumacc.cc:484:replace_alu$36709[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[4] CO=$auto$alumacc.cc:484:replace_alu$36709[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[5] CO=$auto$alumacc.cc:484:replace_alu$36709[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36709[6] CO=$auto$alumacc.cc:484:replace_alu$36709[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36708[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=$auto$alumacc.cc:484:replace_alu$36714[0] I3=$false O=$auto$wreduce.cc:310:run$36586[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[0] CO=$auto$alumacc.cc:484:replace_alu$36714[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[1]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[2] I3=$auto$alumacc.cc:484:replace_alu$36714[1] O=$auto$wreduce.cc:310:run$36586[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[1] CO=$auto$alumacc.cc:484:replace_alu$36714[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[3] I3=$auto$alumacc.cc:484:replace_alu$36714[2] O=$auto$wreduce.cc:310:run$36586[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[2] CO=$auto$alumacc.cc:484:replace_alu$36714[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[4] I3=$auto$alumacc.cc:484:replace_alu$36714[3] O=$auto$wreduce.cc:310:run$36586[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[3] CO=$auto$alumacc.cc:484:replace_alu$36714[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[5] I3=$auto$alumacc.cc:484:replace_alu$36714[4] O=$auto$wreduce.cc:310:run$36586[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[4] CO=$auto$alumacc.cc:484:replace_alu$36714[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[6] I3=$auto$alumacc.cc:484:replace_alu$36714[5] O=$auto$wreduce.cc:310:run$36586[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36714[5] CO=$auto$alumacc.cc:484:replace_alu$36714[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$36713[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$36713[7] I3=$auto$alumacc.cc:484:replace_alu$36714[6] O=$auto$wreduce.cc:310:run$36586[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] I2=$false I3=$true O=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$36715.A_buf[0] CO=$auto$alumacc.cc:484:replace_alu$36717[1] I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36717[1] O=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36717[1] CO=$auto$alumacc.cc:484:replace_alu$36717[2] I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$36715.A_buf[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36717[2] O=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[3]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36717[2] CO=$auto$simplemap.cc:309:simplemap_lut$46032[1] I0=$auto$alumacc.cc:470:replace_alu$36715.A_buf[3] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$auto$simplemap.cc:309:simplemap_lut$46032[1] O=$techmap\I2C.$sub$i2c_slave.v:143$204_Y[4]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$36590[0]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:484:replace_alu$36720[1] I0=I2C.FLT_SCL.counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36720[1] O=$auto$wreduce.cc:310:run$36590[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$36591[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:484:replace_alu$36723[1] I0=I2C.FLT_SDA.counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36723[1] O=$auto$wreduce.cc:310:run$36591[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:484:replace_alu$36726[6] I0=$false I1=I2C.byte_counter[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:484:replace_alu$36726[6] O=$techmap\I2C_HID_DESC.$add$descriptors.v:36$527_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$36592[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:240$336_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$36593[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$36594[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$36595[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$36596[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$36597[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$auto$wreduce.cc:310:run$36598[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:484:replace_alu$36750[1] I0=$false I1=KEYBOARD.row_counter[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:484:replace_alu$36750[1] O=$auto$wreduce.cc:310:run$36598[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36750[1] CO=$auto$alumacc.cc:484:replace_alu$36750[2] I0=$false I1=KEYBOARD.row_counter[2]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:484:replace_alu$36750[2] O=$auto$wreduce.cc:310:run$36598[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:484:replace_alu$36753[9] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[10]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[9] CO=$auto$alumacc.cc:484:replace_alu$36753[10] I0=$false I1=KEYBOARD.row_time[10]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:484:replace_alu$36753[10] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[11]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[10] CO=$auto$alumacc.cc:484:replace_alu$36753[11] I0=$false I1=KEYBOARD.row_time[11]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:484:replace_alu$36753[11] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[12]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[11] CO=$auto$alumacc.cc:484:replace_alu$36753[12] I0=$false I1=KEYBOARD.row_time[12]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:484:replace_alu$36753[12] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[13]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[12] CO=$auto$alumacc.cc:484:replace_alu$36753[13] I0=$false I1=KEYBOARD.row_time[13]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:484:replace_alu$36753[13] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[14]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[13] CO=$auto$alumacc.cc:484:replace_alu$36753[14] I0=$false I1=KEYBOARD.row_time[14]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:484:replace_alu$36753[14] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[15]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:484:replace_alu$36753[1] I0=$false I1=KEYBOARD.row_time[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:484:replace_alu$36753[1] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[1] CO=$auto$alumacc.cc:484:replace_alu$36753[2] I0=$false I1=KEYBOARD.row_time[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:484:replace_alu$36753[2] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[2] CO=$auto$alumacc.cc:484:replace_alu$36753[3] I0=$false I1=KEYBOARD.row_time[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:484:replace_alu$36753[3] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[3] CO=$auto$alumacc.cc:484:replace_alu$36753[4] I0=$false I1=KEYBOARD.row_time[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:484:replace_alu$36753[4] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[4] CO=$auto$alumacc.cc:484:replace_alu$36753[5] I0=$false I1=KEYBOARD.row_time[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:484:replace_alu$36753[5] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[5] CO=$auto$alumacc.cc:484:replace_alu$36753[6] I0=$false I1=KEYBOARD.row_time[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:484:replace_alu$36753[6] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[6] CO=$auto$alumacc.cc:484:replace_alu$36753[7] I0=$false I1=KEYBOARD.row_time[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:484:replace_alu$36753[7] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[8]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[7] CO=$auto$alumacc.cc:484:replace_alu$36753[8] I0=$false I1=KEYBOARD.row_time[8]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:484:replace_alu$36753[8] O=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[9]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36753[8] CO=$auto$alumacc.cc:484:replace_alu$36753[9] I0=$false I1=KEYBOARD.row_time[9]
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$36604[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:484:replace_alu$36756[1] I0=UART.tx_clk_counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36756[1] O=$auto$wreduce.cc:310:run$36604[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36756[1] CO=$auto$alumacc.cc:484:replace_alu$36756[2] I0=UART.tx_clk_counter[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36756[2] O=$auto$wreduce.cc:310:run$36604[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$auto$alumacc.cc:470:replace_alu$36760.B_buf[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:484:replace_alu$36759[1] I0=UART.tx_bit_counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36759[1] O=$auto$alumacc.cc:470:replace_alu$36760.B_buf[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$36759[1] CO=$auto$alumacc.cc:484:replace_alu$36759[2] I0=UART.tx_bit_counter[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$36759[2] O=$auto$alumacc.cc:470:replace_alu$36760.B_buf[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] I3=$true O=$auto$wreduce.cc:310:run$36605[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[0] CO=$auto$alumacc.cc:470:replace_alu$36760.C[2] I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$techmap$auto$alumacc.cc:470:replace_alu$36760.$not$/usr/bin/../share/yosys/ice40/arith_map.v:42$37927_Y[2] I3=$auto$alumacc.cc:470:replace_alu$36760.C[2] O=$auto$wreduce.cc:310:run$36605[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFESR C=CLK D=$procmux$23459_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[0] R=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$23459_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[1] R=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$23459_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[2] R=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$23459_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[3] R=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$23459_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[4] R=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$23459_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[5] R=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$23459_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[6] R=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$23459_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$47877 Q=I2C_TX_REPORT[7] R=$auto$simplemap.cc:256:simplemap_eqne$37067
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$auto$simplemap.cc:127:simplemap_reduce$48347[1] Q=UART_WR R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$31585_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[0] S=$auto$rtlil.cc:1692:NotGate$61156
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$31585_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[1] S=$auto$rtlil.cc:1692:NotGate$61156
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$31585_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[2] S=$auto$rtlil.cc:1692:NotGate$61156
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$31585_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[3] S=$auto$rtlil.cc:1692:NotGate$61156
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$31585_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[4] S=$auto$rtlil.cc:1692:NotGate$61156
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$31585_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[5] S=$auto$rtlil.cc:1692:NotGate$61156
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$31585_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[6] S=$auto$rtlil.cc:1692:NotGate$61156
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$31585_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$47997 Q=UART_TX_DATA[7] S=$auto$rtlil.cc:1692:NotGate$61156
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\INT[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$48548 Q=INT R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$48604 Q=KBD_FREEZE
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$49267 Q=temp_output_report[0]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$49267 Q=temp_output_report[1]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$49267 Q=temp_output_report[2]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$49520 Q=i2c_input_data_type[0]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$49520 Q=i2c_input_data_type[1]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$49520 Q=i2c_input_data_type[2]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$49520 Q=i2c_input_data_type[3]
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[0] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[1] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[2] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[3] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[4] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[5] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[6] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$50775 Q=I2C_INPUT_LEN[7] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUTPUT_TYPE[0] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUTPUT_TYPE[1] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUTPUT_TYPE[2] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[0] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[1] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[2] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[3] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[4] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[5] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[6] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51864 Q=I2C_OUT_DESC_MASK[7] R=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$52500 Q=LED2 S=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=temp_output_report[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$52500 Q=LED3 S=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$52500 Q=LED4 S=$logic_not$top.v:60$10_Y
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.wr E=RESET Q=last_wr
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C_TRANS E=RESET Q=last_trans
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=UART.tx_activity E=RESET Q=last_uart_active
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=KEYBOARD.isr E=RESET Q=last_isr
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$52724 Q=uart_double_ff
.attr src "top.v:57|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF
5 years ago
.attr src "i2c_slave.v:48|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF
5 years ago
.attr src "i2c_slave.v:48|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20172_Y Q=I2C.wr
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20126_Y Q=I2C.is_ack
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[0] Q=I2C.byte_counter[0]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[1] Q=I2C.byte_counter[1]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[2] Q=I2C.byte_counter[2]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[3] Q=I2C.byte_counter[3]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[4] Q=I2C.byte_counter[4]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[5] Q=I2C.byte_counter[5]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[6] Q=I2C.byte_counter[6]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$20080_Y[7] Q=I2C.byte_counter[7]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$52791 Q=I2C.received_byte[0]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$52858 Q=I2C.received_byte[1]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$52925 Q=I2C.received_byte[2]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$52992 Q=I2C.received_byte[3]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$53059 Q=I2C.received_byte[4]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$53126 Q=I2C.received_byte[5]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$53193 Q=I2C.received_byte[6]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$53260 Q=I2C.received_byte[7]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19988_Y[0] Q=I2C.i2c_bit_counter[0]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19988_Y[1] Q=I2C.i2c_bit_counter[1]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19988_Y[2] Q=I2C.i2c_bit_counter[2]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19988_Y[3] Q=I2C.i2c_bit_counter[3]
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19942_Y Q=I2C.is_read
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$techmap\I2C.$procmux$19301_Y E=$auto$dff2dffe.cc:158:make_patterns_logic$53269 Q=I2C.i2c_start_latency
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=I2C.FLT_SDA.out Q=I2C.SDA_LAST
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=I2C.FLT_SCL.out Q=I2C.SCL_LAST
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$19850_Y Q=I2C.SDA_DIR
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$techmap\UART.$procmux$753_Y E=RESET Q=UART.tx_activity
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$892_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_line R=$eq$top.v:225$129_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$739_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$53542 Q=UART.tx_clk_counter[0] R=$logic_not$top.v:60$10_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$739_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$53542 Q=UART.tx_clk_counter[1] R=$logic_not$top.v:60$10_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$739_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$53542 Q=UART.tx_clk_counter[2] R=$logic_not$top.v:60$10_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$739_Y[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$53542 Q=UART.tx_clk_counter[3] R=$logic_not$top.v:60$10_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$36760.B_buf[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_bit_counter[0] S=$eq$top.v:225$129_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$36760.B_buf[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_bit_counter[1] R=$eq$top.v:225$129_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$36760.B_buf[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_bit_counter[2] R=$eq$top.v:225$129_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$36760.B_buf[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$53580 Q=UART.tx_bit_counter[3] S=$eq$top.v:225$129_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=UART_WR E=RESET Q=UART.TX_sig_last
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][0] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][1] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][2] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][3] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][4] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][5] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][6] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$55122 Q=kbd_report[6][7] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0]
.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1]
.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2]
.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3]
.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1"
5 years ago
.gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4]
.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1"
5 years ago
.gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5]
.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1"
5 years ago
.gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6]
.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1"
5 years ago
.gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7]
.attr src "matrix_kbd.v:37|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][0] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][1] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][2] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][3] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][4] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][5] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][6] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$55287 Q=kbd_report[5][7] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][0] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][1] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][2] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][3] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][4] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][5] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][6] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$56573 Q=kbd_report[4][7] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][0] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][1] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][2] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][3] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][4] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][5] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][6] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$57638 Q=kbd_report[3][7] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][0] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][1] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][2] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][3] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][4] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][5] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][6] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$58513 Q=KEYBOARD.report[2][7] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][0] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][1] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][2] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][3] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][4] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][5] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][6] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$59214 Q=KEYBOARD.report[1][7] R=$auto$rtlil.cc:1692:NotGate$61316
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][0]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][1]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][2]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][4]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][5]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][6]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$18304_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$59740 Q=KEYBOARD.report[0][7]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36598[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[0]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36598[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[1]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36598[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[2]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36598[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[4]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[5]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[6]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ram_adr[7]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$7457_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$60147 Q=KEYBOARD.ram_wr
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$55251[4] E=RESET Q=KEYBOARD.is_pressed
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[0] E=RESET Q=KEYBOARD.kbd_code[0]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[1] E=RESET Q=KEYBOARD.kbd_code[1]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[2] E=RESET Q=KEYBOARD.kbd_code[2]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[3] E=RESET Q=KEYBOARD.kbd_code[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[4] E=RESET Q=KEYBOARD.kbd_code[4]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[5] E=RESET Q=KEYBOARD.kbd_code[5]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[6] E=RESET Q=KEYBOARD.kbd_code[6]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8366_Y[7] E=RESET Q=KEYBOARD.kbd_code[7]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[0] R=$auto$wreduce.cc:310:run$36598[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[1] R=$auto$wreduce.cc:310:run$36598[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[2] R=$auto$wreduce.cc:310:run$36598[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[3] R=$auto$wreduce.cc:310:run$36598[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[4] R=$auto$wreduce.cc:310:run$36598[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[5] R=$auto$wreduce.cc:310:run$36598[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[6] R=$auto$wreduce.cc:310:run$36598[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[7] R=$auto$wreduce.cc:310:run$36598[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[8] R=$auto$rtlil.cc:1692:NotGate$61332
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[9] R=$auto$rtlil.cc:1692:NotGate$61332
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[10] R=$auto$rtlil.cc:1692:NotGate$61332
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[11] R=$auto$rtlil.cc:1692:NotGate$61332
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[12] R=$auto$rtlil.cc:1692:NotGate$61332
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[13] R=$auto$rtlil.cc:1692:NotGate$61332
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[14] R=$auto$rtlil.cc:1692:NotGate$61332
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:55$268.$procmux$44379_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$59992 Q=KEYBOARD.ROWS_EN[15] R=$auto$rtlil.cc:1692:NotGate$61332
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$55268[1] E=RESET Q=KEYBOARD.isr
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[0] E=RESET Q=KEYBOARD.temp[0]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[1] E=RESET Q=KEYBOARD.temp[1]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[2] E=RESET Q=KEYBOARD.temp[2]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[3] E=RESET Q=KEYBOARD.temp[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[4] E=RESET Q=KEYBOARD.temp[4]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[5] E=RESET Q=KEYBOARD.temp[5]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[6] E=RESET Q=KEYBOARD.temp[6]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$8568_Y[7] E=RESET Q=KEYBOARD.temp[7]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$simplemap.cc:309:simplemap_lut$46578[3] E=RESET Q=KEYBOARD.row_counter[0]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36592[4] E=RESET Q=KEYBOARD.row_counter[1]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36592[5] E=RESET Q=KEYBOARD.row_counter[2]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$36592[6] E=RESET Q=KEYBOARD.row_counter[3]
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[0] E=RESET Q=KEYBOARD.row_time[0] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$simplemap.cc:309:simplemap_lut$46966 E=RESET Q=KEYBOARD.row_time[1] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[2] E=RESET Q=KEYBOARD.row_time[2] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[3] E=RESET Q=KEYBOARD.row_time[3] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[4] E=RESET Q=KEYBOARD.row_time[4] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[5] E=RESET Q=KEYBOARD.row_time[5] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[6] E=RESET Q=KEYBOARD.row_time[6] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[7] E=RESET Q=KEYBOARD.row_time[7] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[8] E=RESET Q=KEYBOARD.row_time[8] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[9] E=RESET Q=KEYBOARD.row_time[9] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[10] E=RESET Q=KEYBOARD.row_time[10] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[11] E=RESET Q=KEYBOARD.row_time[11] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[12] E=RESET Q=KEYBOARD.row_time[12] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[13] E=RESET Q=KEYBOARD.row_time[13] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[14] E=RESET Q=KEYBOARD.row_time[14] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:59$269_Y[15] E=RESET Q=KEYBOARD.row_time[15] R=$auto$rtlil.cc:1692:NotGate$61364
.attr src "matrix_kbd.v:43|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$586_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[0] R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[1] R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[2] R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[3] R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[4] R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$586_Y[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[5] R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$586_Y[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[6] R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$586_Y[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$60701 Q=I2C_HID_DESC.real_adress[7] R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=KEYBOARD.ram_wr Q=KEYBOARD.RAM.last_we
.attr src "ram.v:8|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFR C=CLK D=$techmap\I2C.$procmux$19896_Y Q=I2C.i2c_state_machine R=$logic_not$top.v:60$10_Y
5 years ago
.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:12"
.gate SB_DFFES C=CLK D=I2C.SDAF E=$auto$dff2dffe.cc:175:make_patterns_logic$60782 Q=I2C.FLT_SDA.out S=$logic_not$top.v:60$10_Y
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$993_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$60797 Q=I2C.FLT_SDA.counter[0] R=$logic_not$top.v:60$10_Y
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$993_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$60797 Q=I2C.FLT_SDA.counter[1] R=$logic_not$top.v:60$10_Y
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$993_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$60797 Q=I2C.FLT_SDA.counter[2] R=$logic_not$top.v:60$10_Y
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFES C=CLK D=I2C.SCLF E=$auto$dff2dffe.cc:175:make_patterns_logic$60842 Q=I2C.FLT_SCL.out S=$logic_not$top.v:60$10_Y
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$993_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$60857 Q=I2C.FLT_SCL.counter[0] R=$logic_not$top.v:60$10_Y
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$993_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$60857 Q=I2C.FLT_SCL.counter[1] R=$logic_not$top.v:60$10_Y
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$993_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$60857 Q=I2C.FLT_SCL.counter[2] R=$logic_not$top.v:60$10_Y
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA
5 years ago
.attr src "i2c_slave.v:178"
.param PIN_TYPE 101001
.param PULLUP 0
5 years ago
.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RCLK=CLK RCLKE=$true RDATA[0]=I2C_TX_DESC[0] RDATA[1]=I2C_TX_DESC[1] RDATA[2]=I2C_TX_DESC[2] RDATA[3]=I2C_TX_DESC[3] RDATA[4]=I2C_TX_DESC[4] RDATA[5]=I2C_TX_DESC[5] RDATA[6]=I2C_TX_DESC[6] RDATA[7]=I2C_TX_DESC[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false
.attr src "descriptors.v:143"
.param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000
.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011
.param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000
.param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101
.param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101
.param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101
.param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap36770\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$true WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$techmap$techmap36770\KEYBOARD.RAM.mem.0.0.0.$reduce_or$/usr/bin/../share/yosys/ice40/brams_map.v:308$36769_Y
5 years ago
.attr src "/usr/bin/../share/yosys/ice40/brams_map.v:277|/usr/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false
.attr src "matrix_kbd.v:262"
5 years ago
.param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001
.param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_3 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_4 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_5 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_6 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_7 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_8 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_9 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15]
.attr src "matrix_kbd.v:288"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1]
.attr src "matrix_kbd.v:288"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2]
.attr src "matrix_kbd.v:288"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6]
.attr src "matrix_kbd.v:288"
.param PIN_TYPE 101001
.param PULLUP 0
5 years ago
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9]
.attr src "matrix_kbd.v:288"
5 years ago
.param PIN_TYPE 101001
.param PULLUP 0
.names INT COM_DCD
1 1
.names KBD_FREEZE COM_DSR
1 1
.names I2C.is_read COM_RTS
1 1
.names UART.tx_line COM_TX
1 1
.names CLK I2C.CLK
1 1
.names I2C.byte_counter[0] I2C.COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C.COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C.COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C.COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C.COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C.COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C.COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C.COUNTER[7]
1 1
.names CLK I2C.FLT_SCL.CLK
1 1
.names I2C.SCLF I2C.FLT_SCL.IN
1 1
.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT
1 1
.names RESET I2C.FLT_SCL.RESET
1 1
.names CLK I2C.FLT_SDA.CLK
1 1
.names I2C.SDAF I2C.FLT_SDA.IN
1 1
.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT
1 1
.names RESET I2C.FLT_SDA.RESET
1 1
.names I2C.is_ack I2C.IS_ACK
1 1
.names I2C.is_read I2C.IS_READ
1 1
.names I2C_TRANS I2C.IS_TRANSMISSION
1 1
.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0]
1 1
.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1]
1 1
.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2]
1 1
.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3]
1 1
.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4]
1 1
.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5]
1 1
.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6]
1 1
.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7]
1 1
.names RESET I2C.RESET
1 1
.names SCL I2C.SCL
1 1
.names I2C.FLT_SCL.out I2C.SCLD
1 1
.names SDA I2C.SDA
1 1
.names I2C.FLT_SDA.out I2C.SDAD
1 1
.names I2C.wr I2C.WR
1 1
.names I2C.is_ack I2C_ACK
1 1
.names I2C.byte_counter[0] I2C_COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C_COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C_COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C_COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C_COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C_COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C_COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C_COUNTER[7]
1 1
.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0]
1 1
.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1]
1 1
.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2]
1 1
.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3]
1 1
.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4]
1 1
.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5]
1 1
.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6]
1 1
.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7]
1 1
.names CLK I2C_HID_DESC.CLK
1 1
.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0]
1 1
.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1]
1 1
.names I2C.wr I2C_HID_DESC.RD_REQUEST
1 1
.names RESET I2C_HID_DESC.RESET
1 1
5 years ago
.names I2C_TX_DESC[0] I2C_HID_DESC.VAL[0]
1 1
5 years ago
.names I2C_TX_DESC[1] I2C_HID_DESC.VAL[1]
1 1
5 years ago
.names I2C_TX_DESC[2] I2C_HID_DESC.VAL[2]
1 1
5 years ago
.names I2C_TX_DESC[3] I2C_HID_DESC.VAL[3]
1 1
5 years ago
.names I2C_TX_DESC[4] I2C_HID_DESC.VAL[4]
1 1
.names I2C_TX_DESC[5] I2C_HID_DESC.VAL[5]
1 1
.names I2C_TX_DESC[6] I2C_HID_DESC.VAL[6]
1 1
.names I2C_TX_DESC[7] I2C_HID_DESC.VAL[7]
1 1
.names I2C.is_read I2C_READ
1 1
.names I2C.received_byte[0] I2C_RX[0]
1 1
.names I2C.received_byte[1] I2C_RX[1]
1 1
.names I2C.received_byte[2] I2C_RX[2]
1 1
.names I2C.received_byte[3] I2C_RX[3]
1 1
.names I2C.received_byte[4] I2C_RX[4]
1 1
.names I2C.received_byte[5] I2C_RX[5]
1 1
.names I2C.received_byte[6] I2C_RX[6]
1 1
.names I2C.received_byte[7] I2C_RX[7]
1 1
.names I2C.wr I2C_WR
1 1
.names INT INTERRUPT
1 1
.names KEYBOARD.isr ISR
1 1
.names LED2 KBD_LED_STATUS[0]
1 1
.names LED3 KBD_LED_STATUS[1]
1 1
.names LED4 KBD_LED_STATUS[2]
1 1
.names $undef KBD_LED_STATUS[3]
1 1
.names $undef KBD_LED_STATUS[4]
1 1
.names $undef KBD_LED_STATUS[5]
1 1
.names $undef KBD_LED_STATUS[6]
1 1
.names $undef KBD_LED_STATUS[7]
1 1
.names CLK KEYBOARD.CLK
1 1
.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0]
1 1
.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1]
1 1
.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2]
1 1
.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3]
1 1
5 years ago
.names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4]
1 1
.names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5]
1 1
.names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6]
1 1
.names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7]
1 1
.names KEYBOARD.isr KEYBOARD.INT
1 1
.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.addr[0]
5 years ago
1 1
.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.addr[1]
5 years ago
1 1
.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.addr[2]
5 years ago
1 1
.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.addr[3]
5 years ago
1 1
.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.addr[4]
5 years ago
1 1
.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.addr[5]
5 years ago
1 1
.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.addr[6]
5 years ago
1 1
.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.addr[7]
5 years ago
1 1
.names $undef KEYBOARD.RAM.addr[8]
5 years ago
1 1
.names CLK KEYBOARD.RAM.clk
5 years ago
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7]
1 1
.names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0]
1 1
.names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1]
1 1
.names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2]
1 1
.names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3]
1 1
.names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4]
1 1
.names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5]
1 1
.names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6]
1 1
.names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7]
1 1
.names KEYBOARD.ram_wr KEYBOARD.RAM.wen
1 1
.names RESET KEYBOARD.RESET
1 1
.names KBD_ROWS[0] KEYBOARD.ROWS[0]
1 1
.names KBD_ROWS[1] KEYBOARD.ROWS[1]
1 1
.names KBD_ROWS[2] KEYBOARD.ROWS[2]
1 1
.names KBD_ROWS[3] KEYBOARD.ROWS[3]
1 1
5 years ago
.names KBD_ROWS[4] KEYBOARD.ROWS[4]
1 1
.names KBD_ROWS[5] KEYBOARD.ROWS[5]
1 1
.names KBD_ROWS[6] KEYBOARD.ROWS[6]
1 1
.names KBD_ROWS[7] KEYBOARD.ROWS[7]
1 1
.names KBD_ROWS[8] KEYBOARD.ROWS[8]
1 1
.names KBD_ROWS[9] KEYBOARD.ROWS[9]
1 1
.names KBD_ROWS[10] KEYBOARD.ROWS[10]
1 1
5 years ago
.names KBD_ROWS[11] KEYBOARD.ROWS[11]
1 1
5 years ago
.names KBD_ROWS[12] KEYBOARD.ROWS[12]
1 1
5 years ago
.names KBD_ROWS[13] KEYBOARD.ROWS[13]
1 1
5 years ago
.names KBD_ROWS[14] KEYBOARD.ROWS[14]
1 1
5 years ago
.names KBD_ROWS[15] KEYBOARD.ROWS[15]
1 1
5 years ago
.names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0]
1 1
5 years ago
.names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1]
1 1
5 years ago
.names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2]
1 1
5 years ago
.names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3]
1 1
5 years ago
.names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4]
1 1
5 years ago
.names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5]
1 1
5 years ago
.names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6]
1 1
5 years ago
.names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7]
1 1
5 years ago
.names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0]
1 1
5 years ago
.names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1]
1 1
5 years ago
.names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2]
1 1
5 years ago
.names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3]
1 1
5 years ago
.names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4]
1 1
5 years ago
.names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5]
1 1
5 years ago
.names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6]
1 1
5 years ago
.names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7]
1 1
5 years ago
.names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0]
1 1
5 years ago
.names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1]
1 1
5 years ago
.names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2]
1 1
5 years ago
.names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3]
1 1
5 years ago
.names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4]
1 1
5 years ago
.names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5]
1 1
5 years ago
.names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6]
1 1
5 years ago
.names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7]
1 1
.names kbd_report[3][0] KEYBOARD.kbd_r4[0]
1 1
.names kbd_report[3][1] KEYBOARD.kbd_r4[1]
1 1
.names kbd_report[3][2] KEYBOARD.kbd_r4[2]
1 1
.names kbd_report[3][3] KEYBOARD.kbd_r4[3]
1 1
.names kbd_report[3][4] KEYBOARD.kbd_r4[4]
1 1
.names kbd_report[3][5] KEYBOARD.kbd_r4[5]
1 1
.names kbd_report[4][0] KEYBOARD.kbd_r5[0]
1 1
.names kbd_report[4][1] KEYBOARD.kbd_r5[1]
1 1
.names kbd_report[4][2] KEYBOARD.kbd_r5[2]
1 1
.names kbd_report[4][3] KEYBOARD.kbd_r5[3]
1 1
.names kbd_report[4][4] KEYBOARD.kbd_r5[4]
1 1
.names kbd_report[4][5] KEYBOARD.kbd_r5[5]
1 1
.names kbd_report[4][6] KEYBOARD.kbd_r5[6]
1 1
.names kbd_report[4][7] KEYBOARD.kbd_r5[7]
1 1
.names kbd_report[5][0] KEYBOARD.kbd_r6[0]
1 1
.names kbd_report[5][1] KEYBOARD.kbd_r6[1]
1 1
.names kbd_report[5][2] KEYBOARD.kbd_r6[2]
1 1
.names kbd_report[5][3] KEYBOARD.kbd_r6[3]
1 1
.names kbd_report[5][4] KEYBOARD.kbd_r6[4]
1 1
.names kbd_report[5][5] KEYBOARD.kbd_r6[5]
1 1
.names kbd_report[5][6] KEYBOARD.kbd_r6[6]
1 1
.names kbd_report[5][7] KEYBOARD.kbd_r6[7]
1 1
.names kbd_report[6][0] KEYBOARD.kbd_r7[0]
1 1
.names kbd_report[6][1] KEYBOARD.kbd_r7[1]
1 1
.names kbd_report[6][2] KEYBOARD.kbd_r7[2]
1 1
.names kbd_report[6][3] KEYBOARD.kbd_r7[3]
1 1
.names kbd_report[6][4] KEYBOARD.kbd_r7[4]
1 1
.names kbd_report[6][5] KEYBOARD.kbd_r7[5]
1 1
.names kbd_report[6][6] KEYBOARD.kbd_r7[6]
1 1
.names kbd_report[6][7] KEYBOARD.kbd_r7[7]
1 1
5 years ago
.names $undef KEYBOARD.ram_adr[8]
1 1
5 years ago
.names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7]
1 1
.names kbd_report[3][0] KEYBOARD.report[3][0]
5 years ago
1 1
.names kbd_report[3][1] KEYBOARD.report[3][1]
5 years ago
1 1
.names kbd_report[3][2] KEYBOARD.report[3][2]
5 years ago
1 1
.names kbd_report[3][3] KEYBOARD.report[3][3]
5 years ago
1 1
.names kbd_report[3][4] KEYBOARD.report[3][4]
5 years ago
1 1
.names kbd_report[3][5] KEYBOARD.report[3][5]
5 years ago
1 1
.names kbd_report[4][0] KEYBOARD.report[4][0]
5 years ago
1 1
.names kbd_report[4][1] KEYBOARD.report[4][1]
5 years ago
1 1
.names kbd_report[4][2] KEYBOARD.report[4][2]
5 years ago
1 1
.names kbd_report[4][3] KEYBOARD.report[4][3]
5 years ago
1 1
.names kbd_report[4][4] KEYBOARD.report[4][4]
5 years ago
1 1
.names kbd_report[4][5] KEYBOARD.report[4][5]
5 years ago
1 1
.names kbd_report[5][0] KEYBOARD.report[5][0]
5 years ago
1 1
.names kbd_report[5][1] KEYBOARD.report[5][1]
5 years ago
1 1
.names kbd_report[5][2] KEYBOARD.report[5][2]
5 years ago
1 1
.names kbd_report[5][3] KEYBOARD.report[5][3]
5 years ago
1 1
.names kbd_report[5][4] KEYBOARD.report[5][4]
5 years ago
1 1
.names kbd_report[5][5] KEYBOARD.report[5][5]
5 years ago
1 1
.names kbd_report[6][0] KEYBOARD.report[6][0]
5 years ago
1 1
.names kbd_report[6][1] KEYBOARD.report[6][1]
5 years ago
1 1
.names kbd_report[6][2] KEYBOARD.report[6][2]
5 years ago
1 1
.names kbd_report[6][3] KEYBOARD.report[6][3]
5 years ago
1 1
.names kbd_report[6][4] KEYBOARD.report[6][4]
5 years ago
1 1
.names kbd_report[6][5] KEYBOARD.report[6][5]
5 years ago
1 1
.names I2C_TRANS LED5
5 years ago
1 1
.names CLK UART.CLK
1 1
.names RESET UART.RESET
1 1
.names UART.tx_activity UART.TX_ACTIVITY
1 1
.names UART_TX_DATA[0] UART.TX_BYTE[0]
1 1
.names UART_TX_DATA[1] UART.TX_BYTE[1]
1 1
.names UART_TX_DATA[2] UART.TX_BYTE[2]
1 1
.names UART_TX_DATA[3] UART.TX_BYTE[3]
1 1
.names UART_TX_DATA[4] UART.TX_BYTE[4]
1 1
.names UART_TX_DATA[5] UART.TX_BYTE[5]
1 1
.names UART_TX_DATA[6] UART.TX_BYTE[6]
1 1
.names UART_TX_DATA[7] UART.TX_BYTE[7]
1 1
.names UART.tx_line UART.TX_LINE
1 1
.names UART_WR UART.TX_SIGNAL
1 1
.names UART.tx_activity UART_ACTIVE
1 1
.names UART.tx_line UART_TX_LINE
1 1
5 years ago
.names KEYBOARD.report[0][0] kbd_report[0][0]
1 1
.names KEYBOARD.report[0][1] kbd_report[0][1]
1 1
.names KEYBOARD.report[0][2] kbd_report[0][2]
1 1
.names KEYBOARD.report[0][3] kbd_report[0][3]
1 1
.names KEYBOARD.report[0][4] kbd_report[0][4]
1 1
.names KEYBOARD.report[0][5] kbd_report[0][5]
1 1
5 years ago
.names KEYBOARD.report[0][6] kbd_report[0][6]
1 1
5 years ago
.names KEYBOARD.report[0][7] kbd_report[0][7]
1 1
5 years ago
.names KEYBOARD.report[1][0] kbd_report[1][0]
1 1
5 years ago
.names KEYBOARD.report[1][1] kbd_report[1][1]
1 1
5 years ago
.names KEYBOARD.report[1][2] kbd_report[1][2]
1 1
5 years ago
.names KEYBOARD.report[1][3] kbd_report[1][3]
1 1
5 years ago
.names KEYBOARD.report[1][4] kbd_report[1][4]
1 1
5 years ago
.names KEYBOARD.report[1][5] kbd_report[1][5]
1 1
5 years ago
.names KEYBOARD.report[1][6] kbd_report[1][6]
1 1
5 years ago
.names KEYBOARD.report[1][7] kbd_report[1][7]
1 1
5 years ago
.names KEYBOARD.report[2][0] kbd_report[2][0]
1 1
5 years ago
.names KEYBOARD.report[2][1] kbd_report[2][1]
1 1
5 years ago
.names KEYBOARD.report[2][2] kbd_report[2][2]
1 1
5 years ago
.names KEYBOARD.report[2][3] kbd_report[2][3]
1 1
5 years ago
.names KEYBOARD.report[2][4] kbd_report[2][4]
1 1
5 years ago
.names KEYBOARD.report[2][5] kbd_report[2][5]
1 1
5 years ago
.names KEYBOARD.report[2][6] kbd_report[2][6]
1 1
5 years ago
.names KEYBOARD.report[2][7] kbd_report[2][7]
1 1
5 years ago
.names $undef temp_output_report[3]
1 1
5 years ago
.names $undef temp_output_report[4]
1 1
5 years ago
.names $undef temp_output_report[5]
1 1
5 years ago
.names $undef temp_output_report[6]
1 1
5 years ago
.names $undef temp_output_report[7]
1 1
.end