diff --git a/Wasd_test/Firmware/hardware.asc b/Wasd_test/Firmware/hardware.asc new file mode 100644 index 0000000..71f3d72 --- /dev/null +++ b/Wasd_test/Firmware/hardware.asc @@ -0,0 +1,6466 @@ +.comment arachne-pnr 0.1+ (git sha1 40e220b, g++ 4.8.4-2ubuntu1~14.04.3 -O2) +.device 1k +.io_tile 1 0 +000011111000000010 +000011111000000000 +000000000000000000 +001000000000000001 +000000000000000001 +000000000001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +001000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 2 0 +000000000000000010 +000000000000000000 +000000000000000000 +000000000000000001 +000000000001000001 +000000000001000001 +000010000000000000 +000011010001000000 +000010000000000010 +000011010000000000 +000000000010000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000001011000000010 +000000000000000000 +000010000000000000 +000011110000000001 +000000000000000001 +000000000001000001 +000000000000000000 +000000000000000000 +000000000000000000 +010000000000000001 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 5 0 +100000000000000010 +000000000000000000 +000010110000000001 +000011011000000001 +000000000000000001 +000000000011000000 +000000000000000000 +000000000000000000 +010000000000000000 +000000000000000000 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 6 0 +000000000000000010 +000100000000000000 +000000000000100000 +000000000000000001 +000000000011001101 +000000000001001101 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000010110000000000 +000000000000000000 +000000110000000000 +.io_tile 7 0 +000000000000000000 +000000000000000000 +000000111000000000 +000010111000000000 +000000000000001100 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 8 0 +000000000000000010 +000000000000000000 +000000110000000001 +000000000000000001 +000000000000000001 +000010110011000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +000000000010000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 9 0 +000001110000000010 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000001000001 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000100000000 +000000000100000000000000000101000000000010000000000100 +010000000000000000000000000000000000000000000000000000 +100000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 5 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 2 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001101100000000000000000000010 +000000000000000000000000001111100000000011000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000100000000 +000000000000000000000010110001000000000010000000000000 +.logic_tile 8 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000000101000110000001011010000011110000000000 +000000000000000000000010000000000000111100000000000000 +000000000000000000000000000101101101000100000000000001 +000000000000001001000000001001111011000000000000000001 +000000000000001000000000000101001101000010000000000000 +000000000000000001000010111001001010000000000000000000 +000000000000000001100110010001100000000011000000000000 +000000000000001101000010001111000000000000000000000000 +000000000000000011100000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000111011100000011110000000000 +000000000000000000000010010000110000111100000000000000 +000000000000001000000110110111001010000011110000000000 +000000000000000101000010100000010000111100000000000000 +000000000000000000000000000001100000001111000000000000 +000000000000000000000000000000000000110000110000000000 +.logic_tile 5 3 +100000000000000101100000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +111000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +010000000000000000000110100000000000000000000100000000 +100000000000000000000000001101000000000010000000000000 +000000000000000101100110100101100000000001000100000001 +000000000100000000000000000001000000000011001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000000000100 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 6 3 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +110000000000000000000000000000000001011010010000000000 +100000000000000000000000000000001001100101100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000001001000000000010000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 3 +000000000000000000000000001001111011000010000000000000 +000000000000000000000000000101101001000000000000000000 +111000000000000000000110010011000000000010000000000000 +000000000000000000000010001101101101000000000000000000 +110000000000001000000000011011100000000010000000000000 +100000000000000001000010100011000000000000000000000001 +000000000000001001100010111101100000000000100000000000 +000000000000000001000010101101101010000000000000000100 +000000000000000000000110111000000000000000000100000000 +000000000000000000000010100111000000000010000000000000 +000000000000001101100000000000000000000000000100000000 +000000001110000101000000001111000000000010000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000010100011000000000010000000000000 +010000000000000101100000000000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 +.logic_tile 8 3 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000000000110110000000001000000001000000000 +000000000000000000000010100000001101000000000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000011000000000000001010110011000000000000 +000100000000001101100000000000001001001100111000000000 +000000000000000101000000000000001001110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000000101000110000000001001001100111000000000 +000000000000000000000100000000001010110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001111110011000010000000 +000000000000000000000010100000001001001100111000000100 +000000000000000000000000000000001100110011000000000000 +.logic_tile 9 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 4 +100000000000000000000111100000000000000000000000000000 +000000000000001011000100000000000000000000000000000000 +111010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000001000110000000 +000000000000000000000000001001000000000011001000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.ramt_tile 3 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 4 +000000000000000000000000010101100000000000001000000000 +000000000000000000000010010000100000000000000000001000 +000000000000000111000000000001000000000000001000000000 +000000000000000000100000000000101101000000000000000000 +000000000000101000000110010101001000111100001000000000 +001000000000011001000011010000001111111100000000000000 +000000000000000000000000010011001000111100001000000000 +001000000000000000000010010000001101111100000000000000 +000000000000001000000111010101001000111100001000000000 +000000001010000101000111100000101111111100000000000000 +000000000000000000000000000011001000111100001000000001 +000000000000000000000000000000101101111100000000000000 +000000000000000000000111000001101000000011110000100000 +001000000000000000000100000000000000111100000000100000 +000000000000000000010000000011001010000011110000000000 +000000000000000000000000000000010000111100000000000010 +.logic_tile 5 4 +100000000000000000000000000001100000000001000100000000 +000000000000000000000000000111100000000011001000000000 +111000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 +010010100000000000000000000000000000000000000000000000 +100001000000000000000000000000000000000000000000000000 +.logic_tile 6 4 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 7 4 +010000000000000101000110010011111000010000000000000000 +000000000000000001000010001111001000000000000000000000 +000000000000000001100111010001000000000000000000000010 +000000000000100001000110001001100000000001000000000000 +000000000000000101000000000001100000000000010000000000 +000000000000000000000000000001001010000000000000000000 +010000000000000000000000000011011000000001110000000000 +000000000000000000000000001111101101000011110000000000 +000000000000001000000010000000000000000000000000000000 +000000000000000001000111100000000000000000000000000000 +000000000000000101100000000111000000000010000000000000 +000000000000000000000000000111000000000000000000000000 +000000000000001101100110110111100001000000010000000000 +001000000000000101000010101011001111000000000000000000 +000000000000001000000110101011011000100000000000000000 +001000000000000101000000001001011000000000000000100000 +.logic_tile 8 4 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001110110011000000010000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001101110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000101000010100000001000001100111001000000 +000000000000000000100110110000001111110011000001000100 +000000000000000001000110100000001001001100111000000000 +000000000000000011100000000000001011110011000000000000 +000000000000000000000000000000001001001100111000000111 +000000000000000000000000000000001011110011000001000000 +000000000000000101100000010000001000001100111000000100 +000000000000000000000011000000001100110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001100110011000000000100 +.logic_tile 9 4 +010000000000000101100110001001101000000010000000000000 +000000000000000000000000001101011010000000000000000000 +111000000000000001100000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +110000000000001001100000000000000000000000000000000000 +100000000000000101000000000000000000000000000000000000 +000000000000000000000110000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110001000000000000000000100000000 +000000000000000000000100001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000011000000000000000000100000000 +001000000000000000000010011111000000000010000000000000 +.ramt_tile 10 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 2 5 +100000000000000111100000000000000000000000000000000000 +000000000000000111100011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000010000101000101 +000000000000000000000000001001001000000011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.ramb_tile 3 5 +000001000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +001000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 5 5 +000000000000001000000000001111000000000001100000000000 +000000000000000001000000001001001100000010100000000000 +111010000000000000000000010000000000000000000000000000 +000001000000000000000010000000000000000000000000000000 +110000000000100000000000000000000000000000000000000000 +100000000001010000000000000000000000000000000000000000 +000000000011010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000100000000 +001000000000001101000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 6 5 +000000000000001111000000001101000000000001000001000000 +001000000000001111000000001011100000000000000001100000 +111000000000000000000000010000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +110000000000000000000000010000000000000000000000000000 +100000000000000000000011100000000000000000000000000000 +110000000000000000000000011001011000001100000000000100 +101000000000001011000010000101001000001000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000001111001100000110000000000001 +000000000000000000000010001101001110000101000000000000 +001000000000000011000000001011000000000001010100000010 +001000000000000011100000001001001100000010010000000000 +000000000000000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000000000000000 +.logic_tile 7 5 +010010000000001000000011011111100000000001100000000000 +001001000000000011000010011101001000000010100000000000 +111000000000000001100000001101001101000000000000100000 +000000000000000001000000000011101011100000000000000000 +110000000000001000000110001011001001000110000000000010 +100000000000000001000000000101111100000101000000000000 +010000000000001001100110011001000000000001000000000000 +000000000000001001000010011111100000000000000000000000 +000000000000001000000011001101100000000000000010000001 +001000000000000101000100000001000000000001000000000101 +000000000000001011000000001111000000000001010100000010 +000000000000001101000000000001101001000010010000000000 +000000000000000000000011000000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +000000000000001000000000000000000000000000000100000000 +001000000000001101000010000101000000000010000000000000 +.logic_tile 8 5 +010000000000001101000110010000001001001100110000000000 +000000000000000001100010000000001100110011000000010000 +111000000000000000000000000001000000000000010000000000 +000000000000001101000000001001101010000000000001000000 +110000000000001000000000001001011011000010000000000000 +100000000000001001000010100111011011000000000000000000 +000100000000000101000110011001100001000010000000000000 +000000000000000101000010001011001110000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000001000000110100000000000000000000100000000 +000000000000000001000100001101000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +001000000000000000000000000101000000000010000000000000 +010000000000001001100000001000000000000000000100000000 +001000000000000001000000000101000000000010000000000000 +.logic_tile 9 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 6 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000001000000000011000000000000000000000101000000 +100000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +.ramt_tile 3 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000011100000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +111000000000000000000011010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +110000000000000000000000001001011100000110000000000010 +100000000000000000000000001001101111000101000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000001110000000000000000001100001000011000010000000 +000000000000000000000000000001101010000010000000000100 +000000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000110101001000001000001010100000000 +000000000000100000000100001001101110000010010000000100 +000000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 5 6 +000000000000001011100000010000000000000000000000000000 +000000000000001001000011110000000000000000000000000000 +111000000000001000000110000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000001001000000001011111010000110000000000000 +000000000000000001000011010101001000000101000001000000 +000000000000000000000000001011100000000001010100000010 +000000000000000000000000000001101000000010010000000000 +000000000000000000000110100000000000000000000000000000 +000000000000001001000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001000001000001100110000000 +000000000000000000000000001001101011000010100000000000 +.logic_tile 6 6 +000000000000001000000110000001000000000001000000000000 +000000000000001001000010000011100000000000000000000001 +111000000000001111100000001101000000000001000000000000 +000000000000001001100000001101100000000000000000000000 +110000000000000000000110000001000000000001000000000001 +100000001000000000000000000001100000000000000000000000 +000000000001110000000110010001011001000100000000000000 +000001000000100000000010001011101000000000000000000000 +000000000000001000000110100000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000100000110000000000110100000000000000000000000000000 +000000000000100000000100000000000000000000000000000000 +000000000000000000000010001011100000000001100000000000 +000000000000000000000100001101101111000010100000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +.logic_tile 7 6 +000000000000001000000000000000000000000000000000000000 +000000000000001011000010110000000000000000000000000000 +111000000000000001000000000011100000000000000000000000 +000000000000000000000000001111100000000001000000000000 +010000000000001001100000000000000000000000000000000000 +010000000000001001000000000000000000000000000000000000 +000010000000000001000000001111100000000000000010000001 +000000000000000101000000000001000000000001000000000101 +010000000000010000000000001101011110000100000000000100 +000000000001000000000000000101001000000000000000000000 +000000000000000000000000001111000000000010000000000000 +000000000000000000000011000101101111000011000000000001 +000000000000000000000010000000000000000000000100100000 +000000000000000000000011000101000000000010000000000000 +000000000001000001000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +.logic_tile 8 6 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000011000000000000000000100100000 +000000000000000111000011001101000000000010000000000000 +110000000000000000000000001000000000000000000100000100 +100000000000000000000000000001000000000010000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +.logic_tile 9 6 +000000000000000000000000000001100000000000001000000000 +000000000110000000000000000000000000000000000000001000 +000000000000000101000000000000000000000000001000000000 +000000000000000000100000000000001011000000000000000000 +000000000000001000000000000000001001001100110000000001 +000000000000001111000011010000001011110011000000000000 +000000000000000000000110000000000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000101000000000000000000100000 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 6 +000000000001010101000000010101100000000000001000000000 +000000000000100000100011000000000000000000000000001000 +000000000000000001100000000001100001000000001000000000 +000000000000000000000010110000101111000000000000000000 +000000000000000111000000010001001001111100001000000000 +000000000000000000000010000000001101111100000000000000 +000000000000000000000000000101001000000011110000000000 +000000000000001101000000000000000000111100000000100000 +000000000000000000000000000001001010000011110000000000 +000000000000000000000000000000010000111100000001000000 +000000000000000000000000011001101000000100000000000000 +000000000000000000000011110101111000000000000000000000 +000000000000000000000000000001100000000000000000000000 +000000000000000000000000000101000000000001000010000000 +000000000000000000000000011001100000000000000000100000 +000000000000000000000011110101100000000011000000000010 +.logic_tile 12 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 7 +000000000000000011100011001001000000000001000000000000 +000000000000000001000000000001100000000000000010000000 +111000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110100000000000000000000000000000000000000000000000000 +100100000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000001010100000000000000000000000000000000000000000 +000000000000000000000000001001000000000001100100000000 +000000000000000000000000000001001000000010100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +100000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000001000000010000000000000000000000000000 +000000000000000000100011100000000000000000000000000000 +110000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001011000000111110100000000 +000000000000000000000000001001001011000011110000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 7 +000000000000000000000010000111111010100011010001000000 +000000000000001001000000000111111100010011010000000000 +111000000000001111100110010000000000000000000000000000 +000000000000000011000011100000000000000000000000000000 +110000000000000000000010001001011101000110000000000001 +100000000000000000000100001111111000000101000000000000 +000000000000001000000111110000000000000000000000000000 +000000000000000011000111100000000000000000000000000000 +000000000000000011000000001001101111010000000000100000 +000000000000001111100000001101001001000000000001000000 +000000000000000000000010010101111110000011010000000000 +000000000000000000000110000111101100000011110000000000 +000000000000000111000000001101100000000001100100000000 +000000000000001111000000001011001000000010100000000000 +000000000000000111100110011101000001000001010100000010 +000000000000000000000010001001101101000010010000000000 +.logic_tile 6 7 +000000000000001101000011001001100000000001010000000000 +000000000000010111000011001101001010000010010000000010 +111000000000000001100110010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +010000000000001111100110000000000000000000000000000000 +000000000000000111000111000000000000000000000000000000 +000000000000000101100010100101011110000011010000000000 +000000000000000000000100000111101001000011110000000000 +000000000000000000000010101001100000000001010000000000 +000000000000000001000100001001101010000010010000000000 +000000000000010101000000000101101000111111000100000000 +000000000000100000100000000001011010111110000000000000 +000000000001010000000110000011101010111111000100000000 +000000000000001111000000000011011000111110000000000000 +000000000000000101000000000111001000111111000100000000 +000000000000000000000000001011111010111110000000000000 +.logic_tile 7 7 +000000000000001011100011110111001011100000000000000000 +000000000000000011000010001101011001110000000000100000 +111001000000001101000010000101000000000000000000000000 +000000000000000101000010011001000000000001000000000000 +000000000000000101000010010111011001010000000000000000 +000000000000001101000110000111011110110000000000000000 +000001100000001111000111100011001001100000000000000010 +000011000000000111000100001011011000110000000000000000 +000000000000001101100010001101011010001010000000100000 +000000000000000101000010010001001101000110000000000000 +000000000000000111100111001001000000000010000000000001 +000000000000000000000000000011001111000011000000000010 +110000000000001001100000011001001101100100000000000000 +110000000000000101000011000101001011101000000001000000 +110000000001010011000000001101000000000010000110000000 +000000000000000000000000001011001110000011000000000000 +.logic_tile 8 7 +000000000000000011100011101001100000000011000000000000 +000000000000000000000100001101001101000001000001100000 +000000000000000001000111000000000001001111000000000000 +000010000000000001000000000000001100110000110000000100 +000001000000000000000111000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +010011100000000000000110100101001101000100000001000001 +000000000000000000000000000001101001000000000000000001 +010010100001001000000111100000000000000000000000000000 +000001000000000001000111110000000000000000000000000000 +000000000001000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000011011000101001000000000000 +000000000000000000000000001011101001101011000000000001 +.logic_tile 9 7 +000000000000000111100000000001100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000001100111100000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000111000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000000000000111100000000001000000001000000000 +000000000000000000000000000000001101000000000000000000 +000000000000000000000111100000001000111100000010000000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000110000000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000001101000000000011000001000010 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001011000000000000000000000000 +.ramb_tile 10 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +110000000000000000000010100000000000000000000100100000 +000000000000000000000000001101000000000010001000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010001000000010 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000001000000000000010000100000000 +000000000000000000000000001101000000000000000000000000 +010000000000100000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 12 7 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000100000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000001111000000000000 +000000000000000000000000000000000000110000110001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010011010000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000000001000000000000000000000000000000000000000 +000000001000001111000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100000000000000000000000 +000000000000100000000000000001000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 8 +000000000000001000000000000111000000000001000001000000 +000000000000010011000011111001000000000000000000000000 +111000000000000011010110011101101110000110000010000000 +000000000000000000100010001101011100000101000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000011000110010000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +000001000000000111000000001111000000000001000000000000 +000010100000000000000000001101000000000000000010000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111001001100000000001010100000000 +000000000000000111000100001001001111000010010010000000 +000000000000000000000000001001000001000001100100000000 +000000000000000000000000001001001100000010100000000000 +.ramt_tile 3 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000001111000000001011011011000110000000000000 +000000000000010001000000000101001111000101000000100000 +000000000000000000000000001111000001000001010100000000 +000000000000000011000000000001001010000010010001000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +.logic_tile 5 8 +000000000000001000000011101001111000000100000000000000 +000000000000000001000000000001011010000000000000000000 +111000100000001001000111011101111010000011010000000000 +000000000000001111100010000101011100000011110000000000 +110000000000000011100000000000000000000000000000000000 +100000000000000000100010110000000000000000000000000000 +000010100001011001000011000001000000000001000000000000 +000000000000001111100000001101000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000001001100000000000000000000000000000000000 +000000000000000011100000001101111110000011010000000000 +000000000000000000100000000101001001000011110000000000 +000000000000000000000000001011100000000001100100000000 +000000000000000000000000001001001100000010100000000100 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 6 8 +000000000000000111000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000011011100000000001000000000000 +000000000000000000000011101011000000000000000000000000 +010000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000011100000000001000000000000 +000000000000000000100000000001000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000011111000011000101011001111111000100000000 +000000000000001001010100000011011100111110000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101111001111111000100000000 +000000000000000000000000001001011100111110000000000000 +.logic_tile 7 8 +000000000000001101100110101001000000000010100000000000 +000000000000011111000111111101101000000001100000000000 +111000000000000000000000011001111101010000000000000000 +000000000000000000000011011111111100000000000000100000 +010000000000001001100110000000000000000000000000000000 +000000000000001001000100000000000000000000000000000000 +000000000000000101100110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000001011100000000010100000000000 +000010100000000000000000000101101000000001100000100000 +000000000000000001000110101101000000000001000000000000 +000000000000000000100010010111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000010001001010111111000100000000 +000000000110001011000011010001111010111110000000000000 +.logic_tile 8 8 +000000000000000001100000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000000000000000001111111011000101100000000000 +000000000000000000000000001111001010000001000000000000 +010000000000000111000111100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000001011100111000000000000000000000000000000 +000000000000000011000110010000000000000000000000000000 +000000000000000011100000001101101101111011110000000000 +000000000000000000000010011101001110011111110000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000101100000000001110101000000 +000000000000000000000000001011101001000000110000000000 +000000000000001111000000011101011010110010110100000000 +000010000000000001000011010111001000110001010000000000 +.logic_tile 9 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 8 +000000000000000001100110010001100001000000001000000000 +000000000000000000000010000000001011000000000000000000 +111000000000000001000110000000001000001100111100000000 +000000000000000001000000000000001000110011000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001001110011000000000000 +010000000000000000000110000000001000001100110100000000 +010000000000000000000000000000001001110011000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100001001100110100000000 +000000000000000000000000000000001001110011000000000000 +.logic_tile 12 8 +000000000000000101100110110000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000001011010100000000000000000 +000000000000000000000000001001111000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 8 +000000011000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000001010000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000000000000100111100000000000000000000000000000000000 +000000000001000000100000000000000000000000000000000000 +111010100000000000000110000000000000000000000000000000 +000001000000000011000011100000000000000000000000000000 +110100000000000000000010011111000000000001100000000000 +100100000000000000000010000101001011000010100010000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000001001000001000001010100000010 +000000000000000000000000000011001001000010010010000000 +000000000000001000000000000000000000000000000100000000 +000000000000000001000000001101000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001101010000000000000000000000000000000000 +000000000000000000000000001001100001000001010100000010 +000000000000000000000000001001001011000010010010000000 +.ramb_tile 3 9 +000010000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000000001100000010000000000000000000000000000 +000000000000001011000011000000000000000000000000000000 +000000000000001111100000011001001000000110000000000000 +000000000000000111000011011111011111000101000000000000 +000100000000001001000000000000000000000000000000000000 +000100000000001011000000000000000000000000000000000000 +000000000000001000000000001001100000000001000000000010 +000000000000000011000000000001100000000000000000000000 +000000000000001000000000000011101010000110000000000000 +000000000000000111000000000011001010000101000000000000 +000000000000001011100011000001111101000010000000100000 +000000000000000001100000000001001101000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001000000000011110000000000000000000000000000 +000000000001000000000000011000000000000010000010000000 +000000000000100000000011100101000000000000000000000000 +.logic_tile 5 9 +000000000000000000000000011001100000000001000000000100 +000000001100000000000010000001100000000000000000000010 +111000000000001001100010101001100000000001000000000000 +000000000000001011000110110101100000000000000000000010 +110000000000001000000000001111000001000001100000000000 +100000000000000011000000000011001101000010100000000000 +000000001100001000000000001111101100101100010001000000 +000000000000001011000000001011001010101100100000000000 +001010000000000000000000010000000000000000000100000000 +000000000000000111000011101001000000000010000000000000 +000000000000001000000110001011000001000001100110000000 +000000000000000001000011110001101111000010100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000101000111101111100001000001100100000000 +000000000000000000100011111011101111000010100000000000 +.logic_tile 6 9 +000000000000000000000010000001000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000011000011100101100000000000001000000000 +000000000000000000100000000000000000000000000000000000 +110000000000000000000010000000001000111100000000000001 +100000001100000000000100000000000000111100000000000000 +000000000000000011000110001101101011001011010001000000 +000000000000000000100011001011111000001011100000000000 +000000000000000000000111110000000000000000000000000000 +000000000000000101000111100000000000000000000000000000 +000010100000000111100111101111101111000011010000000000 +000000000000000000100000000011101011000011110000000000 +000000000000000101100000000001100000000001000000100000 +000000000000000000000000000011100000000000000000000000 +000000000000000000000010011011000000000001100100000000 +000000000000000000010111111111101101000010100001000000 +.logic_tile 7 9 +000000000001010000000010010000000000000000000000000000 +000000000000100000000111000000000000000000000000000000 +000001001110000000000110000101000000000001000000000000 +000000100000000000000000001111000000000000000000000000 +000000000000000101000010000101101100001011010000000000 +000000000000000000000110010011111011001011100000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000010000000001000000111010000000000000000000000000000 +000001000000000011000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000010000000000000000000000000000000000000000 +000001000000000000000000010001011000000011010000000100 +000010000000000000000011001001111101000011110000000000 +000000000001010000000000000101000000000001000000000000 +000100000000100000000000001101000000000000000000000000 +.logic_tile 8 9 +001000000000000000000000011011000000000001010000000000 +000000000000001011000011000101001111000010010000000000 +111000000000001011000011010111001101010011010000000001 +000000000000001111100110000101111000010010100000000000 +110000000000001000000110010101101110110000000000100000 +100000000000000001000011001001101011110001010000000000 +000000000000001001100000011111101110001001000000000000 +000000000000001111000010000111011011001010000000000000 +000000000000000011000000000001100001000001100100100000 +000000000000000000100011100001101000000010100000000000 +000000000000000001100000001011100001000001100100000000 +000100000000000011000000000011001010000010100000000000 +000000000000001000000111001111100001000001100100000000 +000000000000001011000000001001101000000010100000000000 +000000001110001011000000010000000000000000000000000000 +000001000000100001100011100000000000000000000000000000 +.logic_tile 9 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 9 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000010000000000000 +000010110000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +111000000000000001100000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +110000000000000000000000001001000000000001000000000100 +100001000000000000000000000001100000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111011011100001000001100100000000 +000000000000000000000111010001101101000010100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.logic_tile 5 10 +000001000000000000000000000011100000000000001000000000 +000000000010000000000011100000100000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001111110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001110110011000000000000 +000000000000000000000010100000001000001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000010101000010100000001001001100110000000100 +000000000000100101000000000000001111110011000000000000 +000000100000000000000010101011111110010000000000000100 +000001000000000000000000001111001111000000000000000000 +000000000000000101000010100111111101000000000000000000 +000000000000000101010010101011001101100000000000000001 +.logic_tile 6 10 +000000000001000000000010110011011010000000000000000000 +000000000000101001000111001101101100100000000000000010 +111000000000000000000110001101001001000110000000000000 +000000000000001101000000001001011111000101000000000100 +110000000000000001000010110000000000000000000000000000 +100000000000000000000111000000000000000000000000000000 +000010000000000101000000000001011000100000000000000100 +000000000000001101100000000111001001000000000000000000 +000000000000001000000010000101100000000000100000100011 +000000000000000101010100001101001000000000000000000000 +000000000000000000000000000101111000000100000000000000 +000000000000000000000000001111001001000000000001100000 +000000000000101000000000010000000000000000000000000000 +000000000000000101000011000000000000000000000000000000 +000000000000000000000000001101000000000001010100000000 +000000000110000000000010011001001011000010010000100000 +.logic_tile 7 10 +000000000000000000000000001001100000000000000001000000 +000000000000000000000000001011000000000001000001000100 +111000100000000101000110000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000001000010000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000100000000011100101000000000001000000000010 +000000000000001111000000000011000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000001010000000000001011100000000001100100000000 +000000000000000000000000001001001101000010101000000010 +.logic_tile 8 10 +000000000000000001100000010001001101000110000010000000 +000000000110000000000010001001011100000101000000000000 +111000000000000111100000001001100001000000000000000000 +000000000000001011100000000011001000000000010000000000 +110000000000000000000000000001000000000001010100000000 +100000000000000000000000001001001111000010010000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000010000000000000 +000100010000000000 +000000000000100010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000110110000000000000000000000000000 +000000000000000001000110000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000001000000000001100100000000 +100000000000000000000000001001101000000010100000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +.logic_tile 4 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000001000000000000001100001000011010010000000 +000000000000000101000000000011001000000011000000000000 +000000000000000000000000000011100000000001000000000000 +000000000000000111000000000111000000000000000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 11 +000010001110001111000010100001011001000100000000000000 +000000000000000001100010101001111000000000000000000000 +000000000000000001000110001101000001000001010000000000 +000000000000000101000010000111101000000010010000000000 +000000000000000000000010100101000001000001010000000000 +000000000000000000000010101111101001000010010000000000 +000000000000000101000000000001100001000001010001000000 +000000000000000000000000000001001000000010010000000000 +010000000000000000000111100011111001000000110000000000 +000000000010000000000000000001001111101000110000000000 +010000000000000001100111010000000000011010010000000000 +000000000000000000000010100000001101100101100000000000 +000000000000000000000110100011111001000000110000000000 +000000000000000000000000000001101101101000110000000000 +000000000000001101100110100111111011110000000000000000 +000000000000000101000000001011001100000000000000000000 +.logic_tile 6 11 +000000000000000000000110111001100001000001100000000000 +000000000000000000000010100001101010000000110000000000 +111010100000000001000110010001001100000100000000000001 +000001000000000001100010101001111010000000000000000000 +110000000000001101100000010101111001000100000000000000 +100000000000000001000010100101001001000000000000000000 +010000000000001001100000000000000000000000000100000000 +000000000000000101000000001101000000000010000010000001 +000000000000000000000000010000000000000000000100000001 +000000000000000000000010000101000000000010000000000000 +000010000000000000000000000000000000000000000100000000 +000001000000000000000000000101000000000010000000000101 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000011 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000001100000 +.logic_tile 7 11 +000000000000001001100000000111000001000001100100100000 +000000000000000001000000000001001101000010100000000000 +111000000000000000000000000101000001000001100100100000 +000000000000000000000000000001001111000010100000000000 +110000000000000001000000000000000000000000000000000000 +100000000000000000100011010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000110000000 +000000000000000000000011001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000010000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +.logic_tile 8 11 +000110100000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000000000000000000001101111100000110000000000100 +100000000000000000000000000001011100000101000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110001101000000000000000000000000000000000000 +000000000000000000000000001101100001000001010101000000 +000000000000000011000000000001001111000010010000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000111000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 12 +000000000000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000000110010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000110010 +000000000000010000 +000010000000000000 +000010010000000001 +000000011000000010 +000000001000000000 +.logic_tile 1 12 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000000001000000000000000000000000000000000000000 +110000000000000001000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000001 +001000000000000000000000001101000000000010001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +110000000000000000000000000000000000000000000100000001 +000000000000000000000000000101000000000010000000000000 +.logic_tile 2 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.ramt_tile 3 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +010000000000000111100000001001000000000001000000100001 +000000000000000000100000000001000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 5 12 +010000000000000000000110010001100001000000000000000001 +000000000000000000000111101101101000000000010000000000 +000010000000001000000110001101111000100000000010000000 +000000000000001001000000000011001001000000000000000000 +000000000000000001100110011001000001000000000000100001 +000000000000000000000111100001101011000000010000000000 +000000000000010001100110001101111000000010000001000000 +000000001110000111100000000011001001000000000000100000 +000000000000000000000000001001000001000000010000000000 +000000000000000000000000001101101000000000000000000100 +000000100000000111100000000101000000000001000000000010 +000001000000000000100000001101000000000000000000000100 +000000000000000000000000000101100000000001000000000000 +001000000000000000000000001001000000000000000000000000 +000000000000000000000000001101100000000000000000000000 +001000000000000000000000000011000000000001000000000000 +.logic_tile 6 12 +010000000001010000000011110011101000101001010000000000 +000000000000101001000011010101111000011001010000000100 +000000000000000001100110000111011101000000000000000000 +000000000010001111000000001101001000100000000000000000 +000000001010001001100011100101000000000010000000000000 +000000000000001011000010011001001100000000000000000000 +000000000000000001100010101101101010101001010000000000 +000000001100000000000110011001111101011001010000000100 +000000000000001000000010000000000000000000000000000000 +000000000000001111000100000000000000000000000000000000 +000000000000000000000010001001100000000000000000000000 +000000000000010001000100001101000000000001000000000010 +000000000000000101100000001101000001000000000000000000 +001000000000000000000000000011101010000000010000000010 +000000000000000000000000001111011100100000000000000000 +001000000000000000000000000001001011000000000000000000 +.logic_tile 7 12 +000000000000001001100111100000000000000000000000000000 +000000000000000011000100000000000000000000000000000000 +111000000010000101000000011001000000000010000000000000 +000000001000000000000010100001000000000000000001000100 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000001100100000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000001001110000000000000011001000000000001000000000100 +001010100000000000000011111001000000000000000000000000 +000000000000000000000000010011000000000001000000000000 +000000000000000000000010000101100000000000000000000010 +000000000000000000000000001001000001000001100100000010 +001000000000000000000000001011101110000010101000000000 +110000000000000101000000000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 +.logic_tile 8 12 +000000000000000000000111000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +111000000000000011000000011101000000000000100000000000 +000000000000000000100010000011101011000000000000000100 +110000000000000000010111110000000000000000000000000000 +100000000000000000000111110000000000000000000000000000 +000000000000000101100000011101101000000110000000000000 +000000000000000000000010001101011101000101000000000000 +000000000000000000000011001001100000000000000000000000 +000000000000000000000000000111001011000000010000000000 +000000000000000001100000001101000001000000100000000100 +000000000000000000100000000011101000000000000000000000 +000000000000000000000000001001000000000001010100000010 +001000000000000000000000001001101001000010010000000000 +000000000000000001100000000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 +.logic_tile 9 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 12 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 13 +000000000000000010 +000111110000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +001100000000000000 +000000000000000000 +000000000000000000 +000101010000000000 +000000000000100010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000011000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000100000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000000000111010000000000000000000000000000 +000000000000100000000111110000000000000000000000000000 +111010100000000001100110010000000000000000000000000000 +000001000000000111000010000000000000000000000000000000 +110000000010000000000110101001101000000110000010000000 +100001000000000000000100001101011011000101000000000000 +000000000000000001100110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100000000001000000000000 +000000000000000000000000001011000000000000000000000000 +000000000000000000000000001111000000000001100100100000 +001000000000000011000000001001001001000010100000000000 +000000000000010000000000001101100000000001010100000000 +001000000000000000000000001001001001000010010010000000 +.logic_tile 5 13 +000010000000001001100011001001001001000001000000000000 +000000000000000011000100000011011000000011000000000000 +111000000000001111000010110011011111101000110000000000 +000000000000000001000010000011111101000000110000000000 +110000000000000000000000011001100000000001000000000000 +100000000000001001000011110101000000000000000010000100 +000000000000000001100011000101101100100011010000100000 +000000000000000000000110101101101101010011010000000000 +000000000000000111000011101101101110000110000000000000 +000000000000000111000010001001101000000101000000000000 +000000000000000000000110000011100001000000100000000000 +000000000000001011000011110101101001000000000000000000 +000000000000000000000000001101100001000001010100000010 +001000000000000001000000001001101111000010010000000000 +000000000000000000000010000000000000000000000100000010 +001000001110000000010000000001000000000010000000000000 +.logic_tile 6 13 +010000000000000001100010100111011111000010000000000000 +000000000000001001000010100001001000000000000000000000 +111001000000000101100111100001001101100000000000000000 +000010100000001001000010000101101001000000000000000000 +110000000000001001100000011011001011010000000000000000 +100000000000000101100011001011111100000000000000000000 +000000000000000000000000001101000000000001000001000000 +000000000000000000000010110001000000000000000000000000 +000000000000101111000111110101001101000000000000100000 +000000000001000001000110101111101101100000000000000001 +010000000000001000000010011011011000011100000010000010 +000000000000001011000011001101111000111100000001000000 +000000001110000000000111101101011100000100000000000000 +001000000000001101000100000011111111000000000000100000 +000000000000001000010000000000000000000000000110000000 +001000000000001111000000001001000000000010000000000000 +.logic_tile 7 13 +000000000000001001100011000001001101101000110000000000 +000000000000000001000100000101101001000000110000000000 +111000100000000111100011111101001111101000110000000000 +000000000000000000100010000001001101000000110000000000 +110000000000001101000110000101000000000000010000000000 +100000000000010001000000000011001011000000110000000000 +000000000000001001100111101011101100101000010000000000 +000000000000000001000100000101011000111100110000000000 +000000000000000111100000011001101101101000110000000000 +001000000100001111100011101101101010000000110000000000 +000000000000000000000110001000000000000000000100000000 +000010000000000011000000000101000000000010000000100000 +000000100000000111100000000000000000000000000100100000 +001000000000000000100000000001000000000010000000000000 +000000000000000000000000000000000000000000000110000000 +001000000100000000000000000001000000000010000000000000 +.logic_tile 8 13 +000000000000001101000111001101011000000110000000000000 +000000000100001111000111010111111010000101000000000000 +111000000000001001100111000101101010000010000001000000 +000100000000000001000000001001011010000000000000000000 +110000000000001111100010100011100000000001100100000000 +100000000000000001100011011011101000000010100010000000 +000000000000000001000111000000000000000000000000000000 +000000001000000000100000000000000000000000000000000000 +000000000000000000000000011001000001000001010100000000 +001000001010000000000010000001001011000010010010000000 +000000000110000000000111101001000001000001010101000000 +000000000010000000000100000001101001000010010000000000 +000000000000000000010000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 9 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000001110000000010 +000111111000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000001100111001001101001000110000001000000 +000000000000000000000100001001011000000101000000000000 +111000000100000011000000010111000001000001100101000000 +000010000000000111000010000001001100000010100000000000 +110000000000000000000111000000000000000000000000000000 +100000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000100111000000001001100000000001010100000000 +000000000001000000100000001001001011000010010010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 14 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000001000000000000000000101101010000010000010000000 +000000000000000001000000000001001010000000000000000000 +111000001100001000000000000011101111000110000000000000 +000000000000000001000000000011011101000101000000000000 +110000000000000001100000000000000000000000000000000000 +100000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000001000000001111100000000001010100000010 +000000000000000000000000000001001111000010010000000010 +000000000000001000000110100000000000000000000000000000 +000000000000000101000100000000000000000000000000000000 +000001000000000000000111100000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +.logic_tile 5 14 +000000000000001000000011011101001100000110000000000000 +000000000000000011000110011101111101000101000000000000 +111000000000000111000110011101011111100011010000000100 +000000000000000000000010001101001111010011010000000000 +110000000000000011000000010000000000000000000000000000 +100000000000000000100011000000000000000000000000000000 +000000000000001001100110010001111111100011010000100000 +000000000000001111100011101001101111010011010000000000 +000000000000000000010010000111100000000001000000000000 +000000000000000000000011110001000000000000000000000000 +000000000000000000000000011001000000000001000000100000 +000000000000000000000010110101100000000000000000000000 +000000000000000000000000001001100001000001100100000000 +000000000000000000000000001001001010000010100000000000 +000000000000001011100000011011100000000001010110000000 +000000000000000011000011001001101101000010010000000000 +.logic_tile 6 14 +000000000000001001000111000001000000000001000000000000 +000000000000001011000010110011000000000000000000100000 +111000000001001001100000010000000000000000000000000000 +000000000110100001000011010000000000000000000000000000 +110000000001011011100000000101100000000001000000000000 +100000000000101011000000001011100000000000000010000000 +000000000000001001000010000101000000000001000000000000 +000000000000000111000110100001000000000000000000000000 +000000001010001111000111101011001100101000010000000000 +000000000000001101100010001001011000111100110000000000 +000000000000000000000000000101111001000100000000000000 +000000000000000000000000001001001010000000000000000000 +000000000000011000000000000001101111100000000000000000 +000000000000101111000000001001001011000000000000000000 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000000101000000000010000000000010 +.logic_tile 7 14 +000000000000001000000111010000000000000000000000000000 +000000000000000011000011110000000000000000000000000000 +111000000000000000000110011001000000000001000000000000 +000000000000000000000010000101000000000000000000000000 +110000101110000111100000011101111110001011010000000000 +100001000000000000100011111011111110001011100000000010 +000000000000000000000000011011000000000001000000000000 +000000000000001111000010000101100000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000001000000001101001000000110000000000100 +000000000000000000100010111001011001000101000000000000 +000000000000000001000000001011100001000001100100000000 +000000000000000000000000001001001110000010100000000000 +000000000000000001100000001101000000000001010100000000 +000000000000000000100010001001001011000010010010000000 +.logic_tile 8 14 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111100000000000001100110000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000111000111111101011100000110000000000000 +000000000000000000100011010001111011000101000001000000 +000001000000000000000110000101100000000001010000000100 +000010100000000000000000000011101000000010010000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000011101101000000000001100100000010 +000001001110000000000100000001101111000010101000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +110000000000100000000000001101000000000001100100000000 +000000000001010000000000001001001111000010101000000000 +.logic_tile 9 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000001000110000001001100000110000000000000 +000000000000000000100000001101011010000101000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000001000110000000000000000000000000000000 +100000000000000000100000000000000000000000000000000000 +000000000000000000000000000011100000000001010100000000 +000000000000000000000000001001001101000010010000000010 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 15 +000010000000001000000110000000000000000000000000000000 +000001000000000011000100000000000000000000000000000000 +111000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +110000000000000000000000001001011100000110000000000000 +100000000000000000000000001001111000000101000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000100001001000100000000000000000000000000000000 +000000000000000000000000001001000001000001010100000000 +000000000000000000000000001001101100000010010010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000000000000111111001111101000110000000000000 +000000000000000001000111101101011110000101000000000000 +111000000000000011100110010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +110000000000001111100010000101001100000010000000000000 +100000000000000001100100001001001010000000000000000000 +000000000000001011100110000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000101100000000001000000000001100000000010 +000000000000000000000000001101101000000010100000000000 +000000000000000011100111001000000000000000000100000001 +000000000000000000100100000101000000000010000000000000 +000000000000000000000000001011100000000001100100000000 +000000000000000000000000001001001000000010100000000000 +000000000000000011100000001101100001000001010100000000 +000000000000000000100000001001001101000010010000000100 +.logic_tile 7 15 +000000000000000101000110001001011011000110000000000000 +000000000000000000000000001101001100000101000000100000 +111000000000000111100110000101111001000110000000000000 +000000000000001001000000001001001000000101000000000000 +110000000000000101000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000011100110000101100001000001010110000000 +000000000000001001010000001001001010000010010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101000001000001010100000000 +000000000000000000000000001001001010000010010000000001 +.logic_tile 8 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000001001100111001101111100000101000000000000 +000000000000000001000000000101101111000110000001000000 +110000000000000001000000001011011110000110000000000000 +100000000000000000100000000101101010000101000000000010 +000000000000001001100111000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000110001001100001000001100100000000 +000000000000000011000100000001101100000010100010000000 +000000000000001000000111001111000001000001010100000000 +000000000000000011000000000001101110000010010000000001 +000000000000000000000110000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000001000000000001011000001000001100100000010 +000000000000000011000011001111001101000010100000000000 +.logic_tile 9 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +.logic_tile 7 16 +000000000000001000000000000101000001000001100100000000 +000000000000000001000000000001001010000010101000000001 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 16 +000000000000000111000000000000000000000000000000000000 +000000000000000000100011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000001100100000010 +000000000000000000000000001011001001000010101000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +.io_tile 13 16 +000000000000001000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +010000000000000010 +000000000000000000 +000000000000000000 +000001110000000001 +000001010010000001 +000000001001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 2 17 +000010000000000010 +000000110000000000 +100000000000000000 +000000000000000001 +000001010010000001 +000000001001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +010000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 3 17 +000000111000000010 +000000001000000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000001000001 +000000000000000000 +000000000000000000 +100000000000000000 +000000000000000010 +000001110001000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 4 17 +000010000000000010 +000000110000000001 +000001011000100000 +000000001000000001 +000000000000000001 +000000000011000000 +000000000000000000 +000000000000000000 +000000000000000000 +010000000000000000 +000000000000000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 5 17 +000000000000001010 +100000000001100001 +000000000000100000 +000000000000000001 +000000000011000001 +000000000001000000 +000010000000000000 +000011010000000000 +000000000000000000 +000000000000000001 +000000000010000010 +000000000011000000 +000010000000000000 +000011010000000001 +000000000000000001 +000000000000000000 +.io_tile 6 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000000100 +000100011000001000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000000000000000000 +000000000000000000 +000010000000000000 +000010110000000000 +000000000000001100 +000000000000001000 +000000000000011000 +000000000000000000 +000000000000000000 +000100000000000010 +000010000000000010 +000011010011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 8 17 +100000000000011010 +100000000000000000 +000001110000000000 +000000000000000001 +000000000000000001 +000000000011000000 +000000000000000000 +000000000000000000 +000000000000000000 +010000000000000010 +000000000011000010 +000000000011000000 +000000000000000000 +000000000000000001 +000001110000000001 +000000000000000000 +.io_tile 9 17 +000000000000011010 +100000000000000000 +100000000000000000 +000000000000000001 +000000000001000001 +000000000001000000 +000010000000000000 +000000110000000000 +000000000000000000 +100010110000000000 +000000000010000010 +000000000011000000 +000000000000000000 +000000000000011001 +000000000000000001 +000000000000000000 +.io_tile 10 17 +010000000000000010 +000000000000000000 +000001111000000000 +100000000000000001 +000000000000000001 +000000000011000000 +000010000000000000 +000010110000000000 +000000000000000000 +000000000000000000 +000000000010000010 +101000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 11 17 +000010000000000010 +000000110000000000 +010001011000000000 +000000000000000001 +000000000000000001 +000000000011000000 +000000000000000000 +000000000000000000 +000000000000000000 +100000000000000000 +000000000000000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 12 17 +100000111000000010 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000001000000 +000000000000000000 +000000000000000000 +000000000000000000 +010000000000000000 +000000000011000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000011000000001 +000000001000000000 +.sym 1 $abc$4763$n423$2 +.sym 2 $abc$4763$n293$2 +.sym 3 $abc$4763$n13$2 +.sym 4 $abc$4763$n305$2 +.sym 5 $abc$4763$n11$2 +.sym 6 $abc$4763$n307$2 +.sym 7 CLK$2$2 +.sym 8 RESET$2 +.sym 748 $abc$4763$n305 +.sym 830 CLK$2 +.sym 836 CLK$2 +.sym 944 $abc$4763$n305 +.sym 1180 SCROOL_LOCK$2 +.sym 1202 SCROOL_LOCK$2 +.sym 1206 NUM_LOCK$2 +.sym 1209 CAPS_LOCK$2 +.sym 1289 CAPS_LOCK$2 +.sym 1294 NUM_LOCK$2 +.sym 1403 DBG_TX$2 +.sym 1408 SCROOL_LOCK$2 +.sym 1517 CAPS_LOCK$2 +.sym 1522 NUM_LOCK$2 +.sym 1849 KBD_RC_IN[21] +.sym 1851 $false +.sym 1853 KBD_RC_EN[21] +.sym 1854 KBD_RC_IN[22] +.sym 1856 $false +.sym 1858 KBD_RC_EN[22] +.sym 2059 KBD_RC_IN[23] +.sym 2061 KBD_RC_IN[24] +.sym 2374 UART.tx_clk_counter[6] +.sym 2506 UART.tx_activity +.sym 2641 UART.TX_sig_last +.sym 2774 $abc$4763$n487_1 +.sym 2778 com_msg[21] +.sym 2909 $abc$4763$n473 +.sym 2910 $abc$4763$n372_1 +.sym 2913 $abc$4763$n494 +.sym 2915 KBD_RC_EN[21] +.sym 2916 com_msg[22] +.sym 3007 $abc$4763$n449 +.sym 3008 RESET$2 +.sym 3009 $false +.sym 3010 $false +.sym 3046 $0\com_msg[33:0][23] +.sym 3048 KBD_RC_EN[23] +.sym 3049 com_msg[23] +.sym 3051 KBD_RC_EN[24] +.sym 3316 com_msg[19] +.sym 3535 NUM_LOCK$2 +.sym 3536 $false +.sym 3537 $false +.sym 3538 $false +.sym 3559 CAPS_LOCK$2 +.sym 3560 $false +.sym 3561 $false +.sym 3562 $false +.sym 3577 SCROOL_LOCK$2 +.sym 3578 $false +.sym 3579 $false +.sym 3580 $false +.sym 3581 $abc$4763$n299 +.sym 3582 CLK$2$2 +.sym 3583 $abc$4763$n11$2 +.sym 3719 $abc$4763$n374_1 +.sym 3720 com_msg[13] +.sym 3725 KBD_RC_EN[19] +.sym 4132 KBD_RC_IN[18] +.sym 4134 KBD_RC_IN[17] +.sym 4136 KBD_RC_IN[20] +.sym 4138 KBD_RC_IN[19] +.sym 4181 $false +.sym 4183 KBD_RC_EN[20] +.sym 4184 $false +.sym 4186 KBD_RC_EN[19] +.sym 4212 $false +.sym 4214 KBD_RC_EN[23] +.sym 4215 $false +.sym 4217 KBD_RC_EN[24] +.sym 4751 $abc$4763$n451_1 +.sym 4752 $abc$4763$n354 +.sym 4753 $false +.sym 4754 $false +.sym 4767 $abc$4763$n305$2 +.sym 4768 CLK$2$2 +.sym 4769 $abc$4763$n13$2 +.sym 4856 $abc$4763$n454_1 +.sym 4857 $abc$4763$n451_1 +.sym 4858 $abc$4763$n449 +.sym 4859 $false +.sym 4890 $true +.sym 4891 CLK$2$2 +.sym 4892 $abc$4763$n11$2 +.sym 4979 UART_WR +.sym 4980 $false +.sym 4981 $false +.sym 4982 $false +.sym 5013 RESET$2 +.sym 5014 CLK$2$2 +.sym 5015 $false +.sym 5090 com_msg[21] +.sym 5091 $abc$4763$n460_1 +.sym 5092 $false +.sym 5093 $false +.sym 5114 com_msg[21] +.sym 5115 KBD_RC_IN[21] +.sym 5116 $abc$4763$n329_1 +.sym 5117 $false +.sym 5136 RESET$2 +.sym 5137 CLK$2$2 +.sym 5138 $false +.sym 5213 com_msg[19] +.sym 5214 $abc$4763$n460_1 +.sym 5215 $false +.sym 5216 $false +.sym 5219 KBD_RC_EN[21] +.sym 5220 $abc$4763$n373 +.sym 5221 KBD_RC_IN[21] +.sym 5222 $abc$4763$n298 +.sym 5237 com_msg[22] +.sym 5238 $abc$4763$n460_1 +.sym 5239 $false +.sym 5240 $false +.sym 5249 KBD_RC_EN[21] +.sym 5250 $abc$4763$n373 +.sym 5251 $abc$4763$n298 +.sym 5252 $false +.sym 5255 com_msg[22] +.sym 5256 KBD_RC_IN[22] +.sym 5257 $abc$4763$n329_1 +.sym 5258 $false +.sym 5259 RESET$2 +.sym 5260 CLK$2$2 +.sym 5261 $false +.sym 5348 com_msg[23] +.sym 5349 KBD_RC_IN[23] +.sym 5350 $abc$4763$n329_1 +.sym 5351 $false +.sym 5360 KBD_RC_EN[23] +.sym 5361 $abc$4763$n369_1 +.sym 5362 $abc$4763$n298 +.sym 5363 $false +.sym 5366 $0\com_msg[33:0][23] +.sym 5367 $false +.sym 5368 $false +.sym 5369 $false +.sym 5378 KBD_RC_EN[24] +.sym 5379 $abc$4763$n360_1 +.sym 5380 $abc$4763$n298 +.sym 5381 $false +.sym 5382 RESET$2 +.sym 5383 CLK$2$2 +.sym 5384 $false +.sym 5594 com_msg[19] +.sym 5595 KBD_RC_IN[19] +.sym 5596 $abc$4763$n329_1 +.sym 5597 $false +.sym 5628 RESET$2 +.sym 5629 CLK$2$2 +.sym 5630 $false +.sym 5951 KBD_RC_EN[19] +.sym 5952 $abc$4763$n375_1 +.sym 5953 KBD_RC_IN[19] +.sym 5954 $abc$4763$n298 +.sym 5957 com_msg[13] +.sym 5958 KBD_RC_IN[13] +.sym 5959 $abc$4763$n329_1 +.sym 5960 $false +.sym 5987 KBD_RC_EN[19] +.sym 5988 $abc$4763$n375_1 +.sym 5989 $abc$4763$n298 +.sym 5990 $false +.sym 5997 RESET$2 +.sym 5998 CLK$2$2 +.sym 5999 $false +.sym 6246 KBD_RC_IN[16] +.sym 6248 KBD_RC_IN[15] +.sym 6291 $false +.sym 6293 KBD_RC_EN[18] +.sym 6294 $false +.sym 6296 KBD_RC_EN[17] +.sym 6418 KBD_RC_IN[25] +.sym 6420 KBD_RC_IN[26] +.sym 6423 UART.tx_clk_counter[4] +.sym 6560 $abc$4763$n350 +.sym 6561 $abc$4763$n451_1 +.sym 6562 $abc$4763$n453 +.sym 6563 $abc$4763$n673 +.sym 6565 $abc$4763$n351 +.sym 6566 $abc$4763$n347 +.sym 6567 $abc$4763$n344 +.sym 6664 $auto$alumacc.cc:474:replace_alu$522.C[2] +.sym 6665 $auto$alumacc.cc:474:replace_alu$522.C[3] +.sym 6666 $auto$alumacc.cc:474:replace_alu$522.C[4] +.sym 6667 $auto$alumacc.cc:474:replace_alu$522.C[5] +.sym 6668 $abc$4763$n354 +.sym 6669 $abc$4763$n348 +.sym 6868 $abc$4763$n364 +.sym 6870 $abc$4763$n449 +.sym 6872 KBD_RC_EN[26] +.sym 6974 $abc$4763$n6 +.sym 7073 $abc$4763$n405 +.sym 7074 KBD_RC_EN[22] +.sym 7173 $abc$4763$n359_1 +.sym 7175 $abc$4763$n373 +.sym 7176 $abc$4763$n368_1 +.sym 7177 $abc$4763$n358 +.sym 7179 DBG_TX$2 +.sym 7276 $abc$4763$n406_1 +.sym 7279 com_msg[16] +.sym 7380 $abc$4763$n299 +.sym 7381 $abc$4763$n365_1 +.sym 7478 $abc$4763$n369_1 +.sym 7582 $abc$4763$n421_1 +.sym 7585 $abc$4763$n422 +.sym 7586 com_msg[14] +.sym 7587 KBD_RC_EN[16] +.sym 7682 $abc$4763$n371_1 +.sym 7683 $abc$4763$n377_1 +.sym 7686 KBD_RC_EN[14] +.sym 7784 $abc$4763$n380 +.sym 7787 KBD_RC_EN[18] +.sym 7988 KBD_RC_IN[14] +.sym 7990 KBD_RC_IN[13] +.sym 8063 $false +.sym 8065 KBD_RC_EN[16] +.sym 8066 $false +.sym 8068 KBD_RC_EN[15] +.sym 8093 $false +.sym 8095 KBD_RC_EN[25] +.sym 8096 $false +.sym 8098 KBD_RC_EN[26] +.sym 8242 KBD_RC_IN[27] +.sym 8244 KBD_RC_IN[28] +.sym 8362 $abc$4763$n350 +.sym 8363 $false +.sym 8364 $false +.sym 8365 $false +.sym 8402 $abc$4763$n305$2 +.sym 8403 CLK$2$2 +.sym 8404 $abc$4763$n13$2 +.sym 8405 UART.tx_clk_counter[2] +.sym 8407 UART.tx_clk_counter[1] +.sym 8408 UART.tx_clk_counter[5] +.sym 8411 UART.tx_clk_counter[0] +.sym 8479 $false +.sym 8480 UART.tx_clk_counter[4] +.sym 8481 $false +.sym 8482 $auto$alumacc.cc:474:replace_alu$522.C[4] +.sym 8485 $abc$4763$n673 +.sym 8486 $abc$4763$n344 +.sym 8487 $abc$4763$n347 +.sym 8488 $abc$4763$n453 +.sym 8491 $abc$4763$n348 +.sym 8492 $abc$4763$n350 +.sym 8493 $abc$4763$n351 +.sym 8494 $abc$4763$n354 +.sym 8497 UART.tx_clk_counter[1] +.sym 8498 UART.tx_clk_counter[0] +.sym 8499 $false +.sym 8500 $false +.sym 8509 $false +.sym 8510 UART.tx_clk_counter[5] +.sym 8511 $false +.sym 8512 $auto$alumacc.cc:474:replace_alu$522.C[5] +.sym 8515 $false +.sym 8516 UART.tx_clk_counter[2] +.sym 8517 $false +.sym 8518 $auto$alumacc.cc:474:replace_alu$522.C[2] +.sym 8521 $false +.sym 8522 UART.tx_clk_counter[0] +.sym 8523 $false +.sym 8524 $false +.sym 8528 UART.tx_clk_counter[3] +.sym 8564 $true +.sym 8601 UART.tx_clk_counter[0]$2 +.sym 8602 $false +.sym 8603 UART.tx_clk_counter[0] +.sym 8604 $false +.sym 8605 $false +.sym 8607 $auto$alumacc.cc:474:replace_alu$522.C[2]$2 +.sym 8609 UART.tx_clk_counter[1] +.sym 8610 $true$2 +.sym 8613 $auto$alumacc.cc:474:replace_alu$522.C[3]$2 +.sym 8615 UART.tx_clk_counter[2] +.sym 8616 $true$2 +.sym 8617 $auto$alumacc.cc:474:replace_alu$522.C[2]$2 +.sym 8619 $auto$alumacc.cc:474:replace_alu$522.C[4]$2 +.sym 8621 UART.tx_clk_counter[3] +.sym 8622 $true$2 +.sym 8623 $auto$alumacc.cc:474:replace_alu$522.C[3]$2 +.sym 8625 $auto$alumacc.cc:474:replace_alu$522.C[5]$2 +.sym 8627 UART.tx_clk_counter[4] +.sym 8628 $true$2 +.sym 8629 $auto$alumacc.cc:474:replace_alu$522.C[4]$2 +.sym 8631 $auto$alumacc.cc:474:replace_alu$522.C[6] +.sym 8633 UART.tx_clk_counter[5] +.sym 8634 $true$2 +.sym 8635 $auto$alumacc.cc:474:replace_alu$522.C[5]$2 +.sym 8638 $false +.sym 8639 UART.tx_clk_counter[6] +.sym 8640 $false +.sym 8641 $auto$alumacc.cc:474:replace_alu$522.C[6] +.sym 8644 $false +.sym 8645 UART.tx_clk_counter[3] +.sym 8646 $false +.sym 8647 $auto$alumacc.cc:474:replace_alu$522.C[3] +.sym 8651 $0\com_msg[33:0][27] +.sym 8657 com_msg[27] +.sym 8777 $abc$4763$n346 +.sym 8778 KBD_RC_EN[27] +.sym 8781 com_msg[26] +.sym 8860 KBD_RC_EN[26] +.sym 8861 $abc$4763$n365_1 +.sym 8862 KBD_RC_IN[26] +.sym 8863 $abc$4763$n298 +.sym 8872 UART.TX_sig_last +.sym 8873 UART_WR +.sym 8874 UART.tx_activity +.sym 8875 $false +.sym 8884 KBD_RC_EN[26] +.sym 8885 $abc$4763$n365_1 +.sym 8886 $abc$4763$n298 +.sym 8887 $false +.sym 8894 RESET$2 +.sym 8895 CLK$2$2 +.sym 8896 $false +.sym 8897 $abc$4763$n598_1 +.sym 8899 $abc$4763$n400_1 +.sym 8901 $abc$4763$n460_1 +.sym 8902 $abc$4763$n601_1 +.sym 8903 com_msg[28] +.sym 8904 KBD_RC_EN[28] +.sym 9007 $abc$4763$n681 +.sym 9008 $abc$4763$n313 +.sym 9009 $abc$4763$n308 +.sym 9010 UART.tx_activity +.sym 9017 $abc$4763$n307$2 +.sym 9018 CLK$2$2 +.sym 9019 $false +.sym 9020 $abc$4763$n467 +.sym 9021 $abc$4763$n597_1 +.sym 9023 $abc$4763$n477 +.sym 9025 $abc$4763$n603_1 +.sym 9026 com_msg[20] +.sym 9112 KBD_RC_EN[22] +.sym 9113 $abc$4763$n406_1 +.sym 9114 KBD_RC_IN[22] +.sym 9115 $abc$4763$n298 +.sym 9118 KBD_RC_EN[22] +.sym 9119 $abc$4763$n406_1 +.sym 9120 $abc$4763$n298 +.sym 9121 $false +.sym 9140 RESET$2 +.sym 9141 CLK$2$2 +.sym 9142 $false +.sym 9143 $abc$4763$n347_1 +.sym 9144 $abc$4763$n401 +.sym 9145 $0\com_msg[33:0][12] +.sym 9146 $abc$4763$n622_1 +.sym 9147 com_msg[12] +.sym 9148 com_msg[15] +.sym 9150 com_msg[24] +.sym 9223 KBD_RC_EN[24] +.sym 9224 $abc$4763$n360_1 +.sym 9225 KBD_RC_IN[24] +.sym 9226 $abc$4763$n298 +.sym 9235 $abc$4763$n343 +.sym 9236 $abc$4763$n337 +.sym 9237 $false +.sym 9238 $false +.sym 9241 KBD_RC_EN[23] +.sym 9242 $abc$4763$n369_1 +.sym 9243 KBD_RC_IN[23] +.sym 9244 $abc$4763$n298 +.sym 9247 $abc$4763$n359_1 +.sym 9248 $abc$4763$n362_1 +.sym 9249 $abc$4763$n364 +.sym 9250 $abc$4763$n368_1 +.sym 9259 $abc$4763$n6 +.sym 9260 $false +.sym 9261 $false +.sym 9262 $false +.sym 9268 $abc$4763$n116 +.sym 9269 $abc$4763$n117 +.sym 9270 $abc$4763$n119 +.sym 9271 $abc$4763$n669 +.sym 9272 $abc$4763$n396_1 +.sym 9273 $abc$4763$n348_1 +.sym 9352 $abc$4763$n386 +.sym 9353 $abc$4763$n337 +.sym 9354 $false +.sym 9355 $false +.sym 9370 com_msg[16] +.sym 9371 KBD_RC_IN[16] +.sym 9372 $abc$4763$n329_1 +.sym 9373 $false +.sym 9386 RESET$2 +.sym 9387 CLK$2$2 +.sym 9388 $false +.sym 9389 $abc$4763$n436_1 +.sym 9390 $0\rc_counter[5:0][3] +.sym 9391 $0\rc_counter[5:0][2] +.sym 9392 $0\rc_counter[5:0][4] +.sym 9393 $0\rc_counter[5:0][0] +.sym 9394 $abc$4763$n668 +.sym 9395 $0\rc_counter[5:0][5] +.sym 9396 $abc$4763$n437 +.sym 9487 $abc$4763$n436_1 +.sym 9488 $abc$4763$n423$2 +.sym 9489 RESET$2 +.sym 9490 $false +.sym 9493 $abc$4763$n366_1 +.sym 9494 $abc$4763$n337 +.sym 9495 $false +.sym 9496 $false +.sym 9512 $abc$4763$n366_1 +.sym 9513 $abc$4763$n413 +.sym 9514 $abc$4763$n386 +.sym 9515 $abc$4763$n306 +.sym 9516 $abc$4763$n379 +.sym 9517 $abc$4763$n418_1 +.sym 9518 $abc$4763$n395 +.sym 9519 $abc$4763$n367 +.sym 9586 $abc$4763$n337 +.sym 9587 $abc$4763$n370 +.sym 9588 $false +.sym 9589 $false +.sym 9635 $abc$4763$n390 +.sym 9636 $0\KBD_RC_EN[33:0][11] +.sym 9637 $abc$4763$n381 +.sym 9638 $abc$4763$n602 +.sym 9639 $abc$4763$n394 +.sym 9640 $abc$4763$n382 +.sym 9641 KBD_RC_EN[12] +.sym 9642 KBD_RC_EN[11] +.sym 9721 KBD_RC_EN[16] +.sym 9722 $abc$4763$n422 +.sym 9723 KBD_RC_IN[16] +.sym 9724 $abc$4763$n298 +.sym 9739 $abc$4763$n306 +.sym 9740 $abc$4763$n337 +.sym 9741 $false +.sym 9742 $false +.sym 9745 com_msg[14] +.sym 9746 KBD_RC_IN[14] +.sym 9747 $abc$4763$n329_1 +.sym 9748 $false +.sym 9751 KBD_RC_EN[16] +.sym 9752 $abc$4763$n422 +.sym 9753 $abc$4763$n298 +.sym 9754 $false +.sym 9755 RESET$2 +.sym 9756 CLK$2$2 +.sym 9757 $false +.sym 9758 $abc$4763$n417 +.sym 9759 $abc$4763$n600_1 +.sym 9761 $abc$4763$n596 +.sym 9762 $abc$4763$n378_1 +.sym 9763 $abc$4763$n375_1 +.sym 9764 com_msg[11] +.sym 9765 KBD_RC_EN[15] +.sym 9832 $abc$4763$n372_1 +.sym 9833 $abc$4763$n374_1 +.sym 9834 $abc$4763$n377_1 +.sym 9835 $abc$4763$n380 +.sym 9838 KBD_RC_EN[14] +.sym 9839 $abc$4763$n378_1 +.sym 9840 KBD_RC_IN[14] +.sym 9841 $abc$4763$n298 +.sym 9856 KBD_RC_EN[14] +.sym 9857 $abc$4763$n378_1 +.sym 9858 $abc$4763$n298 +.sym 9859 $false +.sym 9878 RESET$2 +.sym 9879 CLK$2$2 +.sym 9880 $false +.sym 9883 $abc$4763$n338_1 +.sym 9887 KBD_RC_EN[20] +.sym 9955 KBD_RC_EN[18] +.sym 9956 $abc$4763$n381 +.sym 9957 KBD_RC_IN[18] +.sym 9958 $abc$4763$n298 +.sym 9973 KBD_RC_EN[18] +.sym 9974 $abc$4763$n381 +.sym 9975 $abc$4763$n298 +.sym 9976 $false +.sym 10001 RESET$2 +.sym 10002 CLK$2$2 +.sym 10003 $false +.sym 10127 KBD_RC_IN[12] +.sym 10129 KBD_RC_IN[11] +.sym 10172 $false +.sym 10174 KBD_RC_EN[14] +.sym 10175 $false +.sym 10177 KBD_RC_EN[13] +.sym 10201 $false +.sym 10203 KBD_RC_EN[27] +.sym 10204 $false +.sym 10206 KBD_RC_EN[28] +.sym 10350 KBD_RC_IN[29] +.sym 10515 $abc$4763$n14 +.sym 10519 tact_counter[0] +.sym 10587 $abc$4763$n347 +.sym 10588 $false +.sym 10589 $false +.sym 10590 $false +.sym 10599 $abc$4763$n673 +.sym 10600 $false +.sym 10601 $false +.sym 10602 $false +.sym 10605 $abc$4763$n451_1 +.sym 10606 $abc$4763$n351 +.sym 10607 $false +.sym 10608 $false +.sym 10623 $abc$4763$n344 +.sym 10624 $false +.sym 10625 $false +.sym 10626 $false +.sym 10633 $abc$4763$n305$2 +.sym 10634 CLK$2$2 +.sym 10635 $abc$4763$n13$2 +.sym 10710 $abc$4763$n451_1 +.sym 10711 $abc$4763$n348 +.sym 10712 $false +.sym 10713 $false +.sym 10756 $abc$4763$n305$2 +.sym 10757 CLK$2$2 +.sym 10758 $abc$4763$n13$2 +.sym 10759 $abc$4763$n298 +.sym 10762 $abc$4763$n307 +.sym 10764 $abc$4763$n415_1 +.sym 10765 KBD_RC_EN[29] +.sym 10833 com_msg[27] +.sym 10834 KBD_RC_IN[27] +.sym 10835 $abc$4763$n329_1 +.sym 10836 $false +.sym 10869 $0\com_msg[33:0][27] +.sym 10870 $false +.sym 10871 $false +.sym 10872 $false +.sym 10879 RESET$2 +.sym 10880 CLK$2$2 +.sym 10881 $false +.sym 10882 $abc$4763$n470 +.sym 10883 $abc$4763$n484_1 +.sym 10884 $abc$4763$n491 +.sym 10885 $abc$4763$n463_1 +.sym 10888 $0\com_msg[33:0][29] +.sym 10889 com_msg[29] +.sym 10974 KBD_RC_EN[27] +.sym 10975 $abc$4763$n347_1 +.sym 10976 KBD_RC_IN[27] +.sym 10977 $abc$4763$n298 +.sym 10980 KBD_RC_EN[27] +.sym 10981 $abc$4763$n347_1 +.sym 10982 $abc$4763$n298 +.sym 10983 $false +.sym 10998 com_msg[26] +.sym 10999 KBD_RC_IN[26] +.sym 11000 $abc$4763$n329_1 +.sym 11001 $false +.sym 11002 RESET$2 +.sym 11003 CLK$2$2 +.sym 11004 $false +.sym 11005 $abc$4763$n314 +.sym 11008 $abc$4763$n599 +.sym 11009 $abc$4763$n312 +.sym 11010 UART_TX_DATA[5] +.sym 11011 UART_TX_DATA[6] +.sym 11012 UART_TX_DATA[4] +.sym 11079 com_msg[20] +.sym 11080 com_msg[28] +.sym 11081 $0\tact_counter[16:0][12] +.sym 11082 $abc$4763$n30 +.sym 11091 KBD_RC_EN[28] +.sym 11092 $abc$4763$n401 +.sym 11093 KBD_RC_IN[28] +.sym 11094 $abc$4763$n298 +.sym 11103 $abc$4763$n446 +.sym 11104 $0\tact_counter[16:0][13] +.sym 11105 $abc$4763$n30 +.sym 11106 $0\tact_counter[16:0][12] +.sym 11109 $0\tact_counter[16:0][12] +.sym 11110 $abc$4763$n446 +.sym 11111 $abc$4763$n600_1 +.sym 11112 $abc$4763$n487_1 +.sym 11115 com_msg[28] +.sym 11116 KBD_RC_IN[28] +.sym 11117 $abc$4763$n329_1 +.sym 11118 $false +.sym 11121 KBD_RC_EN[28] +.sym 11122 $abc$4763$n401 +.sym 11123 $abc$4763$n298 +.sym 11124 $false +.sym 11125 RESET$2 +.sym 11126 CLK$2$2 +.sym 11127 $false +.sym 11129 $abc$4763$n458 +.sym 11131 $abc$4763$n498 +.sym 11133 UART_TX_DATA[3] +.sym 11135 UART_TX_DATA[7] +.sym 11202 $0\tact_counter[16:0][12] +.sym 11203 $abc$4763$n446 +.sym 11204 $abc$4763$n30 +.sym 11205 $0\tact_counter[16:0][13] +.sym 11208 $0\tact_counter[16:0][12] +.sym 11209 $abc$4763$n446 +.sym 11210 $abc$4763$n596 +.sym 11211 $abc$4763$n473 +.sym 11220 $0\com_msg[33:0][12] +.sym 11221 $abc$4763$n467 +.sym 11222 $false +.sym 11223 $false +.sym 11232 $0\tact_counter[16:0][12] +.sym 11233 $abc$4763$n446 +.sym 11234 $abc$4763$n602 +.sym 11235 $abc$4763$n494 +.sym 11238 com_msg[20] +.sym 11239 KBD_RC_IN[20] +.sym 11240 $abc$4763$n329_1 +.sym 11241 $false +.sym 11248 RESET$2 +.sym 11249 CLK$2$2 +.sym 11250 $false +.sym 11253 $abc$4763$n708 +.sym 11254 $abc$4763$n604_1 +.sym 11256 $abc$4763$n605 +.sym 11257 $abc$4763$n360_1 +.sym 11258 com_msg[31] +.sym 11325 $abc$4763$n337 +.sym 11326 $abc$4763$n348_1 +.sym 11327 $false +.sym 11328 $false +.sym 11331 $abc$4763$n337 +.sym 11332 $abc$4763$n396_1 +.sym 11333 $false +.sym 11334 $false +.sym 11337 com_msg[12] +.sym 11338 KBD_RC_IN[12] +.sym 11339 $abc$4763$n329_1 +.sym 11340 $false +.sym 11343 com_msg[24] +.sym 11344 com_msg[16] +.sym 11345 $0\tact_counter[16:0][12] +.sym 11346 $abc$4763$n30 +.sym 11349 $0\com_msg[33:0][12] +.sym 11350 $false +.sym 11351 $false +.sym 11352 $false +.sym 11355 com_msg[15] +.sym 11356 KBD_RC_IN[15] +.sym 11357 $abc$4763$n329_1 +.sym 11358 $false +.sym 11367 com_msg[24] +.sym 11368 KBD_RC_IN[24] +.sym 11369 $abc$4763$n329_1 +.sym 11370 $false +.sym 11371 RESET$2 +.sym 11372 CLK$2$2 +.sym 11373 $false +.sym 11374 $abc$4763$n399 +.sym 11375 $abc$4763$n398_1 +.sym 11377 $abc$4763$n416 +.sym 11378 $abc$4763$n335 +.sym 11379 $abc$4763$n361 +.sym 11381 KBD_RC_EN[25] +.sym 11410 $true +.sym 11447 rc_counter[0]$2 +.sym 11448 $false +.sym 11449 rc_counter[0] +.sym 11450 $false +.sym 11451 $false +.sym 11453 $auto$alumacc.cc:474:replace_alu$519.C[2] +.sym 11455 $false +.sym 11456 rc_counter[1] +.sym 11459 $auto$alumacc.cc:474:replace_alu$519.C[3] +.sym 11460 $false +.sym 11461 $false +.sym 11462 rc_counter[2] +.sym 11463 $auto$alumacc.cc:474:replace_alu$519.C[2] +.sym 11465 $auto$alumacc.cc:474:replace_alu$519.C[4] +.sym 11466 $false +.sym 11467 $false +.sym 11468 rc_counter[3] +.sym 11469 $auto$alumacc.cc:474:replace_alu$519.C[3] +.sym 11471 $auto$alumacc.cc:474:replace_alu$519.C[5] +.sym 11472 $false +.sym 11473 $false +.sym 11474 rc_counter[4] +.sym 11475 $auto$alumacc.cc:474:replace_alu$519.C[4] +.sym 11478 $false +.sym 11479 $false +.sym 11480 rc_counter[5] +.sym 11481 $auto$alumacc.cc:474:replace_alu$519.C[5] +.sym 11484 rc_counter[0] +.sym 11485 rc_counter[1] +.sym 11486 rc_counter[2] +.sym 11487 rc_counter[3] +.sym 11490 rc_counter[2] +.sym 11491 rc_counter[1] +.sym 11492 rc_counter[0] +.sym 11493 rc_counter[3] +.sym 11497 $0\rc_counter[5:0][1] +.sym 11498 $abc$4763$n432 +.sym 11499 $abc$4763$n433_1 +.sym 11500 rc_counter[2] +.sym 11501 rc_counter[3] +.sym 11502 rc_counter[1] +.sym 11503 rc_counter[5] +.sym 11504 rc_counter[0] +.sym 11571 $abc$4763$n116 +.sym 11572 $abc$4763$n117 +.sym 11573 $abc$4763$n119 +.sym 11574 $abc$4763$n437 +.sym 11577 rc_counter[3] +.sym 11578 $abc$4763$n117 +.sym 11579 $abc$4763$n423$2 +.sym 11580 $false +.sym 11583 rc_counter[2] +.sym 11584 $abc$4763$n116 +.sym 11585 $abc$4763$n423$2 +.sym 11586 $false +.sym 11589 rc_counter[4] +.sym 11590 $abc$4763$n119 +.sym 11591 $abc$4763$n423$2 +.sym 11592 $false +.sym 11595 $abc$4763$n436_1 +.sym 11596 $abc$4763$n668 +.sym 11597 rc_counter[0] +.sym 11598 $abc$4763$n423$2 +.sym 11601 $false +.sym 11602 $false +.sym 11603 rc_counter[0] +.sym 11604 $false +.sym 11607 $abc$4763$n436_1 +.sym 11608 $abc$4763$n669 +.sym 11609 rc_counter[5] +.sym 11610 $abc$4763$n423$2 +.sym 11613 rc_counter[0] +.sym 11614 rc_counter[1] +.sym 11615 $abc$4763$n668 +.sym 11616 $abc$4763$n669 +.sym 11620 $0\KBD_RC_EN[33:0][9] +.sym 11621 $abc$4763$n355 +.sym 11622 $abc$4763$n397 +.sym 11623 $0\KBD_RC_EN[33:0][13] +.sym 11625 $abc$4763$n336 +.sym 11626 $abc$4763$n343 +.sym 11627 $abc$4763$n357_1 +.sym 11694 rc_counter[2] +.sym 11695 $abc$4763$n367 +.sym 11696 rc_counter[3] +.sym 11697 $false +.sym 11700 rc_counter[0] +.sym 11701 rc_counter[1] +.sym 11702 rc_counter[2] +.sym 11703 rc_counter[3] +.sym 11706 rc_counter[3] +.sym 11707 rc_counter[2] +.sym 11708 $abc$4763$n367 +.sym 11709 $false +.sym 11712 rc_counter[0] +.sym 11713 rc_counter[1] +.sym 11714 rc_counter[2] +.sym 11715 rc_counter[3] +.sym 11718 $abc$4763$n367 +.sym 11719 rc_counter[2] +.sym 11720 rc_counter[3] +.sym 11721 $false +.sym 11724 $abc$4763$n344_1 +.sym 11725 $abc$4763$n413 +.sym 11726 $false +.sym 11727 $false +.sym 11730 $abc$4763$n344_1 +.sym 11731 $abc$4763$n396_1 +.sym 11732 $false +.sym 11733 $false +.sym 11736 rc_counter[0] +.sym 11737 rc_counter[1] +.sym 11738 $false +.sym 11739 $false +.sym 11743 $abc$4763$n414 +.sym 11744 $abc$4763$n389 +.sym 11745 $abc$4763$n376 +.sym 11746 $abc$4763$n410_1 +.sym 11747 $abc$4763$n370 +.sym 11748 $abc$4763$n329_1 +.sym 11749 $abc$4763$n340 +.sym 11750 KBD_RC_EN[13] +.sym 11817 KBD_RC_IN[11] +.sym 11818 $0\KBD_RC_EN[33:0][11] +.sym 11819 $abc$4763$n394 +.sym 11820 $abc$4763$n391 +.sym 11823 $abc$4763$n344_1 +.sym 11824 $abc$4763$n348_1 +.sym 11825 KBD_RC_EN[11] +.sym 11826 $abc$4763$n298 +.sym 11829 $abc$4763$n382 +.sym 11830 $abc$4763$n337 +.sym 11831 $false +.sym 11832 $false +.sym 11835 com_msg[6] +.sym 11836 com_msg[14] +.sym 11837 $0\tact_counter[16:0][13] +.sym 11838 $abc$4763$n30 +.sym 11841 KBD_RC_EN[12] +.sym 11842 $abc$4763$n395 +.sym 11843 KBD_RC_IN[12] +.sym 11844 $abc$4763$n298 +.sym 11847 rc_counter[2] +.sym 11848 rc_counter[3] +.sym 11849 $abc$4763$n367 +.sym 11850 $false +.sym 11853 KBD_RC_EN[12] +.sym 11854 $abc$4763$n395 +.sym 11855 $abc$4763$n298 +.sym 11856 $false +.sym 11859 $0\KBD_RC_EN[33:0][11] +.sym 11860 $false +.sym 11861 $false +.sym 11862 $false +.sym 11863 RESET$2 +.sym 11864 CLK$2$2 +.sym 11865 $false +.sym 11866 $abc$4763$n334 +.sym 11868 $abc$4763$n363_1 +.sym 11869 $abc$4763$n339_1 +.sym 11870 $abc$4763$n353 +.sym 11871 $abc$4763$n345 +.sym 11872 $abc$4763$n330 +.sym 11873 KBD_RC_EN[9] +.sym 11940 KBD_RC_EN[15] +.sym 11941 $abc$4763$n418_1 +.sym 11942 KBD_RC_IN[15] +.sym 11943 $abc$4763$n298 +.sym 11946 com_msg[5] +.sym 11947 com_msg[13] +.sym 11948 $0\tact_counter[16:0][13] +.sym 11949 $abc$4763$n30 +.sym 11958 com_msg[3] +.sym 11959 com_msg[11] +.sym 11960 $0\tact_counter[16:0][13] +.sym 11961 $abc$4763$n30 +.sym 11964 $abc$4763$n379 +.sym 11965 $abc$4763$n344_1 +.sym 11966 $false +.sym 11967 $false +.sym 11970 $abc$4763$n337 +.sym 11971 $abc$4763$n376 +.sym 11972 $false +.sym 11973 $false +.sym 11976 com_msg[11] +.sym 11977 KBD_RC_IN[11] +.sym 11978 $abc$4763$n329_1 +.sym 11979 $false +.sym 11982 KBD_RC_EN[15] +.sym 11983 $abc$4763$n418_1 +.sym 11984 $abc$4763$n298 +.sym 11985 $false +.sym 11986 RESET$2 +.sym 11987 CLK$2$2 +.sym 11988 $false +.sym 11989 $abc$4763$n333 +.sym 11991 $abc$4763$n331_1 +.sym 11993 $0\com_msg[33:0][18] +.sym 11994 com_msg[18] +.sym 11995 com_msg[17] +.sym 11996 KBD_RC_EN[17] +.sym 12075 KBD_RC_EN[20] +.sym 12076 $abc$4763$n339_1 +.sym 12077 KBD_RC_IN[20] +.sym 12078 $abc$4763$n298 +.sym 12099 KBD_RC_EN[20] +.sym 12100 $abc$4763$n339_1 +.sym 12101 $abc$4763$n298 +.sym 12102 $false +.sym 12109 RESET$2 +.sym 12110 CLK$2$2 +.sym 12111 $false +.sym 12119 $abc$4763$n11 +.sym 12280 $false +.sym 12282 KBD_RC_EN[12] +.sym 12283 $false +.sym 12285 KBD_RC_EN[11] +.sym 12309 $abc$4763$n307 +.sym 12310 $false +.sym 12312 KBD_RC_EN[29] +.sym 12461 KBD_RC_IN[30] +.sym 12464 $abc$4763$n15 +.sym 12470 tact_counter[1] +.sym 12622 $abc$4763$n300 +.sym 12623 $abc$4763$n322 +.sym 12624 $abc$4763$n320 +.sym 12625 $abc$4763$n299_1 +.sym 12626 tact_counter[5] +.sym 12627 tact_counter[2] +.sym 12628 tact_counter[4] +.sym 12629 tact_counter[3] +.sym 12708 $false +.sym 12709 $false +.sym 12710 tact_counter[0] +.sym 12711 $false +.sym 12732 $abc$4763$n14 +.sym 12733 $false +.sym 12734 $false +.sym 12735 $false +.sym 12742 RESET$2 +.sym 12743 CLK$2$2 +.sym 12744 $abc$4763$n423$2 +.sym 12745 $abc$4763$n426 +.sym 12746 $abc$4763$n430_1 +.sym 12747 $abc$4763$n319_1 +.sym 12748 $abc$4763$n431 +.sym 12750 $abc$4763$n321 +.sym 12751 $abc$4763$n427_1 +.sym 12752 $abc$4763$n423 +.sym 12868 $0\com_msg[33:0][30] +.sym 12869 $abc$4763$n424_1 +.sym 12870 $abc$4763$n419 +.sym 12871 $abc$4763$n425 +.sym 12872 $0\tact_counter[16:0][12] +.sym 12873 KBD_RC_EN[30] +.sym 12875 com_msg[30] +.sym 12942 $abc$4763$n299_1 +.sym 12943 $abc$4763$n301 +.sym 12944 $false +.sym 12945 $false +.sym 12960 UART.tx_activity +.sym 12961 $abc$4763$n451_1 +.sym 12962 $abc$4763$n454_1 +.sym 12963 $abc$4763$n305$2 +.sym 12972 KBD_RC_EN[29] +.sym 12973 $abc$4763$n416 +.sym 12974 KBD_RC_IN[29] +.sym 12975 $abc$4763$n298 +.sym 12978 KBD_RC_EN[29] +.sym 12979 $abc$4763$n416 +.sym 12980 $abc$4763$n298 +.sym 12981 $false +.sym 12988 RESET$2 +.sym 12989 CLK$2$2 +.sym 12990 $false +.sym 12992 $0\UART_WR[0:0] +.sym 12994 $abc$4763$n446 +.sym 12995 $abc$4763$n439_1 +.sym 12996 $abc$4763$n444 +.sym 12997 UART_WR +.sym 13065 $0\com_msg[33:0][27] +.sym 13066 $abc$4763$n463_1 +.sym 13067 $false +.sym 13068 $false +.sym 13071 $0\com_msg[33:0][29] +.sym 13072 $abc$4763$n463_1 +.sym 13073 $false +.sym 13074 $false +.sym 13077 $0\com_msg[33:0][30] +.sym 13078 $abc$4763$n463_1 +.sym 13079 $false +.sym 13080 $false +.sym 13083 $abc$4763$n446 +.sym 13084 $abc$4763$n30 +.sym 13085 $0\tact_counter[16:0][13] +.sym 13086 $0\tact_counter[16:0][12] +.sym 13101 com_msg[29] +.sym 13102 KBD_RC_IN[29] +.sym 13103 $abc$4763$n329_1 +.sym 13104 $false +.sym 13107 $0\com_msg[33:0][29] +.sym 13108 $false +.sym 13109 $false +.sym 13110 $false +.sym 13111 RESET$2 +.sym 13112 CLK$2$2 +.sym 13113 $false +.sym 13114 $abc$4763$n278 +.sym 13115 $abc$4763$n428 +.sym 13116 $abc$4763$n269 +.sym 13117 $abc$4763$n293 +.sym 13118 $abc$4763$n308 +.sym 13119 $abc$4763$n445_1 +.sym 13120 $abc$4763$n313 +.sym 13121 com_en +.sym 13188 UART_TX_DATA[7] +.sym 13189 UART_TX_DATA[5] +.sym 13190 $abc$4763$n310 +.sym 13191 $false +.sym 13206 $0\tact_counter[16:0][13] +.sym 13207 $abc$4763$n446 +.sym 13208 $abc$4763$n598_1 +.sym 13209 $abc$4763$n482 +.sym 13212 UART_TX_DATA[6] +.sym 13213 UART_TX_DATA[4] +.sym 13214 $abc$4763$n310 +.sym 13215 $false +.sym 13218 $abc$4763$n484_1 +.sym 13219 $abc$4763$n601_1 +.sym 13220 $abc$4763$n328_1 +.sym 13221 $abc$4763$n457_1 +.sym 13224 $abc$4763$n491 +.sym 13225 $abc$4763$n603_1 +.sym 13226 $abc$4763$n328_1 +.sym 13227 $abc$4763$n457_1 +.sym 13230 $abc$4763$n477 +.sym 13231 $abc$4763$n599 +.sym 13232 $abc$4763$n328_1 +.sym 13233 $abc$4763$n457_1 +.sym 13234 $abc$4763$n293$2 +.sym 13235 CLK$2$2 +.sym 13236 $false +.sym 13237 $abc$4763$n309 +.sym 13238 $abc$4763$n465 +.sym 13241 $abc$4763$n315_1 +.sym 13242 $abc$4763$n482 +.sym 13244 UART_TX_DATA[2] +.sym 13317 $0\com_msg[33:0][18] +.sym 13318 $abc$4763$n460_1 +.sym 13319 $false +.sym 13320 $false +.sym 13329 $0\com_msg[33:0][23] +.sym 13330 $abc$4763$n460_1 +.sym 13331 $false +.sym 13332 $false +.sym 13341 $abc$4763$n470 +.sym 13342 $abc$4763$n597_1 +.sym 13343 $abc$4763$n328_1 +.sym 13344 $abc$4763$n457_1 +.sym 13353 $abc$4763$n498 +.sym 13354 $abc$4763$n605 +.sym 13355 $abc$4763$n328_1 +.sym 13356 $abc$4763$n457_1 +.sym 13357 $abc$4763$n293$2 +.sym 13358 CLK$2$2 +.sym 13359 $false +.sym 13361 $abc$4763$n503 +.sym 13362 $abc$4763$n594_1 +.sym 13366 $abc$4763$n595_1 +.sym 13367 $abc$4763$n464 +.sym 13396 $true +.sym 13433 $0\tact_counter[16:0][12]$2 +.sym 13434 $false +.sym 13435 $0\tact_counter[16:0][12] +.sym 13436 $false +.sym 13437 $false +.sym 13439 $abc$4763$n708$2 +.sym 13441 $0\tact_counter[16:0][13] +.sym 13442 $false +.sym 13449 $abc$4763$n708$2 +.sym 13452 com_msg[15] +.sym 13453 com_msg[31] +.sym 13454 $0\tact_counter[16:0][13] +.sym 13455 $0\tact_counter[16:0][12] +.sym 13464 $abc$4763$n446 +.sym 13465 $abc$4763$n30 +.sym 13466 $abc$4763$n604_1 +.sym 13467 $abc$4763$n503 +.sym 13470 $abc$4763$n337 +.sym 13471 $abc$4763$n361 +.sym 13472 $false +.sym 13473 $false +.sym 13476 com_msg[31] +.sym 13477 KBD_RC_IN[31] +.sym 13478 $abc$4763$n329_1 +.sym 13479 $false +.sym 13480 RESET$2 +.sym 13481 CLK$2$2 +.sym 13482 $false +.sym 13483 $abc$4763$n337 +.sym 13487 $abc$4763$n420 +.sym 13490 com_msg[2] +.sym 13557 rc_counter[2] +.sym 13558 $abc$4763$n336 +.sym 13559 $abc$4763$n337 +.sym 13560 rc_counter[3] +.sym 13563 KBD_RC_EN[25] +.sym 13564 $abc$4763$n399 +.sym 13565 KBD_RC_IN[25] +.sym 13566 $abc$4763$n298 +.sym 13575 $abc$4763$n337 +.sym 13576 $abc$4763$n336 +.sym 13577 rc_counter[2] +.sym 13578 rc_counter[3] +.sym 13581 rc_counter[2] +.sym 13582 rc_counter[3] +.sym 13583 $abc$4763$n336 +.sym 13584 $false +.sym 13587 rc_counter[0] +.sym 13588 rc_counter[1] +.sym 13589 rc_counter[2] +.sym 13590 rc_counter[3] +.sym 13599 KBD_RC_EN[25] +.sym 13600 $abc$4763$n399 +.sym 13601 $abc$4763$n298 +.sym 13602 $false +.sym 13603 RESET$2 +.sym 13604 CLK$2$2 +.sym 13605 $false +.sym 13606 com_msg[10] +.sym 13607 com_msg[7] +.sym 13611 rc_counter[4] +.sym 13680 $abc$4763$n423$2 +.sym 13681 rc_counter[0] +.sym 13682 rc_counter[1] +.sym 13683 $false +.sym 13686 $0\rc_counter[5:0][4] +.sym 13687 $0\rc_counter[5:0][2] +.sym 13688 $0\rc_counter[5:0][1] +.sym 13689 $abc$4763$n433_1 +.sym 13692 $0\rc_counter[5:0][3] +.sym 13693 $0\rc_counter[5:0][0] +.sym 13694 $0\rc_counter[5:0][5] +.sym 13695 $abc$4763$n439_1 +.sym 13698 $0\rc_counter[5:0][2] +.sym 13699 $false +.sym 13700 $false +.sym 13701 $false +.sym 13704 $0\rc_counter[5:0][3] +.sym 13705 $false +.sym 13706 $false +.sym 13707 $false +.sym 13710 $0\rc_counter[5:0][1] +.sym 13711 $false +.sym 13712 $false +.sym 13713 $false +.sym 13716 $0\rc_counter[5:0][5] +.sym 13717 $false +.sym 13718 $false +.sym 13719 $false +.sym 13722 $0\rc_counter[5:0][0] +.sym 13723 $false +.sym 13724 $false +.sym 13725 $false +.sym 13726 RESET$2 +.sym 13727 CLK$2$2 +.sym 13728 $false +.sym 13730 $abc$4763$n344_1 +.sym 13733 $abc$4763$n342_1 +.sym 13734 $abc$4763$n412_1 +.sym 13735 com_msg[4] +.sym 13803 $abc$4763$n355 +.sym 13804 KBD_RC_EN[9] +.sym 13805 $abc$4763$n299_1 +.sym 13806 $abc$4763$n301 +.sym 13809 rc_counter[2] +.sym 13810 $abc$4763$n344_1 +.sym 13811 $abc$4763$n336 +.sym 13812 rc_counter[3] +.sym 13815 $abc$4763$n398_1 +.sym 13816 $abc$4763$n400_1 +.sym 13817 $abc$4763$n402_1 +.sym 13818 $false +.sym 13821 $abc$4763$n357_1 +.sym 13822 KBD_RC_EN[13] +.sym 13823 $abc$4763$n299_1 +.sym 13824 $abc$4763$n301 +.sym 13833 rc_counter[1] +.sym 13834 rc_counter[0] +.sym 13835 $false +.sym 13836 $false +.sym 13839 rc_counter[3] +.sym 13840 rc_counter[2] +.sym 13841 $abc$4763$n336 +.sym 13842 $false +.sym 13845 $abc$4763$n336 +.sym 13846 $abc$4763$n344_1 +.sym 13847 rc_counter[2] +.sym 13848 rc_counter[3] +.sym 13852 $0\KBD_RC_EN[33:0][7] +.sym 13853 $0\KBD_RC_EN[33:0][6] +.sym 13854 $abc$4763$n383 +.sym 13855 $abc$4763$n384 +.sym 13856 $0\KBD_RC_EN[33:0][10] +.sym 13857 KBD_RC_EN[10] +.sym 13858 KBD_RC_EN[7] +.sym 13859 KBD_RC_EN[6] +.sym 13926 $abc$4763$n415_1 +.sym 13927 $abc$4763$n417 +.sym 13928 $abc$4763$n419 +.sym 13929 $abc$4763$n421_1 +.sym 13932 $abc$4763$n390 +.sym 13933 $abc$4763$n397 +.sym 13934 $abc$4763$n404_1 +.sym 13935 $abc$4763$n414 +.sym 13938 rc_counter[2] +.sym 13939 rc_counter[3] +.sym 13940 rc_counter[0] +.sym 13941 rc_counter[1] +.sym 13944 $abc$4763$n382 +.sym 13945 $abc$4763$n344_1 +.sym 13946 $false +.sym 13947 $false +.sym 13950 rc_counter[3] +.sym 13951 rc_counter[1] +.sym 13952 rc_counter[2] +.sym 13953 rc_counter[0] +.sym 13956 $abc$4763$n330 +.sym 13957 $abc$4763$n383 +.sym 13958 $abc$4763$n389 +.sym 13959 $abc$4763$n423$2 +.sym 13962 rc_counter[0] +.sym 13963 rc_counter[1] +.sym 13964 rc_counter[3] +.sym 13965 rc_counter[2] +.sym 13968 $0\KBD_RC_EN[33:0][13] +.sym 13969 $false +.sym 13970 $false +.sym 13971 $false +.sym 13972 RESET$2 +.sym 13973 CLK$2$2 +.sym 13974 $false +.sym 13976 $abc$4763$n403 +.sym 13977 $abc$4763$n616_1 +.sym 13978 $abc$4763$n408_1 +.sym 13980 $abc$4763$n362_1 +.sym 13981 com_msg[9] +.sym 13982 KBD_RC_EN[4] +.sym 14049 $abc$4763$n335 +.sym 14050 $abc$4763$n337 +.sym 14051 $false +.sym 14052 $false +.sym 14061 $abc$4763$n344_1 +.sym 14062 $abc$4763$n340 +.sym 14063 $false +.sym 14064 $false +.sym 14067 $abc$4763$n337 +.sym 14068 $abc$4763$n340 +.sym 14069 $false +.sym 14070 $false +.sym 14073 $0\KBD_RC_EN[33:0][13] +.sym 14074 KBD_RC_IN[13] +.sym 14075 $0\KBD_RC_EN[33:0][9] +.sym 14076 KBD_RC_IN[9] +.sym 14079 $abc$4763$n346 +.sym 14080 $abc$4763$n349 +.sym 14081 $abc$4763$n351_1 +.sym 14082 $abc$4763$n353 +.sym 14085 $abc$4763$n331_1 +.sym 14086 $abc$4763$n345 +.sym 14087 $abc$4763$n358 +.sym 14088 $abc$4763$n371_1 +.sym 14091 $0\KBD_RC_EN[33:0][9] +.sym 14092 $false +.sym 14093 $false +.sym 14094 $false +.sym 14095 RESET$2 +.sym 14096 CLK$2$2 +.sym 14097 $false +.sym 14098 $abc$4763$n402_1 +.sym 14099 $abc$4763$n341_1 +.sym 14101 KBD_RC_EN[5] +.sym 14105 KBD_RC_EN[8] +.sym 14172 KBD_RC_EN[17] +.sym 14173 $abc$4763$n334 +.sym 14174 KBD_RC_IN[17] +.sym 14175 $abc$4763$n298 +.sym 14184 $abc$4763$n332_1 +.sym 14185 $abc$4763$n333 +.sym 14186 $abc$4763$n338_1 +.sym 14187 $abc$4763$n341_1 +.sym 14196 com_msg[18] +.sym 14197 KBD_RC_IN[18] +.sym 14198 $abc$4763$n329_1 +.sym 14199 $false +.sym 14202 $0\com_msg[33:0][18] +.sym 14203 $false +.sym 14204 $false +.sym 14205 $false +.sym 14208 com_msg[17] +.sym 14209 KBD_RC_IN[17] +.sym 14210 $abc$4763$n329_1 +.sym 14211 $false +.sym 14214 KBD_RC_EN[17] +.sym 14215 $abc$4763$n334 +.sym 14216 $abc$4763$n298 +.sym 14217 $false +.sym 14218 RESET$2 +.sym 14219 CLK$2$2 +.sym 14220 $false +.sym 14221 com_msg[5] +.sym 14337 RESET$2 +.sym 14338 $false +.sym 14339 $false +.sym 14340 $false +.sym 14346 KBD_RC_IN[10] +.sym 14388 $abc$4763$n11 +.sym 14418 $abc$4763$n423 +.sym 14422 $false +.sym 14424 KBD_RC_EN[30] +.sym 14568 KBD_RC_IN[31] +.sym 14570 KBD_RC_IN[32] +.sym 14688 tact_counter[0] +.sym 14689 tact_counter[1] +.sym 14690 $false +.sym 14691 $false +.sym 14724 $abc$4763$n15 +.sym 14725 $false +.sym 14726 $false +.sym 14727 $false +.sym 14728 RESET$2 +.sym 14729 CLK$2$2 +.sym 14730 $abc$4763$n423$2 +.sym 14733 $abc$4763$n17 +.sym 14734 $abc$4763$n18 +.sym 14735 $abc$4763$n20 +.sym 14736 $abc$4763$n21 +.sym 14737 $abc$4763$n561 +.sym 14738 $abc$4763$n562 +.sym 14805 tact_counter[2] +.sym 14806 tact_counter[3] +.sym 14807 tact_counter[4] +.sym 14808 tact_counter[5] +.sym 14811 $abc$4763$n14 +.sym 14812 $abc$4763$n17 +.sym 14813 $abc$4763$n18 +.sym 14814 $false +.sym 14817 $abc$4763$n20 +.sym 14818 $abc$4763$n21 +.sym 14819 $false +.sym 14820 $false +.sym 14823 tact_counter[0] +.sym 14824 tact_counter[1] +.sym 14825 $abc$4763$n300 +.sym 14826 $false +.sym 14829 $abc$4763$n21 +.sym 14830 $false +.sym 14831 $false +.sym 14832 $false +.sym 14835 $abc$4763$n17 +.sym 14836 $false +.sym 14837 $false +.sym 14838 $false +.sym 14841 $abc$4763$n20 +.sym 14842 $false +.sym 14843 $false +.sym 14844 $false +.sym 14847 $abc$4763$n18 +.sym 14848 $false +.sym 14849 $false +.sym 14850 $false +.sym 14851 RESET$2 +.sym 14852 CLK$2$2 +.sym 14853 $abc$4763$n423$2 +.sym 14854 $abc$4763$n26 +.sym 14855 $abc$4763$n27 +.sym 14856 $abc$4763$n563 +.sym 14857 $abc$4763$n30 +.sym 14858 $abc$4763$n564 +.sym 14859 $0\tact_counter[16:0][13] +.sym 14860 $abc$4763$n565 +.sym 14861 $abc$4763$n566 +.sym 14928 $abc$4763$n30 +.sym 14929 $0\tact_counter[16:0][13] +.sym 14930 $abc$4763$n320 +.sym 14931 $abc$4763$n321 +.sym 14934 $abc$4763$n431 +.sym 14935 $abc$4763$n319_1 +.sym 14936 $false +.sym 14937 $false +.sym 14940 $abc$4763$n320 +.sym 14941 $abc$4763$n321 +.sym 14942 $abc$4763$n322 +.sym 14943 $false +.sym 14946 $abc$4763$n563 +.sym 14947 $abc$4763$n561 +.sym 14948 $abc$4763$n562 +.sym 14949 $abc$4763$n423$2 +.sym 14958 $abc$4763$n26 +.sym 14959 $abc$4763$n27 +.sym 14960 $false +.sym 14961 $false +.sym 14964 $abc$4763$n561 +.sym 14965 $abc$4763$n564 +.sym 14966 $abc$4763$n566 +.sym 14967 $false +.sym 14970 $abc$4763$n424_1 +.sym 14971 $abc$4763$n426 +.sym 14972 $abc$4763$n322 +.sym 14973 $abc$4763$n427_1 +.sym 14977 $abc$4763$n567 +.sym 14978 $abc$4763$n301 +.sym 14979 $abc$4763$n303 +.sym 14980 $abc$4763$n302 +.sym 14981 tact_counter[16] +.sym 14982 tact_counter[10] +.sym 14983 tact_counter[13] +.sym 14984 tact_counter[12] +.sym 15051 com_msg[30] +.sym 15052 KBD_RC_IN[30] +.sym 15053 $abc$4763$n329_1 +.sym 15054 $false +.sym 15057 $abc$4763$n15 +.sym 15058 $abc$4763$n425 +.sym 15059 $abc$4763$n565 +.sym 15060 $abc$4763$n567 +.sym 15063 KBD_RC_EN[30] +.sym 15064 $abc$4763$n420 +.sym 15065 KBD_RC_IN[30] +.sym 15066 $abc$4763$n298 +.sym 15069 $abc$4763$n562 +.sym 15070 $abc$4763$n563 +.sym 15071 $false +.sym 15072 $false +.sym 15075 $abc$4763$n423$2 +.sym 15076 $abc$4763$n564 +.sym 15077 $false +.sym 15078 $false +.sym 15081 KBD_RC_EN[30] +.sym 15082 $abc$4763$n420 +.sym 15083 $abc$4763$n298 +.sym 15084 $false +.sym 15093 $0\com_msg[33:0][30] +.sym 15094 $false +.sym 15095 $false +.sym 15096 $false +.sym 15097 RESET$2 +.sym 15098 CLK$2$2 +.sym 15099 $false +.sym 15101 tact_counter[11] +.sym 15102 tact_counter[14] +.sym 15104 tact_counter[15] +.sym 15180 $abc$4763$n15 +.sym 15181 $abc$4763$n430_1 +.sym 15182 $false +.sym 15183 $false +.sym 15192 $abc$4763$n423$2 +.sym 15193 $abc$4763$n565 +.sym 15194 $false +.sym 15195 $false +.sym 15198 $abc$4763$n566 +.sym 15199 $abc$4763$n567 +.sym 15200 $abc$4763$n708 +.sym 15201 $abc$4763$n565 +.sym 15204 $0\tact_counter[16:0][12] +.sym 15205 $0\tact_counter[16:0][13] +.sym 15206 $abc$4763$n15 +.sym 15207 $false +.sym 15210 $0\UART_WR[0:0] +.sym 15211 $false +.sym 15212 $false +.sym 15213 $false +.sym 15220 $abc$4763$n269 +.sym 15221 CLK$2$2 +.sym 15222 $false +.sym 15223 $abc$4763$n328_1 +.sym 15224 $abc$4763$n697 +.sym 15226 $abc$4763$n457_1 +.sym 15230 $abc$4763$n626 +.sym 15297 $abc$4763$n445_1 +.sym 15298 $abc$4763$n328_1 +.sym 15299 $abc$4763$n444 +.sym 15300 $abc$4763$n269 +.sym 15303 $abc$4763$n432 +.sym 15304 $0\UART_WR[0:0] +.sym 15305 $false +.sym 15306 $false +.sym 15309 $abc$4763$n428 +.sym 15310 $abc$4763$n328_1 +.sym 15311 $abc$4763$n430_1 +.sym 15312 RESET$2 +.sym 15315 $abc$4763$n445_1 +.sym 15316 $abc$4763$n328_1 +.sym 15317 $abc$4763$n444 +.sym 15318 $abc$4763$n269 +.sym 15321 $abc$4763$n312 +.sym 15322 $abc$4763$n309 +.sym 15323 $abc$4763$n697 +.sym 15324 $abc$4763$n684 +.sym 15327 $0\tact_counter[16:0][13] +.sym 15328 $0\tact_counter[16:0][12] +.sym 15329 $abc$4763$n446 +.sym 15330 $false +.sym 15333 $abc$4763$n315_1 +.sym 15334 $abc$4763$n314 +.sym 15335 $abc$4763$n684 +.sym 15336 $abc$4763$n697 +.sym 15339 $abc$4763$n465 +.sym 15340 $0\UART_WR[0:0] +.sym 15341 $abc$4763$n328_1 +.sym 15342 $false +.sym 15343 $true +.sym 15344 CLK$2$2 +.sym 15345 $abc$4763$n11$2 +.sym 15347 $abc$4763$n619_1 +.sym 15350 $abc$4763$n617 +.sym 15352 UART_TX_DATA[0] +.sym 15353 UART_TX_DATA[1] +.sym 15420 UART_TX_DATA[2] +.sym 15421 UART_TX_DATA[0] +.sym 15422 $abc$4763$n310 +.sym 15423 $false +.sym 15426 $0\tact_counter[16:0][12] +.sym 15427 $abc$4763$n446 +.sym 15428 $abc$4763$n30 +.sym 15429 $0\tact_counter[16:0][13] +.sym 15444 UART_TX_DATA[3] +.sym 15445 UART_TX_DATA[1] +.sym 15446 $abc$4763$n310 +.sym 15447 $false +.sym 15450 com_msg[4] +.sym 15451 $abc$4763$n465 +.sym 15452 $false +.sym 15453 $false +.sym 15462 $abc$4763$n458 +.sym 15463 $abc$4763$n595_1 +.sym 15464 $abc$4763$n328_1 +.sym 15465 $abc$4763$n457_1 +.sym 15466 $abc$4763$n293$2 +.sym 15467 CLK$2$2 +.sym 15468 $false +.sym 15469 $abc$4763$n624_1 +.sym 15470 $abc$4763$n625_1 +.sym 15471 $abc$4763$n620 +.sym 15472 $abc$4763$n610_1 +.sym 15473 com_msg[33] +.sym 15474 com_msg[32] +.sym 15475 com_msg[25] +.sym 15549 com_msg[7] +.sym 15550 $abc$4763$n465 +.sym 15551 $false +.sym 15552 $false +.sym 15555 com_msg[10] +.sym 15556 com_msg[26] +.sym 15557 $0\tact_counter[16:0][13] +.sym 15558 $0\tact_counter[16:0][12] +.sym 15579 $abc$4763$n446 +.sym 15580 $abc$4763$n30 +.sym 15581 $abc$4763$n594_1 +.sym 15582 $abc$4763$n464 +.sym 15585 com_msg[2] +.sym 15586 $abc$4763$n465 +.sym 15587 $false +.sym 15588 $false +.sym 15592 $abc$4763$n349 +.sym 15593 $abc$4763$n350_1 +.sym 15594 KBD_RC_EN[33] +.sym 15666 rc_counter[5] +.sym 15667 rc_counter[4] +.sym 15668 $false +.sym 15669 $false +.sym 15690 $abc$4763$n379 +.sym 15691 $abc$4763$n337 +.sym 15692 $false +.sym 15693 $false +.sym 15708 com_msg[2] +.sym 15709 KBD_RC_IN[2] +.sym 15710 $abc$4763$n329_1 +.sym 15711 $false +.sym 15712 $true +.sym 15713 CLK$2$2 +.sym 15714 $abc$4763$n11$2 +.sym 15717 $abc$4763$n391 +.sym 15719 KBD_RC_EN[32] +.sym 15789 com_msg[10] +.sym 15790 KBD_RC_IN[10] +.sym 15791 $abc$4763$n329_1 +.sym 15792 $false +.sym 15795 com_msg[7] +.sym 15796 KBD_RC_IN[7] +.sym 15797 $abc$4763$n329_1 +.sym 15798 $false +.sym 15819 $0\rc_counter[5:0][4] +.sym 15820 $false +.sym 15821 $false +.sym 15822 $false +.sym 15835 RESET$2 +.sym 15836 CLK$2$2 +.sym 15837 $false +.sym 15839 $abc$4763$n352 +.sym 15841 $abc$4763$n411_1 +.sym 15842 $abc$4763$n392 +.sym 15843 $abc$4763$n305_1 +.sym 15844 KBD_RC_EN[31] +.sym 15918 rc_counter[4] +.sym 15919 rc_counter[5] +.sym 15920 $false +.sym 15921 $false +.sym 15936 $abc$4763$n343 +.sym 15937 $abc$4763$n344_1 +.sym 15938 $false +.sym 15939 $false +.sym 15942 $abc$4763$n337 +.sym 15943 $abc$4763$n413 +.sym 15944 $false +.sym 15945 $false +.sym 15948 com_msg[4] +.sym 15949 KBD_RC_IN[4] +.sym 15950 $abc$4763$n329_1 +.sym 15951 $false +.sym 15958 $true +.sym 15959 CLK$2$2 +.sym 15960 $abc$4763$n11$2 +.sym 15961 $abc$4763$n409_1 +.sym 15962 $abc$4763$n404_1 +.sym 15963 com_msg[6] +.sym 15965 KBD_RC_EN[1] +.sym 15966 KBD_RC_EN[2] +.sym 16035 $abc$4763$n344_1 +.sym 16036 $abc$4763$n370 +.sym 16037 KBD_RC_EN[7] +.sym 16038 $abc$4763$n298 +.sym 16041 $abc$4763$n386 +.sym 16042 $abc$4763$n344_1 +.sym 16043 KBD_RC_EN[6] +.sym 16044 $abc$4763$n298 +.sym 16047 KBD_RC_IN[7] +.sym 16048 $0\KBD_RC_EN[33:0][7] +.sym 16049 $abc$4763$n384 +.sym 16050 $false +.sym 16053 $0\KBD_RC_EN[33:0][10] +.sym 16054 KBD_RC_IN[10] +.sym 16055 $0\KBD_RC_EN[33:0][6] +.sym 16056 KBD_RC_IN[6] +.sym 16059 $abc$4763$n366_1 +.sym 16060 $abc$4763$n344_1 +.sym 16061 KBD_RC_EN[10] +.sym 16062 $abc$4763$n298 +.sym 16065 $0\KBD_RC_EN[33:0][10] +.sym 16066 $false +.sym 16067 $false +.sym 16068 $false +.sym 16071 $0\KBD_RC_EN[33:0][7] +.sym 16072 $false +.sym 16073 $false +.sym 16074 $false +.sym 16077 $0\KBD_RC_EN[33:0][6] +.sym 16078 $false +.sym 16079 $false +.sym 16080 $false +.sym 16081 RESET$2 +.sym 16082 CLK$2$2 +.sym 16083 $false +.sym 16086 $abc$4763$n351_1 +.sym 16087 $abc$4763$n623 +.sym 16089 com_msg[1] +.sym 16091 com_msg[0] +.sym 16164 $abc$4763$n344_1 +.sym 16165 $abc$4763$n361 +.sym 16166 $false +.sym 16167 $false +.sym 16170 com_msg[17] +.sym 16171 com_msg[9] +.sym 16172 $abc$4763$n30 +.sym 16173 $0\tact_counter[16:0][13] +.sym 16176 $abc$4763$n344_1 +.sym 16177 $abc$4763$n376 +.sym 16178 $false +.sym 16179 $false +.sym 16188 KBD_RC_EN[4] +.sym 16189 $abc$4763$n363_1 +.sym 16190 KBD_RC_IN[4] +.sym 16191 $abc$4763$n298 +.sym 16194 com_msg[9] +.sym 16195 KBD_RC_IN[9] +.sym 16196 $abc$4763$n329_1 +.sym 16197 $false +.sym 16200 KBD_RC_EN[4] +.sym 16201 $abc$4763$n363_1 +.sym 16202 $abc$4763$n298 +.sym 16203 $false +.sym 16204 RESET$2 +.sym 16205 CLK$2$2 +.sym 16206 $false +.sym 16208 $abc$4763$n332_1 +.sym 16209 $abc$4763$n407 +.sym 16211 $abc$4763$n4 +.sym 16212 KBD_RC_EN[3] +.sym 16214 com_msg[8] +.sym 16281 KBD_RC_EN[8] +.sym 16282 $abc$4763$n403 +.sym 16283 KBD_RC_IN[8] +.sym 16284 $abc$4763$n298 +.sym 16287 KBD_RC_EN[5] +.sym 16288 $abc$4763$n342_1 +.sym 16289 KBD_RC_IN[5] +.sym 16290 $abc$4763$n298 +.sym 16299 KBD_RC_EN[5] +.sym 16300 $abc$4763$n342_1 +.sym 16301 $abc$4763$n298 +.sym 16302 $false +.sym 16323 KBD_RC_EN[8] +.sym 16324 $abc$4763$n403 +.sym 16325 $abc$4763$n298 +.sym 16326 $false +.sym 16327 RESET$2 +.sym 16328 CLK$2$2 +.sym 16329 $false +.sym 16332 com_msg[3] +.sym 16404 com_msg[5] +.sym 16405 KBD_RC_IN[5] +.sym 16406 $abc$4763$n329_1 +.sym 16407 $false +.sym 16450 $true +.sym 16451 CLK$2$2 +.sym 16452 $abc$4763$n11$2 +.sym 16453 KBD_RC_IN[9] +.sym 16455 KBD_RC_IN[8] +.sym 16497 $abc$4763$n293 +.sym 16501 $false +.sym 16503 KBD_RC_EN[10] +.sym 16528 $false +.sym 16530 KBD_RC_EN[31] +.sym 16531 $false +.sym 16533 KBD_RC_EN[32] +.sym 16677 KBD_RC_IN[33] +.sym 16876 $true +.sym 16913 tact_counter[0]$2 +.sym 16914 $false +.sym 16915 tact_counter[0] +.sym 16916 $false +.sym 16917 $false +.sym 16919 $auto$alumacc.cc:474:replace_alu$516.C[2] +.sym 16921 $false +.sym 16922 tact_counter[1] +.sym 16925 $auto$alumacc.cc:474:replace_alu$516.C[3] +.sym 16926 $false +.sym 16927 $false +.sym 16928 tact_counter[2] +.sym 16929 $auto$alumacc.cc:474:replace_alu$516.C[2] +.sym 16931 $auto$alumacc.cc:474:replace_alu$516.C[4] +.sym 16932 $false +.sym 16933 $false +.sym 16934 tact_counter[3] +.sym 16935 $auto$alumacc.cc:474:replace_alu$516.C[3] +.sym 16937 $auto$alumacc.cc:474:replace_alu$516.C[5] +.sym 16938 $false +.sym 16939 $false +.sym 16940 tact_counter[4] +.sym 16941 $auto$alumacc.cc:474:replace_alu$516.C[4] +.sym 16943 $auto$alumacc.cc:474:replace_alu$516.C[6] +.sym 16944 $false +.sym 16945 $false +.sym 16946 tact_counter[5] +.sym 16947 $auto$alumacc.cc:474:replace_alu$516.C[5] +.sym 16949 $auto$alumacc.cc:474:replace_alu$516.C[7] +.sym 16950 $false +.sym 16951 $false +.sym 16952 tact_counter[6] +.sym 16953 $auto$alumacc.cc:474:replace_alu$516.C[6] +.sym 16955 $auto$alumacc.cc:474:replace_alu$516.C[8] +.sym 16956 $false +.sym 16957 $false +.sym 16958 tact_counter[7] +.sym 16959 $auto$alumacc.cc:474:replace_alu$516.C[7] +.sym 16963 $abc$4763$n304 +.sym 16964 tact_counter[8] +.sym 16966 tact_counter[9] +.sym 16968 tact_counter[7] +.sym 16970 tact_counter[6] +.sym 16999 $auto$alumacc.cc:474:replace_alu$516.C[8] +.sym 17036 $auto$alumacc.cc:474:replace_alu$516.C[9] +.sym 17037 $false +.sym 17038 $false +.sym 17039 tact_counter[8] +.sym 17040 $auto$alumacc.cc:474:replace_alu$516.C[8] +.sym 17042 $auto$alumacc.cc:474:replace_alu$516.C[10] +.sym 17043 $false +.sym 17044 $false +.sym 17045 tact_counter[9] +.sym 17046 $auto$alumacc.cc:474:replace_alu$516.C[9] +.sym 17048 $auto$alumacc.cc:474:replace_alu$516.C[11] +.sym 17049 $false +.sym 17050 $false +.sym 17051 tact_counter[10] +.sym 17052 $auto$alumacc.cc:474:replace_alu$516.C[10] +.sym 17054 $auto$alumacc.cc:474:replace_alu$516.C[12] +.sym 17055 $false +.sym 17056 $false +.sym 17057 tact_counter[11] +.sym 17058 $auto$alumacc.cc:474:replace_alu$516.C[11] +.sym 17060 $auto$alumacc.cc:474:replace_alu$516.C[13] +.sym 17061 $false +.sym 17062 $false +.sym 17063 tact_counter[12] +.sym 17064 $auto$alumacc.cc:474:replace_alu$516.C[12] +.sym 17066 $auto$alumacc.cc:474:replace_alu$516.C[14] +.sym 17067 $false +.sym 17068 $false +.sym 17069 tact_counter[13] +.sym 17070 $auto$alumacc.cc:474:replace_alu$516.C[13] +.sym 17072 $auto$alumacc.cc:474:replace_alu$516.C[15] +.sym 17073 $false +.sym 17074 $false +.sym 17075 tact_counter[14] +.sym 17076 $auto$alumacc.cc:474:replace_alu$516.C[14] +.sym 17078 $auto$alumacc.cc:474:replace_alu$516.C[16] +.sym 17079 $false +.sym 17080 $false +.sym 17081 tact_counter[15] +.sym 17082 $auto$alumacc.cc:474:replace_alu$516.C[15] +.sym 17160 $false +.sym 17161 $false +.sym 17162 tact_counter[16] +.sym 17163 $auto$alumacc.cc:474:replace_alu$516.C[16] +.sym 17166 $abc$4763$n302 +.sym 17167 $abc$4763$n303 +.sym 17168 $abc$4763$n304 +.sym 17169 $false +.sym 17172 tact_counter[10] +.sym 17173 tact_counter[11] +.sym 17174 tact_counter[12] +.sym 17175 tact_counter[13] +.sym 17178 tact_counter[14] +.sym 17179 tact_counter[15] +.sym 17180 tact_counter[16] +.sym 17181 $false +.sym 17184 $abc$4763$n567 +.sym 17185 $false +.sym 17186 $false +.sym 17187 $false +.sym 17190 $abc$4763$n563 +.sym 17191 $false +.sym 17192 $false +.sym 17193 $false +.sym 17196 $0\tact_counter[16:0][13] +.sym 17197 $false +.sym 17198 $false +.sym 17199 $false +.sym 17202 $abc$4763$n564 +.sym 17203 $false +.sym 17204 $false +.sym 17205 $false +.sym 17206 RESET$2 +.sym 17207 CLK$2$2 +.sym 17208 $abc$4763$n423$2 +.sym 17211 $abc$4763$n684 +.sym 17212 $true$2 +.sym 17216 $abc$4763$n713 +.sym 17289 $abc$4763$n30 +.sym 17290 $false +.sym 17291 $false +.sym 17292 $false +.sym 17295 $abc$4763$n565 +.sym 17296 $false +.sym 17297 $false +.sym 17298 $false +.sym 17307 $abc$4763$n566 +.sym 17308 $false +.sym 17309 $false +.sym 17310 $false +.sym 17329 RESET$2 +.sym 17330 CLK$2$2 +.sym 17331 $abc$4763$n423$2 +.sym 17336 $abc$4763$n681 +.sym 17337 $abc$4763$n710 +.sym 17338 $abc$4763$n310 +.sym 17339 $abc$4763$n715 +.sym 17406 com_en +.sym 17407 $abc$4763$n423$2 +.sym 17408 $abc$4763$n329_1 +.sym 17409 $false +.sym 17412 $false +.sym 17413 $false +.sym 17414 $abc$4763$n710 +.sym 17415 $false +.sym 17424 $0\tact_counter[16:0][12] +.sym 17425 $abc$4763$n30 +.sym 17426 $0\tact_counter[16:0][13] +.sym 17427 $abc$4763$n328_1 +.sym 17448 com_en +.sym 17449 $abc$4763$n329_1 +.sym 17450 $abc$4763$n565 +.sym 17451 $abc$4763$n423$2 +.sym 17535 $0\tact_counter[16:0][13] +.sym 17536 $abc$4763$n30 +.sym 17537 $0\tact_counter[16:0][12] +.sym 17538 $abc$4763$n328_1 +.sym 17553 $abc$4763$n446 +.sym 17554 $0\tact_counter[16:0][12] +.sym 17555 $abc$4763$n30 +.sym 17556 $abc$4763$n616_1 +.sym 17565 $abc$4763$n626 +.sym 17566 $abc$4763$n625_1 +.sym 17567 $abc$4763$n457_1 +.sym 17568 $false +.sym 17571 $abc$4763$n617 +.sym 17572 $abc$4763$n620 +.sym 17573 $abc$4763$n619_1 +.sym 17574 $abc$4763$n328_1 +.sym 17575 $abc$4763$n278 +.sym 17576 CLK$2$2 +.sym 17577 $false +.sym 17652 com_msg[32] +.sym 17653 $abc$4763$n623 +.sym 17654 $0\tact_counter[16:0][13] +.sym 17655 $false +.sym 17658 $abc$4763$n624_1 +.sym 17659 $0\tact_counter[16:0][13] +.sym 17660 $abc$4763$n622_1 +.sym 17661 $0\tact_counter[16:0][12] +.sym 17664 $abc$4763$n446 +.sym 17665 com_msg[25] +.sym 17666 $abc$4763$n610_1 +.sym 17667 $abc$4763$n30 +.sym 17670 com_msg[33] +.sym 17671 com_msg[1] +.sym 17672 $abc$4763$n446 +.sym 17673 $0\tact_counter[16:0][13] +.sym 17676 com_msg[33] +.sym 17677 KBD_RC_IN[33] +.sym 17678 $abc$4763$n329_1 +.sym 17679 $false +.sym 17682 com_msg[32] +.sym 17683 KBD_RC_IN[32] +.sym 17684 $abc$4763$n329_1 +.sym 17685 $false +.sym 17688 com_msg[25] +.sym 17689 KBD_RC_IN[25] +.sym 17690 $abc$4763$n329_1 +.sym 17691 $false +.sym 17698 RESET$2 +.sym 17699 CLK$2$2 +.sym 17700 $false +.sym 17775 KBD_RC_EN[33] +.sym 17776 $abc$4763$n350_1 +.sym 17777 KBD_RC_IN[33] +.sym 17778 $abc$4763$n298 +.sym 17781 rc_counter[4] +.sym 17782 $abc$4763$n335 +.sym 17783 rc_counter[5] +.sym 17784 $false +.sym 17787 KBD_RC_EN[33] +.sym 17788 $abc$4763$n350_1 +.sym 17789 $abc$4763$n298 +.sym 17790 $false +.sym 17821 RESET$2 +.sym 17822 CLK$2$2 +.sym 17823 $false +.sym 17910 KBD_RC_EN[32] +.sym 17911 $abc$4763$n392 +.sym 17912 KBD_RC_IN[32] +.sym 17913 $abc$4763$n298 +.sym 17922 KBD_RC_EN[32] +.sym 17923 $abc$4763$n392 +.sym 17924 $abc$4763$n298 +.sym 17925 $false +.sym 17944 RESET$2 +.sym 17945 CLK$2$2 +.sym 17946 $false +.sym 18027 rc_counter[4] +.sym 18028 rc_counter[5] +.sym 18029 $abc$4763$n335 +.sym 18030 $false +.sym 18039 KBD_RC_EN[31] +.sym 18040 $abc$4763$n412_1 +.sym 18041 KBD_RC_IN[31] +.sym 18042 $abc$4763$n298 +.sym 18045 rc_counter[4] +.sym 18046 $abc$4763$n306 +.sym 18047 rc_counter[5] +.sym 18048 $false +.sym 18051 rc_counter[4] +.sym 18052 rc_counter[5] +.sym 18053 $abc$4763$n306 +.sym 18054 $false +.sym 18057 KBD_RC_EN[31] +.sym 18058 $abc$4763$n412_1 +.sym 18059 $abc$4763$n298 +.sym 18060 $false +.sym 18067 RESET$2 +.sym 18068 CLK$2$2 +.sym 18069 $false +.sym 18144 KBD_RC_EN[2] +.sym 18145 $abc$4763$n410_1 +.sym 18146 KBD_RC_IN[2] +.sym 18147 $abc$4763$n298 +.sym 18150 $abc$4763$n405 +.sym 18151 $abc$4763$n407 +.sym 18152 $abc$4763$n409_1 +.sym 18153 $abc$4763$n411_1 +.sym 18156 com_msg[6] +.sym 18157 KBD_RC_IN[6] +.sym 18158 $abc$4763$n329_1 +.sym 18159 $false +.sym 18168 KBD_RC_EN[1] +.sym 18169 $abc$4763$n352 +.sym 18170 $abc$4763$n298 +.sym 18171 $false +.sym 18174 KBD_RC_EN[2] +.sym 18175 $abc$4763$n410_1 +.sym 18176 $abc$4763$n298 +.sym 18177 $false +.sym 18190 RESET$2 +.sym 18191 CLK$2$2 +.sym 18192 $false +.sym 18279 KBD_RC_EN[1] +.sym 18280 $abc$4763$n352 +.sym 18281 KBD_RC_IN[1] +.sym 18282 $abc$4763$n298 +.sym 18285 com_msg[8] +.sym 18286 com_msg[0] +.sym 18287 $abc$4763$n30 +.sym 18288 $false +.sym 18297 com_msg[1] +.sym 18298 KBD_RC_IN[1] +.sym 18299 $abc$4763$n329_1 +.sym 18300 $false +.sym 18309 com_msg[0] +.sym 18310 KBD_RC_IN[0] +.sym 18311 $abc$4763$n329_1 +.sym 18312 $false +.sym 18313 $true +.sym 18314 CLK$2$2 +.sym 18315 $abc$4763$n11$2 +.sym 18396 $abc$4763$n4 +.sym 18397 $abc$4763$n305_1 +.sym 18398 KBD_RC_IN[0] +.sym 18399 $abc$4763$n298 +.sym 18402 KBD_RC_EN[3] +.sym 18403 $abc$4763$n408_1 +.sym 18404 KBD_RC_IN[3] +.sym 18405 $abc$4763$n298 +.sym 18414 $abc$4763$n4 +.sym 18415 $abc$4763$n305_1 +.sym 18416 $abc$4763$n298 +.sym 18417 $false +.sym 18420 KBD_RC_EN[3] +.sym 18421 $abc$4763$n408_1 +.sym 18422 $abc$4763$n298 +.sym 18423 $false +.sym 18432 com_msg[8] +.sym 18433 KBD_RC_IN[8] +.sym 18434 $abc$4763$n329_1 +.sym 18435 $false +.sym 18436 RESET$2 +.sym 18437 CLK$2$2 +.sym 18438 $false +.sym 18525 com_msg[3] +.sym 18526 KBD_RC_IN[3] +.sym 18527 $abc$4763$n329_1 +.sym 18528 $false +.sym 18559 $true +.sym 18560 CLK$2$2 +.sym 18561 $abc$4763$n11$2 +.sym 18562 KBD_RC_IN[7] +.sym 18564 KBD_RC_IN[6] +.sym 18606 $false +.sym 18608 KBD_RC_EN[9] +.sym 18609 $false +.sym 18611 KBD_RC_EN[8] +.sym 18636 $false +.sym 18638 KBD_RC_EN[33] +.sym 19145 tact_counter[6] +.sym 19146 tact_counter[7] +.sym 19147 tact_counter[8] +.sym 19148 tact_counter[9] +.sym 19151 $abc$4763$n26 +.sym 19152 $false +.sym 19153 $false +.sym 19154 $false +.sym 19163 $abc$4763$n27 +.sym 19164 $false +.sym 19165 $false +.sym 19166 $false +.sym 19175 $abc$4763$n562 +.sym 19176 $false +.sym 19177 $false +.sym 19178 $false +.sym 19187 $abc$4763$n561 +.sym 19188 $false +.sym 19189 $false +.sym 19190 $false +.sym 19191 RESET$2 +.sym 19192 CLK$2$2 +.sym 19193 $abc$4763$n423$2 +.sym 19353 $true +.sym 19390 $abc$4763$n710$3 +.sym 19391 $false +.sym 19392 $abc$4763$n710 +.sym 19393 $false +.sym 19394 $false +.sym 19396 $auto$alumacc.cc:474:replace_alu$528.C[2] +.sym 19398 $false +.sym 19399 $abc$4763$n706 +.sym 19403 $false +.sym 19404 $false +.sym 19405 $abc$4763$n713 +.sym 19406 $auto$alumacc.cc:474:replace_alu$528.C[2] +.sym 19409 $false +.sym 19410 $false +.sym 19411 $false +.sym 19412 $false +.sym 19433 $abc$4763$n712 +.sym 19434 $false +.sym 19435 $false +.sym 19436 $false +.sym 19476 $true +.sym 19513 $abc$4763$n710$2 +.sym 19514 $false +.sym 19515 $abc$4763$n710 +.sym 19516 $false +.sym 19517 $false +.sym 19519 $auto$alumacc.cc:474:replace_alu$503.C[2] +.sym 19521 $false +.sym 19522 $abc$4763$n706 +.sym 19525 $auto$alumacc.cc:474:replace_alu$503.C[3] +.sym 19527 $false +.sym 19528 $abc$4763$n713 +.sym 19531 $abc$4763$n681$2 +.sym 19533 $false +.sym 19534 $abc$4763$n715 +.sym 19541 $abc$4763$n681$2 +.sym 19544 $abc$4763$n707 +.sym 19545 $false +.sym 19546 $false +.sym 19547 $false +.sym 19550 $abc$4763$n706 +.sym 19551 $abc$4763$n707 +.sym 19552 $false +.sym 19553 $false +.sym 19556 $abc$4763$n714 +.sym 19557 $false +.sym 19558 $false +.sym 19559 $false +.sym 20670 KBD_RC_IN[5] +.sym 20672 KBD_RC_IN[4] +.sym 20715 $false +.sym 20717 KBD_RC_EN[7] +.sym 20718 $false +.sym 20720 KBD_RC_EN[6] +.sym 21292 $auto$alumacc.cc:474:replace_alu$525.C[2] +.sym 21293 $abc$4763$n714 +.sym 21294 $abc$4763$n712 +.sym 21295 $abc$4763$n455 +.sym 21296 $abc$4763$n454_1 +.sym 21297 $abc$4763$n706 +.sym 21393 UART.tx_bit_counter[2] +.sym 21394 UART.tx_bit_counter[3] +.sym 21396 UART.tx_bit_counter[0] +.sym 21398 UART.tx_bit_counter[1] +.sym 21495 rststate[1] +.sym 21496 rststate[2] +.sym 21497 rststate[3] +.sym 21501 rststate[0] +.sym 22412 KBD_RC_IN[3] +.sym 22414 KBD_RC_IN[2] +.sym 22487 $false +.sym 22489 KBD_RC_EN[5] +.sym 22490 $false +.sym 22492 KBD_RC_EN[4] +.sym 23234 $true +.sym 23271 UART.tx_bit_counter[0]$2 +.sym 23272 $false +.sym 23273 UART.tx_bit_counter[0] +.sym 23274 $false +.sym 23275 $false +.sym 23277 $auto$alumacc.cc:474:replace_alu$525.C[2]$2 +.sym 23279 UART.tx_bit_counter[1] +.sym 23280 $true$2 +.sym 23283 $auto$alumacc.cc:474:replace_alu$525.C[3] +.sym 23285 UART.tx_bit_counter[2] +.sym 23286 $true$2 +.sym 23287 $auto$alumacc.cc:474:replace_alu$525.C[2]$2 +.sym 23290 $false +.sym 23291 UART.tx_bit_counter[3] +.sym 23292 $false +.sym 23293 $auto$alumacc.cc:474:replace_alu$525.C[3] +.sym 23296 $false +.sym 23297 UART.tx_bit_counter[2] +.sym 23298 $false +.sym 23299 $auto$alumacc.cc:474:replace_alu$525.C[2] +.sym 23302 UART.tx_bit_counter[0] +.sym 23303 UART.tx_bit_counter[1] +.sym 23304 UART.tx_bit_counter[2] +.sym 23305 UART.tx_activity +.sym 23308 UART.tx_bit_counter[3] +.sym 23309 $abc$4763$n455 +.sym 23310 $false +.sym 23311 $false +.sym 23314 UART.tx_bit_counter[0] +.sym 23315 UART.tx_bit_counter[1] +.sym 23316 $false +.sym 23317 $false +.sym 23322 $abc$4763$n13 +.sym 23327 $abc$4763$n707 +.sym 23401 $abc$4763$n712 +.sym 23402 $false +.sym 23403 $false +.sym 23404 $false +.sym 23407 $abc$4763$n714 +.sym 23408 $false +.sym 23409 $false +.sym 23410 $false +.sym 23419 $abc$4763$n707 +.sym 23420 $false +.sym 23421 $false +.sym 23422 $false +.sym 23431 $abc$4763$n706 +.sym 23432 $false +.sym 23433 $false +.sym 23434 $false +.sym 23441 $abc$4763$n307$2 +.sym 23442 CLK$2$2 +.sym 23443 $abc$4763$n13$2 +.sym 23445 RESET +.sym 23480 $false +.sym 23517 $auto$alumacc.cc:474:replace_alu$513.C[1] +.sym 23519 $abc$4763$n11$2 +.sym 23520 rststate[0] +.sym 23523 $auto$alumacc.cc:474:replace_alu$513.C[2] +.sym 23524 $false +.sym 23525 $false +.sym 23526 rststate[1] +.sym 23527 $auto$alumacc.cc:474:replace_alu$513.C[1] +.sym 23529 $auto$alumacc.cc:474:replace_alu$513.C[3] +.sym 23530 $false +.sym 23531 $false +.sym 23532 rststate[2] +.sym 23533 $auto$alumacc.cc:474:replace_alu$513.C[2] +.sym 23536 $false +.sym 23537 $false +.sym 23538 rststate[3] +.sym 23539 $auto$alumacc.cc:474:replace_alu$513.C[3] +.sym 23560 $false +.sym 23561 $abc$4763$n11$2 +.sym 23562 rststate[0] +.sym 23563 $false +.sym 23564 $true +.sym 23565 CLK$2$2 +.sym 23566 $false +.sym 24435 KBD_RC_EN[0] +.sym 24551 KBD_RC_IN[1] +.sym 24553 KBD_RC_IN[0] +.sym 24596 $false +.sym 24598 KBD_RC_EN[3] +.sym 24599 $false +.sym 24601 KBD_RC_EN[2] +.sym 25666 UART.tx_activity +.sym 25667 $false +.sym 25668 $false +.sym 25669 $false +.sym 25696 $false +.sym 25697 UART.tx_bit_counter[0] +.sym 25698 $false +.sym 25699 $false +.sym 25821 rststate[3] +.sym 25822 rststate[2] +.sym 25823 rststate[1] +.sym 25824 rststate[0] +.sym 27097 $abc$4763$n4 +.sym 27098 $false +.sym 27099 $false +.sym 27100 $false +.sym 27189 $false +.sym 27191 KBD_RC_EN[1] +.sym 27192 $false +.sym 27194 KBD_RC_EN[0] +.sym 27429 RESET +.sym 27459 $abc$4763$n13 diff --git a/Wasd_test/Firmware/hardware.bin b/Wasd_test/Firmware/hardware.bin new file mode 100644 index 0000000..9ac8bfc Binary files /dev/null and b/Wasd_test/Firmware/hardware.bin differ diff --git a/Wasd_test/Firmware/hardware.blif b/Wasd_test/Firmware/hardware.blif new file mode 100644 index 0000000..47b7be3 --- /dev/null +++ b/Wasd_test/Firmware/hardware.blif @@ -0,0 +1,1441 @@ +# Generated by Yosys 0.8 (Apio build) (git sha1 40e220b, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) + +.model top +.inputs CLK I2C_SCL I2C_SDA INTERRUPT KBD_RC[0] KBD_RC[1] KBD_RC[2] KBD_RC[3] KBD_RC[4] KBD_RC[5] KBD_RC[6] KBD_RC[7] KBD_RC[8] KBD_RC[9] KBD_RC[10] KBD_RC[11] KBD_RC[12] KBD_RC[13] KBD_RC[14] KBD_RC[15] KBD_RC[16] KBD_RC[17] KBD_RC[18] KBD_RC[19] KBD_RC[20] KBD_RC[21] KBD_RC[22] KBD_RC[23] KBD_RC[24] KBD_RC[25] KBD_RC[26] KBD_RC[27] KBD_RC[28] KBD_RC[29] KBD_RC[30] KBD_RC[31] KBD_RC[32] KBD_RC[33] +.outputs DBG_LED[0] DBG_LED[1] DBG_LED[2] DBG_TX I2C_SDA INTERRUPT KBD_RC[0] KBD_RC[1] KBD_RC[2] KBD_RC[3] KBD_RC[4] KBD_RC[5] KBD_RC[6] KBD_RC[7] KBD_RC[8] KBD_RC[9] KBD_RC[10] KBD_RC[11] KBD_RC[12] KBD_RC[13] KBD_RC[14] KBD_RC[15] KBD_RC[16] KBD_RC[17] KBD_RC[18] KBD_RC[19] KBD_RC[20] KBD_RC[21] KBD_RC[22] KBD_RC[23] KBD_RC[24] KBD_RC[25] KBD_RC[26] KBD_RC[27] KBD_RC[28] KBD_RC[29] KBD_RC[30] KBD_RC[31] KBD_RC[32] KBD_RC[33] NUM_LOCK CAPS_LOCK SCROOL_LOCK +.names $false +.names $true +1 +.names $undef +.gate SB_LUT4 I0=$abc$4763$n4 I1=$abc$4763$n305_1 I2=$abc$4763$n298 I3=$false O=$abc$4763$n1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$4763$n299_1 I1=$abc$4763$n301 I2=$false I3=$false O=$abc$4763$n298 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=tact_counter[0] I1=tact_counter[1] I2=$abc$4763$n300 I3=$false O=$abc$4763$n299_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=tact_counter[2] I1=tact_counter[3] I2=tact_counter[4] I3=tact_counter[5] O=$abc$4763$n300 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$4763$n302 I1=$abc$4763$n303 I2=$abc$4763$n304 I3=$false O=$abc$4763$n301 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=tact_counter[14] I1=tact_counter[15] I2=tact_counter[16] I3=$false O=$abc$4763$n302 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=tact_counter[10] I1=tact_counter[11] I2=tact_counter[12] I3=tact_counter[13] O=$abc$4763$n303 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=tact_counter[6] I1=tact_counter[7] I2=tact_counter[8] I3=tact_counter[9] O=$abc$4763$n304 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=rc_counter[4] I1=rc_counter[5] I2=$abc$4763$n306 I3=$false O=$abc$4763$n305_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=rc_counter[0] I1=rc_counter[1] I2=rc_counter[2] I3=rc_counter[3] O=$abc$4763$n306 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$4763$n681 I1=$abc$4763$n313 I2=$abc$4763$n308 I3=UART.tx_activity O=$abc$4763$n3 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$abc$4763$n312 I1=$abc$4763$n309 I2=$abc$4763$n697 I3=$abc$4763$n684 O=$abc$4763$n308 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101000000011 +.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$4763$n310 I3=$false O=$abc$4763$n309 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$4763$n706 I1=$abc$4763$n707 I2=$false I3=$false O=$abc$4763$n310 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$4763$n706 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$4763$n310 I3=$false O=$abc$4763$n312 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$4763$n315_1 I1=$abc$4763$n314 I2=$abc$4763$n684 I3=$abc$4763$n697 O=$abc$4763$n313 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$4763$n310 I3=$false O=$abc$4763$n314 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$4763$n310 I3=$false O=$abc$4763$n315_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$4763$n320 I1=$abc$4763$n321 I2=$abc$4763$n322 I3=$false O=$abc$4763$n319_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$4763$n20 I1=$abc$4763$n21 I2=$false I3=$false O=$abc$4763$n320 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$4763$n26 I1=$abc$4763$n27 I2=$false I3=$false O=$abc$4763$n321 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$4763$n14 I1=$abc$4763$n17 I2=$abc$4763$n18 I3=$false O=$abc$4763$n322 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=tact_counter[0] I1=tact_counter[1] I2=$false I3=$false O=$abc$4763$n15 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=RESET +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$4763$n423 I1=$abc$4763$n564 I2=$false I3=$false O=$0\tact_counter[16:0][12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$4763$n428 I1=$abc$4763$n328_1 I2=$abc$4763$n430_1 I3=RESET O=$abc$4763$n269 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=com_en I1=$abc$4763$n423 I2=$abc$4763$n329_1 I3=$false O=$abc$4763$n328_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$4763$n330 I1=$abc$4763$n383 I2=$abc$4763$n389 I3=$abc$4763$n423 O=$abc$4763$n329_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$4763$n331_1 I1=$abc$4763$n345 I2=$abc$4763$n358 I3=$abc$4763$n371_1 O=$abc$4763$n330 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$4763$n332_1 I1=$abc$4763$n333 I2=$abc$4763$n338_1 I3=$abc$4763$n341_1 O=$abc$4763$n331_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$4763$n4 I1=$abc$4763$n305_1 I2=KBD_RC_IN[0] I3=$abc$4763$n298 O=$abc$4763$n332_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100001010 +.gate SB_LUT4 I0=KBD_RC_EN[17] I1=$abc$4763$n334 I2=KBD_RC_IN[17] I3=$abc$4763$n298 O=$abc$4763$n333 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n335 I1=$abc$4763$n337 I2=$false I3=$false O=$abc$4763$n334 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[2] I1=rc_counter[3] I2=$abc$4763$n336 I3=$false O=$abc$4763$n335 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=rc_counter[1] I1=rc_counter[0] I2=$false I3=$false O=$abc$4763$n336 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=rc_counter[5] I1=rc_counter[4] I2=$false I3=$false O=$abc$4763$n337 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KBD_RC_EN[20] I1=$abc$4763$n339_1 I2=KBD_RC_IN[20] I3=$abc$4763$n298 O=$abc$4763$n338_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n337 I1=$abc$4763$n340 I2=$false I3=$false O=$abc$4763$n339_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[0] I1=rc_counter[1] I2=rc_counter[3] I3=rc_counter[2] O=$abc$4763$n340 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KBD_RC_EN[5] I1=$abc$4763$n342_1 I2=KBD_RC_IN[5] I3=$abc$4763$n298 O=$abc$4763$n341_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n343 I1=$abc$4763$n344_1 I2=$false I3=$false O=$abc$4763$n342_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[3] I1=rc_counter[2] I2=$abc$4763$n336 I3=$false O=$abc$4763$n343 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=rc_counter[4] I1=rc_counter[5] I2=$false I3=$false O=$abc$4763$n344_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$4763$n346 I1=$abc$4763$n349 I2=$abc$4763$n351_1 I3=$abc$4763$n353 O=$abc$4763$n345 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KBD_RC_EN[27] I1=$abc$4763$n347_1 I2=KBD_RC_IN[27] I3=$abc$4763$n298 O=$abc$4763$n346 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n337 I1=$abc$4763$n348_1 I2=$false I3=$false O=$abc$4763$n347_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[2] I1=rc_counter[1] I2=rc_counter[0] I3=rc_counter[3] O=$abc$4763$n348_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KBD_RC_EN[33] I1=$abc$4763$n350_1 I2=KBD_RC_IN[33] I3=$abc$4763$n298 O=$abc$4763$n349 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=rc_counter[4] I1=$abc$4763$n335 I2=rc_counter[5] I3=$false O=$abc$4763$n350_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KBD_RC_EN[1] I1=$abc$4763$n352 I2=KBD_RC_IN[1] I3=$abc$4763$n298 O=$abc$4763$n351_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=rc_counter[4] I1=rc_counter[5] I2=$abc$4763$n335 I3=$false O=$abc$4763$n352 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$0\KBD_RC_EN[33:0][13] I1=KBD_RC_IN[13] I2=$0\KBD_RC_EN[33:0][9] I3=KBD_RC_IN[9] O=$abc$4763$n353 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011100000 +.gate SB_LUT4 I0=$abc$4763$n355 I1=KBD_RC_EN[9] I2=$abc$4763$n299_1 I3=$abc$4763$n301 O=$0\KBD_RC_EN[33:0][9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110011001100 +.gate SB_LUT4 I0=rc_counter[2] I1=$abc$4763$n344_1 I2=$abc$4763$n336 I3=rc_counter[3] O=$abc$4763$n355 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$4763$n357_1 I1=KBD_RC_EN[13] I2=$abc$4763$n299_1 I3=$abc$4763$n301 O=$0\KBD_RC_EN[33:0][13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110011001100 +.gate SB_LUT4 I0=$abc$4763$n336 I1=$abc$4763$n344_1 I2=rc_counter[2] I3=rc_counter[3] O=$abc$4763$n357_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$4763$n359_1 I1=$abc$4763$n362_1 I2=$abc$4763$n364 I3=$abc$4763$n368_1 O=$abc$4763$n358 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KBD_RC_EN[24] I1=$abc$4763$n360_1 I2=KBD_RC_IN[24] I3=$abc$4763$n298 O=$abc$4763$n359_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n337 I1=$abc$4763$n361 I2=$false I3=$false O=$abc$4763$n360_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[0] I1=rc_counter[1] I2=rc_counter[2] I3=rc_counter[3] O=$abc$4763$n361 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KBD_RC_EN[4] I1=$abc$4763$n363_1 I2=KBD_RC_IN[4] I3=$abc$4763$n298 O=$abc$4763$n362_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n344_1 I1=$abc$4763$n340 I2=$false I3=$false O=$abc$4763$n363_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KBD_RC_EN[26] I1=$abc$4763$n365_1 I2=KBD_RC_IN[26] I3=$abc$4763$n298 O=$abc$4763$n364 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n366_1 I1=$abc$4763$n337 I2=$false I3=$false O=$abc$4763$n365_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[2] I1=$abc$4763$n367 I2=rc_counter[3] I3=$false O=$abc$4763$n366_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=rc_counter[0] I1=rc_counter[1] I2=$false I3=$false O=$abc$4763$n367 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KBD_RC_EN[23] I1=$abc$4763$n369_1 I2=KBD_RC_IN[23] I3=$abc$4763$n298 O=$abc$4763$n368_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n337 I1=$abc$4763$n370 I2=$false I3=$false O=$abc$4763$n369_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[3] I1=rc_counter[1] I2=rc_counter[2] I3=rc_counter[0] O=$abc$4763$n370 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$4763$n372_1 I1=$abc$4763$n374_1 I2=$abc$4763$n377_1 I3=$abc$4763$n380 O=$abc$4763$n371_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KBD_RC_EN[21] I1=$abc$4763$n373 I2=KBD_RC_IN[21] I3=$abc$4763$n298 O=$abc$4763$n372_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n343 I1=$abc$4763$n337 I2=$false I3=$false O=$abc$4763$n373 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KBD_RC_EN[19] I1=$abc$4763$n375_1 I2=KBD_RC_IN[19] I3=$abc$4763$n298 O=$abc$4763$n374_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n337 I1=$abc$4763$n376 I2=$false I3=$false O=$abc$4763$n375_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[2] I1=rc_counter[3] I2=rc_counter[0] I3=rc_counter[1] O=$abc$4763$n376 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KBD_RC_EN[14] I1=$abc$4763$n378_1 I2=KBD_RC_IN[14] I3=$abc$4763$n298 O=$abc$4763$n377_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n379 I1=$abc$4763$n344_1 I2=$false I3=$false O=$abc$4763$n378_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n367 I1=rc_counter[2] I2=rc_counter[3] I3=$false O=$abc$4763$n379 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KBD_RC_EN[18] I1=$abc$4763$n381 I2=KBD_RC_IN[18] I3=$abc$4763$n298 O=$abc$4763$n380 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n382 I1=$abc$4763$n337 I2=$false I3=$false O=$abc$4763$n381 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[2] I1=rc_counter[3] I2=$abc$4763$n367 I3=$false O=$abc$4763$n382 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KBD_RC_IN[7] I1=$0\KBD_RC_EN[33:0][7] I2=$abc$4763$n384 I3=$false O=$abc$4763$n383 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$0\KBD_RC_EN[33:0][10] I1=KBD_RC_IN[10] I2=$0\KBD_RC_EN[33:0][6] I3=KBD_RC_IN[6] O=$abc$4763$n384 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011100000 +.gate SB_LUT4 I0=$abc$4763$n386 I1=$abc$4763$n344_1 I2=KBD_RC_EN[6] I3=$abc$4763$n298 O=$0\KBD_RC_EN[33:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000100011110000 +.gate SB_LUT4 I0=rc_counter[3] I1=rc_counter[2] I2=$abc$4763$n367 I3=$false O=$abc$4763$n386 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$4763$n366_1 I1=$abc$4763$n344_1 I2=KBD_RC_EN[10] I3=$abc$4763$n298 O=$0\KBD_RC_EN[33:0][10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000100011110000 +.gate SB_LUT4 I0=$abc$4763$n344_1 I1=$abc$4763$n370 I2=KBD_RC_EN[7] I3=$abc$4763$n298 O=$0\KBD_RC_EN[33:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000100011110000 +.gate SB_LUT4 I0=$abc$4763$n390 I1=$abc$4763$n397 I2=$abc$4763$n404_1 I3=$abc$4763$n414 O=$abc$4763$n389 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KBD_RC_IN[11] I1=$0\KBD_RC_EN[33:0][11] I2=$abc$4763$n394 I3=$abc$4763$n391 O=$abc$4763$n390 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=KBD_RC_EN[32] I1=$abc$4763$n392 I2=KBD_RC_IN[32] I3=$abc$4763$n298 O=$abc$4763$n391 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=rc_counter[4] I1=$abc$4763$n306 I2=rc_counter[5] I3=$false O=$abc$4763$n392 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$4763$n344_1 I1=$abc$4763$n348_1 I2=KBD_RC_EN[11] I3=$abc$4763$n298 O=$0\KBD_RC_EN[33:0][11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000100011110000 +.gate SB_LUT4 I0=KBD_RC_EN[12] I1=$abc$4763$n395 I2=KBD_RC_IN[12] I3=$abc$4763$n298 O=$abc$4763$n394 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n344_1 I1=$abc$4763$n396_1 I2=$false I3=$false O=$abc$4763$n395 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[0] I1=rc_counter[1] I2=rc_counter[2] I3=rc_counter[3] O=$abc$4763$n396_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$4763$n398_1 I1=$abc$4763$n400_1 I2=$abc$4763$n402_1 I3=$false O=$abc$4763$n397 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KBD_RC_EN[25] I1=$abc$4763$n399 I2=KBD_RC_IN[25] I3=$abc$4763$n298 O=$abc$4763$n398_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=rc_counter[2] I1=$abc$4763$n336 I2=$abc$4763$n337 I3=rc_counter[3] O=$abc$4763$n399 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KBD_RC_EN[28] I1=$abc$4763$n401 I2=KBD_RC_IN[28] I3=$abc$4763$n298 O=$abc$4763$n400_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n337 I1=$abc$4763$n396_1 I2=$false I3=$false O=$abc$4763$n401 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KBD_RC_EN[8] I1=$abc$4763$n403 I2=KBD_RC_IN[8] I3=$abc$4763$n298 O=$abc$4763$n402_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n344_1 I1=$abc$4763$n361 I2=$false I3=$false O=$abc$4763$n403 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n405 I1=$abc$4763$n407 I2=$abc$4763$n409_1 I3=$abc$4763$n411_1 O=$abc$4763$n404_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KBD_RC_EN[22] I1=$abc$4763$n406_1 I2=KBD_RC_IN[22] I3=$abc$4763$n298 O=$abc$4763$n405 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n386 I1=$abc$4763$n337 I2=$false I3=$false O=$abc$4763$n406_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KBD_RC_EN[3] I1=$abc$4763$n408_1 I2=KBD_RC_IN[3] I3=$abc$4763$n298 O=$abc$4763$n407 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n344_1 I1=$abc$4763$n376 I2=$false I3=$false O=$abc$4763$n408_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KBD_RC_EN[2] I1=$abc$4763$n410_1 I2=KBD_RC_IN[2] I3=$abc$4763$n298 O=$abc$4763$n409_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n382 I1=$abc$4763$n344_1 I2=$false I3=$false O=$abc$4763$n410_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KBD_RC_EN[31] I1=$abc$4763$n412_1 I2=KBD_RC_IN[31] I3=$abc$4763$n298 O=$abc$4763$n411_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n337 I1=$abc$4763$n413 I2=$false I3=$false O=$abc$4763$n412_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=rc_counter[0] I1=rc_counter[1] I2=rc_counter[2] I3=rc_counter[3] O=$abc$4763$n413 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$4763$n415_1 I1=$abc$4763$n417 I2=$abc$4763$n419 I3=$abc$4763$n421_1 O=$abc$4763$n414 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KBD_RC_EN[29] I1=$abc$4763$n416 I2=KBD_RC_IN[29] I3=$abc$4763$n298 O=$abc$4763$n415_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n337 I1=$abc$4763$n336 I2=rc_counter[2] I3=rc_counter[3] O=$abc$4763$n416 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KBD_RC_EN[15] I1=$abc$4763$n418_1 I2=KBD_RC_IN[15] I3=$abc$4763$n298 O=$abc$4763$n417 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n344_1 I1=$abc$4763$n413 I2=$false I3=$false O=$abc$4763$n418_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KBD_RC_EN[30] I1=$abc$4763$n420 I2=KBD_RC_IN[30] I3=$abc$4763$n298 O=$abc$4763$n419 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n379 I1=$abc$4763$n337 I2=$false I3=$false O=$abc$4763$n420 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KBD_RC_EN[16] I1=$abc$4763$n422 I2=KBD_RC_IN[16] I3=$abc$4763$n298 O=$abc$4763$n421_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001100000101 +.gate SB_LUT4 I0=$abc$4763$n306 I1=$abc$4763$n337 I2=$false I3=$false O=$abc$4763$n422 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n424_1 I1=$abc$4763$n426 I2=$abc$4763$n322 I3=$abc$4763$n427_1 O=$abc$4763$n423 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$4763$n15 I1=$abc$4763$n425 I2=$abc$4763$n565 I3=$abc$4763$n567 O=$abc$4763$n424_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$4763$n562 I1=$abc$4763$n563 I2=$false I3=$false O=$abc$4763$n425 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n30 I1=$0\tact_counter[16:0][13] I2=$abc$4763$n320 I3=$abc$4763$n321 O=$abc$4763$n426 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$4763$n561 I1=$abc$4763$n564 I2=$abc$4763$n566 I3=$false O=$abc$4763$n427_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$4763$n432 I1=$0\UART_WR[0:0] I2=$false I3=$false O=$abc$4763$n428 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$4763$n15 I1=$abc$4763$n430_1 I2=$false I3=$false O=$0\UART_WR[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$4763$n431 I1=$abc$4763$n319_1 I2=$false I3=$false O=$abc$4763$n430_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$4763$n563 I1=$abc$4763$n561 I2=$abc$4763$n562 I3=$abc$4763$n423 O=$abc$4763$n431 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011111110 +.gate SB_LUT4 I0=$0\rc_counter[5:0][4] I1=$0\rc_counter[5:0][2] I2=$0\rc_counter[5:0][1] I3=$abc$4763$n433_1 O=$abc$4763$n432 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$0\rc_counter[5:0][3] I1=$0\rc_counter[5:0][0] I2=$0\rc_counter[5:0][5] I3=$abc$4763$n439_1 O=$abc$4763$n433_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=rc_counter[3] I1=$abc$4763$n117 I2=$abc$4763$n423 I3=$false O=$0\rc_counter[5:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$4763$n436_1 I1=$abc$4763$n668 I2=rc_counter[0] I3=$abc$4763$n423 O=$0\rc_counter[5:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100010011110000 +.gate SB_LUT4 I0=$abc$4763$n116 I1=$abc$4763$n117 I2=$abc$4763$n119 I3=$abc$4763$n437 O=$abc$4763$n436_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=rc_counter[0] I1=rc_counter[1] I2=$abc$4763$n668 I3=$abc$4763$n669 O=$abc$4763$n437 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$4763$n436_1 I1=$abc$4763$n669 I2=rc_counter[5] I3=$abc$4763$n423 O=$0\rc_counter[5:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100010011110000 +.gate SB_LUT4 I0=$abc$4763$n566 I1=$abc$4763$n567 I2=$abc$4763$n708 I3=$abc$4763$n565 O=$abc$4763$n439_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=rc_counter[4] I1=$abc$4763$n119 I2=$abc$4763$n423 I3=$false O=$0\rc_counter[5:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=rc_counter[2] I1=$abc$4763$n116 I2=$abc$4763$n423 I3=$false O=$0\rc_counter[5:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$4763$n423 I1=rc_counter[0] I2=rc_counter[1] I3=$false O=$0\rc_counter[5:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=$abc$4763$n445_1 I1=$abc$4763$n328_1 I2=$abc$4763$n444 I3=$abc$4763$n269 O=$abc$4763$n278 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110000000000000 +.gate SB_LUT4 I0=$0\tact_counter[16:0][12] I1=$0\tact_counter[16:0][13] I2=$abc$4763$n15 I3=$false O=$abc$4763$n444 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$0\tact_counter[16:0][13] I1=$0\tact_counter[16:0][12] I2=$abc$4763$n446 I3=$false O=$abc$4763$n445_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$4763$n423 I1=$abc$4763$n565 I2=$false I3=$false O=$abc$4763$n446 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$4763$n436_1 I1=$abc$4763$n423 I2=RESET I3=$false O=$abc$4763$n299 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$4763$n449 I1=RESET I2=$false I3=$false O=$abc$4763$n305 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$4763$n449 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=UART.tx_activity I1=$abc$4763$n451_1 I2=$abc$4763$n454_1 I3=$abc$4763$n305 O=$abc$4763$n307 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$4763$n673 I1=$abc$4763$n344 I2=$abc$4763$n347 I3=$abc$4763$n453 O=$abc$4763$n451_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$4763$n673 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$4763$n348 I1=$abc$4763$n350 I2=$abc$4763$n351 I3=$abc$4763$n354 O=$abc$4763$n453 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$4763$n455 I2=$false I3=$false O=$abc$4763$n454_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$4763$n455 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$4763$n458 I1=$abc$4763$n595_1 I2=$abc$4763$n328_1 I3=$abc$4763$n457_1 O=$0\UART_TX_DATA[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$0\tact_counter[16:0][12] I1=$abc$4763$n30 I2=$0\tact_counter[16:0][13] I3=$abc$4763$n328_1 O=$abc$4763$n457_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$0\com_msg[33:0][18] I1=$abc$4763$n460_1 I2=$false I3=$false O=$abc$4763$n458 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=com_msg[18] I1=KBD_RC_IN[18] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][18] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$4763$n446 I1=$0\tact_counter[16:0][13] I2=$abc$4763$n30 I3=$0\tact_counter[16:0][12] O=$abc$4763$n460_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$4763$n446 I1=$abc$4763$n30 I2=$0\tact_counter[16:0][13] I3=$0\tact_counter[16:0][12] O=$abc$4763$n463_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=com_msg[2] I1=$abc$4763$n465 I2=$false I3=$false O=$abc$4763$n464 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$0\tact_counter[16:0][12] I1=$abc$4763$n446 I2=$abc$4763$n30 I3=$0\tact_counter[16:0][13] O=$abc$4763$n465 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$0\tact_counter[16:0][12] I1=$abc$4763$n446 I2=$abc$4763$n30 I3=$0\tact_counter[16:0][13] O=$abc$4763$n467 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$4763$n470 I1=$abc$4763$n597_1 I2=$abc$4763$n328_1 I3=$abc$4763$n457_1 O=$0\UART_TX_DATA[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$0\com_msg[33:0][27] I1=$abc$4763$n463_1 I2=$false I3=$false O=$abc$4763$n470 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=com_msg[27] I1=KBD_RC_IN[27] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][27] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[19] I1=$abc$4763$n460_1 I2=$false I3=$false O=$abc$4763$n473 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n477 I1=$abc$4763$n599 I2=$abc$4763$n328_1 I3=$abc$4763$n457_1 O=$0\UART_TX_DATA[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$0\com_msg[33:0][12] I1=$abc$4763$n467 I2=$false I3=$false O=$abc$4763$n477 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=com_msg[12] I1=KBD_RC_IN[12] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[4] I1=$abc$4763$n465 I2=$false I3=$false O=$abc$4763$n482 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n484_1 I1=$abc$4763$n601_1 I2=$abc$4763$n328_1 I3=$abc$4763$n457_1 O=$0\UART_TX_DATA[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$0\com_msg[33:0][29] I1=$abc$4763$n463_1 I2=$false I3=$false O=$abc$4763$n484_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=com_msg[29] I1=KBD_RC_IN[29] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][29] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[21] I1=$abc$4763$n460_1 I2=$false I3=$false O=$abc$4763$n487_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n491 I1=$abc$4763$n603_1 I2=$abc$4763$n328_1 I3=$abc$4763$n457_1 O=$0\UART_TX_DATA[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$0\com_msg[33:0][30] I1=$abc$4763$n463_1 I2=$false I3=$false O=$abc$4763$n491 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=com_msg[30] I1=KBD_RC_IN[30] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][30] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[22] I1=$abc$4763$n460_1 I2=$false I3=$false O=$abc$4763$n494 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n498 I1=$abc$4763$n605 I2=$abc$4763$n328_1 I3=$abc$4763$n457_1 O=$0\UART_TX_DATA[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$0\com_msg[33:0][23] I1=$abc$4763$n460_1 I2=$false I3=$false O=$abc$4763$n498 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=com_msg[23] I1=KBD_RC_IN[23] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][23] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[7] I1=$abc$4763$n465 I2=$false I3=$false O=$abc$4763$n503 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$4763$n465 I1=$0\UART_WR[0:0] I2=$abc$4763$n328_1 I3=$false O=$4\com_en[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=com_msg[0] I1=KBD_RC_IN[0] I2=$abc$4763$n329_1 I3=$false O=$2\com_msg[33:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[1] I1=KBD_RC_IN[1] I2=$abc$4763$n329_1 I3=$false O=$2\com_msg[33:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[2] I1=KBD_RC_IN[2] I2=$abc$4763$n329_1 I3=$false O=$2\com_msg[33:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[3] I1=KBD_RC_IN[3] I2=$abc$4763$n329_1 I3=$false O=$2\com_msg[33:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[4] I1=KBD_RC_IN[4] I2=$abc$4763$n329_1 I3=$false O=$2\com_msg[33:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[5] I1=KBD_RC_IN[5] I2=$abc$4763$n329_1 I3=$false O=$2\com_msg[33:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[6] I1=KBD_RC_IN[6] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[7] I1=KBD_RC_IN[7] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[8] I1=KBD_RC_IN[8] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[9] I1=KBD_RC_IN[9] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[10] I1=KBD_RC_IN[10] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[11] I1=KBD_RC_IN[11] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[13] I1=KBD_RC_IN[13] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[14] I1=KBD_RC_IN[14] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[15] I1=KBD_RC_IN[15] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][15] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[16] I1=KBD_RC_IN[16] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][16] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[17] I1=KBD_RC_IN[17] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][17] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[19] I1=KBD_RC_IN[19] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][19] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[20] I1=KBD_RC_IN[20] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][20] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[21] I1=KBD_RC_IN[21] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][21] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[22] I1=KBD_RC_IN[22] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][22] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[24] I1=KBD_RC_IN[24] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][24] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[25] I1=KBD_RC_IN[25] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][25] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[26] I1=KBD_RC_IN[26] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][26] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[28] I1=KBD_RC_IN[28] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][28] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[31] I1=KBD_RC_IN[31] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][31] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[32] I1=KBD_RC_IN[32] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][32] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=com_msg[33] I1=KBD_RC_IN[33] I2=$abc$4763$n329_1 I3=$false O=$0\com_msg[33:0][33] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KBD_RC_EN[1] I1=$abc$4763$n352 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[2] I1=$abc$4763$n410_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[3] I1=$abc$4763$n408_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[4] I1=$abc$4763$n363_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[5] I1=$abc$4763$n342_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[8] I1=$abc$4763$n403 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[12] I1=$abc$4763$n395 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[14] I1=$abc$4763$n378_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[15] I1=$abc$4763$n418_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][15] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[16] I1=$abc$4763$n422 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][16] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[17] I1=$abc$4763$n334 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][17] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[18] I1=$abc$4763$n381 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][18] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[19] I1=$abc$4763$n375_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][19] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[20] I1=$abc$4763$n339_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][20] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[21] I1=$abc$4763$n373 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][21] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[22] I1=$abc$4763$n406_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][22] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[23] I1=$abc$4763$n369_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][23] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[24] I1=$abc$4763$n360_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][24] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[25] I1=$abc$4763$n399 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][25] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[26] I1=$abc$4763$n365_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][26] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[27] I1=$abc$4763$n347_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][27] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[28] I1=$abc$4763$n401 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][28] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[29] I1=$abc$4763$n416 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][29] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[30] I1=$abc$4763$n420 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][30] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[31] I1=$abc$4763$n412_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][31] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[32] I1=$abc$4763$n392 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][32] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KBD_RC_EN[33] I1=$abc$4763$n350_1 I2=$abc$4763$n298 I3=$false O=$0\KBD_RC_EN[33:0][33] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$4763$n454_1 I1=$abc$4763$n451_1 I2=$abc$4763$n449 I3=$false O=$abc$4763$n670 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$4763$n451_1 I1=$abc$4763$n348 I2=$false I3=$false O=$abc$4763$n676 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$4763$n451_1 I1=$abc$4763$n351 I2=$false I3=$false O=$abc$4763$n678 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$4763$n451_1 I1=$abc$4763$n354 I2=$false I3=$false O=$abc$4763$n679 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$4763$n4 I1=$false I2=$false I3=$false O=KBD_RC_EN[0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$4763$n6 I1=$false I2=$false I3=$false O=DBG_TX +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=RESET I1=$false I2=$false I3=$false O=$abc$4763$n11 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$4763$n13 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$4763$n706 I1=$false I2=$false I3=$false O=$abc$4763$n705 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$4763$n707 I1=$false I2=$false I3=$false O=$abc$4763$n710 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$4763$n712 I1=$false I2=$false I3=$false O=$abc$4763$n713 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$4763$n714 I1=$false I2=$false I3=$false O=$abc$4763$n715 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$4763$n445_1 I1=$abc$4763$n328_1 I2=$abc$4763$n444 I3=$abc$4763$n269 O=$abc$4763$n293 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110000000000000 +.gate SB_LUT4 I0=com_msg[10] I1=com_msg[26] I2=$0\tact_counter[16:0][13] I3=$0\tact_counter[16:0][12] O=$abc$4763$n594_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110010101111 +.gate SB_LUT4 I0=$abc$4763$n446 I1=$abc$4763$n30 I2=$abc$4763$n594_1 I3=$abc$4763$n464 O=$abc$4763$n595_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=com_msg[3] I1=com_msg[11] I2=$0\tact_counter[16:0][13] I3=$abc$4763$n30 O=$abc$4763$n596 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010000011001111 +.gate SB_LUT4 I0=$0\tact_counter[16:0][12] I1=$abc$4763$n446 I2=$abc$4763$n596 I3=$abc$4763$n473 O=$abc$4763$n597_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=com_msg[20] I1=com_msg[28] I2=$0\tact_counter[16:0][12] I3=$abc$4763$n30 O=$abc$4763$n598_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010000011001111 +.gate SB_LUT4 I0=$0\tact_counter[16:0][13] I1=$abc$4763$n446 I2=$abc$4763$n598_1 I3=$abc$4763$n482 O=$abc$4763$n599 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=com_msg[5] I1=com_msg[13] I2=$0\tact_counter[16:0][13] I3=$abc$4763$n30 O=$abc$4763$n600_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010000011001111 +.gate SB_LUT4 I0=$0\tact_counter[16:0][12] I1=$abc$4763$n446 I2=$abc$4763$n600_1 I3=$abc$4763$n487_1 O=$abc$4763$n601_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=com_msg[6] I1=com_msg[14] I2=$0\tact_counter[16:0][13] I3=$abc$4763$n30 O=$abc$4763$n602 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010000011001111 +.gate SB_LUT4 I0=$0\tact_counter[16:0][12] I1=$abc$4763$n446 I2=$abc$4763$n602 I3=$abc$4763$n494 O=$abc$4763$n603_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=com_msg[15] I1=com_msg[31] I2=$0\tact_counter[16:0][13] I3=$0\tact_counter[16:0][12] O=$abc$4763$n604_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110010101111 +.gate SB_LUT4 I0=$abc$4763$n446 I1=$abc$4763$n30 I2=$abc$4763$n604_1 I3=$abc$4763$n503 O=$abc$4763$n605 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=com_msg[33] I1=com_msg[1] I2=$abc$4763$n446 I3=$0\tact_counter[16:0][13] O=$abc$4763$n610_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=com_msg[17] I1=com_msg[9] I2=$abc$4763$n30 I3=$0\tact_counter[16:0][13] O=$abc$4763$n616_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110010101111 +.gate SB_LUT4 I0=$abc$4763$n446 I1=$0\tact_counter[16:0][12] I2=$abc$4763$n30 I3=$abc$4763$n616_1 O=$abc$4763$n617 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111011111111 +.gate SB_LUT4 I0=$0\tact_counter[16:0][13] I1=$abc$4763$n30 I2=$0\tact_counter[16:0][12] I3=$abc$4763$n328_1 O=$abc$4763$n619_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100011100 +.gate SB_LUT4 I0=$abc$4763$n446 I1=com_msg[25] I2=$abc$4763$n610_1 I3=$abc$4763$n30 O=$abc$4763$n620 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$4763$n617 I1=$abc$4763$n620 I2=$abc$4763$n619_1 I3=$abc$4763$n328_1 O=$0\UART_TX_DATA[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000011010101 +.gate SB_LUT4 I0=com_msg[24] I1=com_msg[16] I2=$0\tact_counter[16:0][12] I3=$abc$4763$n30 O=$abc$4763$n622_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100111110100000 +.gate SB_LUT4 I0=com_msg[8] I1=com_msg[0] I2=$abc$4763$n30 I3=$false O=$abc$4763$n623 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=com_msg[32] I1=$abc$4763$n623 I2=$0\tact_counter[16:0][13] I3=$false O=$abc$4763$n624_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$4763$n624_1 I1=$0\tact_counter[16:0][13] I2=$abc$4763$n622_1 I3=$0\tact_counter[16:0][12] O=$abc$4763$n625_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011000010101011 +.gate SB_LUT4 I0=com_en I1=$abc$4763$n329_1 I2=$abc$4763$n565 I3=$abc$4763$n423 O=$abc$4763$n626 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100110000001110 +.gate SB_LUT4 I0=$abc$4763$n626 I1=$abc$4763$n625_1 I2=$abc$4763$n457_1 I3=$false O=$0\UART_TX_DATA[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_CARRY CI=$abc$4763$n710 CO=$auto$alumacc.cc:474:replace_alu$503.C[2] I0=$false I1=$abc$4763$n706 +.attr src "top.v:31|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$503.C[2] CO=$auto$alumacc.cc:474:replace_alu$503.C[3] I0=$false I1=$abc$4763$n713 +.attr src "top.v:31|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$503.C[3] CO=$abc$4763$n681 I0=$false I1=$abc$4763$n715 +.attr src "top.v:31|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$0\tact_counter[16:0][12] CO=$abc$4763$n708 I0=$0\tact_counter[16:0][13] I1=$false +.attr src "top.v:86|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$4763$n11 I2=rststate[0] I3=$false O=$0\rststate[3:0][0] +.attr src "top.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$513.C[1] I0=$abc$4763$n11 I1=rststate[0] +.attr src "top.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$513.C[1] O=$0\rststate[3:0][1] +.attr src "top.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$513.C[1] CO=$auto$alumacc.cc:474:replace_alu$513.C[2] I0=$false I1=rststate[1] +.attr src "top.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$513.C[2] O=$0\rststate[3:0][2] +.attr src "top.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$513.C[2] CO=$auto$alumacc.cc:474:replace_alu$513.C[3] I0=$false I1=rststate[2] +.attr src "top.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$513.C[3] O=$0\rststate[3:0][3] +.attr src "top.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=tact_counter[0] I3=$false O=$abc$4763$n14 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[10] I3=$auto$alumacc.cc:474:replace_alu$516.C[10] O=$abc$4763$n563 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[10] CO=$auto$alumacc.cc:474:replace_alu$516.C[11] I0=$false I1=tact_counter[10] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[11] I3=$auto$alumacc.cc:474:replace_alu$516.C[11] O=$abc$4763$n30 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[11] CO=$auto$alumacc.cc:474:replace_alu$516.C[12] I0=$false I1=tact_counter[11] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[12] I3=$auto$alumacc.cc:474:replace_alu$516.C[12] O=$abc$4763$n564 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[12] CO=$auto$alumacc.cc:474:replace_alu$516.C[13] I0=$false I1=tact_counter[12] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[13] I3=$auto$alumacc.cc:474:replace_alu$516.C[13] O=$0\tact_counter[16:0][13] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[13] CO=$auto$alumacc.cc:474:replace_alu$516.C[14] I0=$false I1=tact_counter[13] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[14] I3=$auto$alumacc.cc:474:replace_alu$516.C[14] O=$abc$4763$n565 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[14] CO=$auto$alumacc.cc:474:replace_alu$516.C[15] I0=$false I1=tact_counter[14] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[15] I3=$auto$alumacc.cc:474:replace_alu$516.C[15] O=$abc$4763$n566 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[15] CO=$auto$alumacc.cc:474:replace_alu$516.C[16] I0=$false I1=tact_counter[15] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[16] I3=$auto$alumacc.cc:474:replace_alu$516.C[16] O=$abc$4763$n567 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=tact_counter[0] CO=$auto$alumacc.cc:474:replace_alu$516.C[2] I0=$false I1=tact_counter[1] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[2] I3=$auto$alumacc.cc:474:replace_alu$516.C[2] O=$abc$4763$n17 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[2] CO=$auto$alumacc.cc:474:replace_alu$516.C[3] I0=$false I1=tact_counter[2] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[3] I3=$auto$alumacc.cc:474:replace_alu$516.C[3] O=$abc$4763$n18 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[3] CO=$auto$alumacc.cc:474:replace_alu$516.C[4] I0=$false I1=tact_counter[3] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[4] I3=$auto$alumacc.cc:474:replace_alu$516.C[4] O=$abc$4763$n20 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[4] CO=$auto$alumacc.cc:474:replace_alu$516.C[5] I0=$false I1=tact_counter[4] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[5] I3=$auto$alumacc.cc:474:replace_alu$516.C[5] O=$abc$4763$n21 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[5] CO=$auto$alumacc.cc:474:replace_alu$516.C[6] I0=$false I1=tact_counter[5] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[6] I3=$auto$alumacc.cc:474:replace_alu$516.C[6] O=$abc$4763$n561 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[6] CO=$auto$alumacc.cc:474:replace_alu$516.C[7] I0=$false I1=tact_counter[6] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[7] I3=$auto$alumacc.cc:474:replace_alu$516.C[7] O=$abc$4763$n562 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[7] CO=$auto$alumacc.cc:474:replace_alu$516.C[8] I0=$false I1=tact_counter[7] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[8] I3=$auto$alumacc.cc:474:replace_alu$516.C[8] O=$abc$4763$n26 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[8] CO=$auto$alumacc.cc:474:replace_alu$516.C[9] I0=$false I1=tact_counter[8] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=tact_counter[9] I3=$auto$alumacc.cc:474:replace_alu$516.C[9] O=$abc$4763$n27 +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$516.C[9] CO=$auto$alumacc.cc:474:replace_alu$516.C[10] I0=$false I1=tact_counter[9] +.attr src "top.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=rc_counter[0] I3=$false O=$abc$4763$n668 +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=rc_counter[0] CO=$auto$alumacc.cc:474:replace_alu$519.C[2] I0=$false I1=rc_counter[1] +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rc_counter[2] I3=$auto$alumacc.cc:474:replace_alu$519.C[2] O=$abc$4763$n116 +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$519.C[2] CO=$auto$alumacc.cc:474:replace_alu$519.C[3] I0=$false I1=rc_counter[2] +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rc_counter[3] I3=$auto$alumacc.cc:474:replace_alu$519.C[3] O=$abc$4763$n117 +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$519.C[3] CO=$auto$alumacc.cc:474:replace_alu$519.C[4] I0=$false I1=rc_counter[3] +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rc_counter[4] I3=$auto$alumacc.cc:474:replace_alu$519.C[4] O=$abc$4763$n119 +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$519.C[4] CO=$auto$alumacc.cc:474:replace_alu$519.C[5] I0=$false I1=rc_counter[4] +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rc_counter[5] I3=$auto$alumacc.cc:474:replace_alu$519.C[5] O=$abc$4763$n669 +.attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$4763$n344 +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$522.C[2] I0=UART.tx_clk_counter[1] I1=$true +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$522.C[2] O=$abc$4763$n347 +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$522.C[2] CO=$auto$alumacc.cc:474:replace_alu$522.C[3] I0=UART.tx_clk_counter[2] I1=$true +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$522.C[3] O=$abc$4763$n348 +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$522.C[3] CO=$auto$alumacc.cc:474:replace_alu$522.C[4] I0=UART.tx_clk_counter[3] I1=$true +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$522.C[4] O=$abc$4763$n350 +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$522.C[4] CO=$auto$alumacc.cc:474:replace_alu$522.C[5] I0=UART.tx_clk_counter[4] I1=$true +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$522.C[5] O=$abc$4763$n351 +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$522.C[5] CO=$auto$alumacc.cc:474:replace_alu$522.C[6] I0=UART.tx_clk_counter[5] I1=$true +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$522.C[6] O=$abc$4763$n354 +.attr src "top.v:31|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$4763$n707 +.attr src "top.v:31|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$525.C[2] I0=UART.tx_bit_counter[1] I1=$true +.attr src "top.v:31|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$525.C[2] O=$abc$4763$n712 +.attr src "top.v:31|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$525.C[2] CO=$auto$alumacc.cc:474:replace_alu$525.C[3] I0=UART.tx_bit_counter[2] I1=$true +.attr src "top.v:31|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$525.C[3] O=$abc$4763$n714 +.attr src "top.v:31|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$4763$n710 I3=$true O=$abc$4763$n697 +.attr src "top.v:31|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$4763$n710 CO=$auto$alumacc.cc:474:replace_alu$528.C[2] I0=$false I1=$abc$4763$n706 +.attr src "top.v:31|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$4763$n713 I3=$auto$alumacc.cc:474:replace_alu$528.C[2] O=$abc$4763$n684 +.attr src "top.v:31|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_DFFESR C=CLK D=$abc$4763$n14 E=RESET Q=tact_counter[0] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n15 E=RESET Q=tact_counter[1] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n17 E=RESET Q=tact_counter[2] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n18 E=RESET Q=tact_counter[3] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n20 E=RESET Q=tact_counter[4] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n21 E=RESET Q=tact_counter[5] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n561 E=RESET Q=tact_counter[6] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n562 E=RESET Q=tact_counter[7] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n26 E=RESET Q=tact_counter[8] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n27 E=RESET Q=tact_counter[9] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n563 E=RESET Q=tact_counter[10] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n30 E=RESET Q=tact_counter[11] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n564 E=RESET Q=tact_counter[12] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\tact_counter[16:0][13] E=RESET Q=tact_counter[13] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n565 E=RESET Q=tact_counter[14] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n566 E=RESET Q=tact_counter[15] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$4763$n567 E=RESET Q=tact_counter[16] R=$abc$4763$n423 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\rc_counter[5:0][0] E=RESET Q=rc_counter[0] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\rc_counter[5:0][1] E=RESET Q=rc_counter[1] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\rc_counter[5:0][2] E=RESET Q=rc_counter[2] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\rc_counter[5:0][3] E=RESET Q=rc_counter[3] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\rc_counter[5:0][4] E=RESET Q=rc_counter[4] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\rc_counter[5:0][5] E=RESET Q=rc_counter[5] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$4763$n1 E=RESET Q=$abc$4763$n4 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][1] E=RESET Q=KBD_RC_EN[1] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][2] E=RESET Q=KBD_RC_EN[2] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][3] E=RESET Q=KBD_RC_EN[3] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][4] E=RESET Q=KBD_RC_EN[4] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][5] E=RESET Q=KBD_RC_EN[5] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][6] E=RESET Q=KBD_RC_EN[6] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][7] E=RESET Q=KBD_RC_EN[7] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][8] E=RESET Q=KBD_RC_EN[8] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][9] E=RESET Q=KBD_RC_EN[9] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][10] E=RESET Q=KBD_RC_EN[10] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][11] E=RESET Q=KBD_RC_EN[11] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][12] E=RESET Q=KBD_RC_EN[12] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][13] E=RESET Q=KBD_RC_EN[13] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][14] E=RESET Q=KBD_RC_EN[14] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][15] E=RESET Q=KBD_RC_EN[15] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][16] E=RESET Q=KBD_RC_EN[16] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][17] E=RESET Q=KBD_RC_EN[17] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][18] E=RESET Q=KBD_RC_EN[18] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][19] E=RESET Q=KBD_RC_EN[19] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][20] E=RESET Q=KBD_RC_EN[20] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][21] E=RESET Q=KBD_RC_EN[21] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][22] E=RESET Q=KBD_RC_EN[22] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][23] E=RESET Q=KBD_RC_EN[23] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][24] E=RESET Q=KBD_RC_EN[24] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][25] E=RESET Q=KBD_RC_EN[25] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][26] E=RESET Q=KBD_RC_EN[26] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][27] E=RESET Q=KBD_RC_EN[27] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][28] E=RESET Q=KBD_RC_EN[28] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][29] E=RESET Q=KBD_RC_EN[29] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][30] E=RESET Q=KBD_RC_EN[30] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][31] E=RESET Q=KBD_RC_EN[31] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][32] E=RESET Q=KBD_RC_EN[32] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\KBD_RC_EN[33:0][33] E=RESET Q=KBD_RC_EN[33] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSS C=CLK D=$2\com_msg[33:0][0] Q=com_msg[0] S=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFSS C=CLK D=$2\com_msg[33:0][1] Q=com_msg[1] S=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFSS C=CLK D=$2\com_msg[33:0][2] Q=com_msg[2] S=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFSS C=CLK D=$2\com_msg[33:0][3] Q=com_msg[3] S=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFSS C=CLK D=$2\com_msg[33:0][4] Q=com_msg[4] S=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFSS C=CLK D=$2\com_msg[33:0][5] Q=com_msg[5] S=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][6] E=RESET Q=com_msg[6] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][7] E=RESET Q=com_msg[7] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][8] E=RESET Q=com_msg[8] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][9] E=RESET Q=com_msg[9] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][10] E=RESET Q=com_msg[10] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][11] E=RESET Q=com_msg[11] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][12] E=RESET Q=com_msg[12] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][13] E=RESET Q=com_msg[13] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][14] E=RESET Q=com_msg[14] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][15] E=RESET Q=com_msg[15] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][16] E=RESET Q=com_msg[16] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][17] E=RESET Q=com_msg[17] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][18] E=RESET Q=com_msg[18] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][19] E=RESET Q=com_msg[19] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][20] E=RESET Q=com_msg[20] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][21] E=RESET Q=com_msg[21] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][22] E=RESET Q=com_msg[22] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][23] E=RESET Q=com_msg[23] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][24] E=RESET Q=com_msg[24] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][25] E=RESET Q=com_msg[25] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][26] E=RESET Q=com_msg[26] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][27] E=RESET Q=com_msg[27] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][28] E=RESET Q=com_msg[28] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][29] E=RESET Q=com_msg[29] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][30] E=RESET Q=com_msg[30] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][31] E=RESET Q=com_msg[31] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][32] E=RESET Q=com_msg[32] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\com_msg[33:0][33] E=RESET Q=com_msg[33] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSR C=CLK D=$4\com_en[0:0] Q=com_en R=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$0\UART_WR[0:0] E=$abc$4763$n269 Q=UART_WR +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][0] E=$abc$4763$n278 Q=UART_TX_DATA[0] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][1] E=$abc$4763$n278 Q=UART_TX_DATA[1] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][2] E=$abc$4763$n293 Q=UART_TX_DATA[2] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][3] E=$abc$4763$n293 Q=UART_TX_DATA[3] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][4] E=$abc$4763$n293 Q=UART_TX_DATA[4] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][5] E=$abc$4763$n293 Q=UART_TX_DATA[5] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][6] E=$abc$4763$n293 Q=UART_TX_DATA[6] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\UART_TX_DATA[7:0][7] E=$abc$4763$n293 Q=UART_TX_DATA[7] +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=CAPS_LOCK E=$abc$4763$n299 Q=NUM_LOCK S=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=SCROOL_LOCK E=$abc$4763$n299 Q=CAPS_LOCK R=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=NUM_LOCK E=$abc$4763$n299 Q=SCROOL_LOCK R=$abc$4763$n11 +.attr src "top.v:35|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFNSR C=CLK D=$abc$4763$n670 Q=UART.tx_activity R=$abc$4763$n11 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFNE C=CLK D=$abc$4763$n3 E=$abc$4763$n307 Q=$abc$4763$n6 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$4763$n344 E=$abc$4763$n305 Q=UART.tx_clk_counter[0] R=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$4763$n673 E=$abc$4763$n305 Q=UART.tx_clk_counter[1] R=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$4763$n347 E=$abc$4763$n305 Q=UART.tx_clk_counter[2] R=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$4763$n676 E=$abc$4763$n305 Q=UART.tx_clk_counter[3] S=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$4763$n350 E=$abc$4763$n305 Q=UART.tx_clk_counter[4] R=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$4763$n678 E=$abc$4763$n305 Q=UART.tx_clk_counter[5] S=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$4763$n679 E=$abc$4763$n305 Q=UART.tx_clk_counter[6] S=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$4763$n707 E=$abc$4763$n307 Q=UART.tx_bit_counter[0] S=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$4763$n705 E=$abc$4763$n307 Q=UART.tx_bit_counter[1] R=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$4763$n712 E=$abc$4763$n307 Q=UART.tx_bit_counter[2] R=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$4763$n714 E=$abc$4763$n307 Q=UART.tx_bit_counter[3] S=$abc$4763$n13 +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=UART_WR E=RESET Q=UART.TX_sig_last +.attr src "top.v:31|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_IO D_IN_0=KBD_RC_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[0] PACKAGE_PIN=KBD_RC[0] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[10] PACKAGE_PIN=KBD_RC[10] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[11] PACKAGE_PIN=KBD_RC[11] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[12] PACKAGE_PIN=KBD_RC[12] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[13] PACKAGE_PIN=KBD_RC[13] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[14] PACKAGE_PIN=KBD_RC[14] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[15] PACKAGE_PIN=KBD_RC[15] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[16] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[16] PACKAGE_PIN=KBD_RC[16] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[17] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[17] PACKAGE_PIN=KBD_RC[17] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[18] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[18] PACKAGE_PIN=KBD_RC[18] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[19] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[19] PACKAGE_PIN=KBD_RC[19] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[1] PACKAGE_PIN=KBD_RC[1] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[20] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[20] PACKAGE_PIN=KBD_RC[20] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[21] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[21] PACKAGE_PIN=KBD_RC[21] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[22] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[22] PACKAGE_PIN=KBD_RC[22] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[23] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[23] PACKAGE_PIN=KBD_RC[23] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[24] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[24] PACKAGE_PIN=KBD_RC[24] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[25] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[25] PACKAGE_PIN=KBD_RC[25] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[26] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[26] PACKAGE_PIN=KBD_RC[26] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[27] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[27] PACKAGE_PIN=KBD_RC[27] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[28] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[28] PACKAGE_PIN=KBD_RC[28] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[29] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[29] PACKAGE_PIN=KBD_RC[29] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[2] PACKAGE_PIN=KBD_RC[2] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[30] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[30] PACKAGE_PIN=KBD_RC[30] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[31] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[31] PACKAGE_PIN=KBD_RC[31] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[32] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[32] PACKAGE_PIN=KBD_RC[32] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[33] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[33] PACKAGE_PIN=KBD_RC[33] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[3] PACKAGE_PIN=KBD_RC[3] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[4] PACKAGE_PIN=KBD_RC[4] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[5] PACKAGE_PIN=KBD_RC[5] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[6] PACKAGE_PIN=KBD_RC[6] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[7] PACKAGE_PIN=KBD_RC[7] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[8] PACKAGE_PIN=KBD_RC[8] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KBD_RC_EN[9] PACKAGE_PIN=KBD_RC[9] +.attr src "top.v:187" +.param PIN_TYPE 101001 +.param PULLUP 1 +.names NUM_LOCK DBG_LED[0] +1 1 +.names CAPS_LOCK DBG_LED[1] +1 1 +.names SCROOL_LOCK DBG_LED[2] +1 1 +.names CLK UART.CLK +1 1 +.names RESET UART.RESET +1 1 +.names UART.tx_activity UART.TX_ACTIVITY +1 1 +.names UART_TX_DATA[0] UART.TX_BYTE[0] +1 1 +.names UART_TX_DATA[1] UART.TX_BYTE[1] +1 1 +.names UART_TX_DATA[2] UART.TX_BYTE[2] +1 1 +.names UART_TX_DATA[3] UART.TX_BYTE[3] +1 1 +.names UART_TX_DATA[4] UART.TX_BYTE[4] +1 1 +.names UART_TX_DATA[5] UART.TX_BYTE[5] +1 1 +.names UART_TX_DATA[6] UART.TX_BYTE[6] +1 1 +.names UART_TX_DATA[7] UART.TX_BYTE[7] +1 1 +.names DBG_TX UART.TX_LINE +1 1 +.names UART_WR UART.TX_SIGNAL +1 1 +.names DBG_TX UART.tx_line +1 1 +.names UART.tx_activity UART_ACTIVE +1 1 +.names DBG_TX UART_TX_LINE +1 1 +.names NUM_LOCK dbg_led[0] +1 1 +.names CAPS_LOCK dbg_led[1] +1 1 +.names SCROOL_LOCK dbg_led[2] +1 1 +.end