diff --git a/Wasd_test/Firmware/i2c_kbd_alt.blif b/Wasd_test/Firmware/i2c_kbd_alt.blif new file mode 100644 index 0000000..370d95b --- /dev/null +++ b/Wasd_test/Firmware/i2c_kbd_alt.blif @@ -0,0 +1,190 @@ +# Generated by Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os) + +.model top +.inputs CLK I2C_SCL I2C_SDA INTERRUPT KBD_RC[0] KBD_RC[1] KBD_RC[2] KBD_RC[3] KBD_RC[4] KBD_RC[5] KBD_RC[6] KBD_RC[7] KBD_RC[8] KBD_RC[9] KBD_RC[10] KBD_RC[11] KBD_RC[12] KBD_RC[13] KBD_RC[14] KBD_RC[15] KBD_RC[16] KBD_RC[17] KBD_RC[18] KBD_RC[19] KBD_RC[20] KBD_RC[21] KBD_RC[22] KBD_RC[23] KBD_RC[24] KBD_RC[25] KBD_RC[26] KBD_RC[27] KBD_RC[28] KBD_RC[29] KBD_RC[30] KBD_RC[31] KBD_RC[32] KBD_RC[33] +.outputs DBG_LED[0] DBG_LED[1] DBG_LED[2] DBG_TX I2C_SDA INTERRUPT KBD_RC[0] KBD_RC[1] KBD_RC[2] KBD_RC[3] KBD_RC[4] KBD_RC[5] KBD_RC[6] KBD_RC[7] KBD_RC[8] KBD_RC[9] KBD_RC[10] KBD_RC[11] KBD_RC[12] KBD_RC[13] KBD_RC[14] KBD_RC[15] KBD_RC[16] KBD_RC[17] KBD_RC[18] KBD_RC[19] KBD_RC[20] KBD_RC[21] KBD_RC[22] KBD_RC[23] KBD_RC[24] KBD_RC[25] KBD_RC[26] KBD_RC[27] KBD_RC[28] KBD_RC[29] KBD_RC[30] KBD_RC[31] KBD_RC[32] KBD_RC[33] +.names $false +.names $true +1 +.names $undef +.gate SB_IO D_IN_0=KBD_RC_IN[0] D_OUT_0=$false OUTPUT_ENABLE=$true PACKAGE_PIN=KBD_RC[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[10] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[11] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[12] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[13] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[14] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[14] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[15] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[15] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[16] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[16] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[17] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[17] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[18] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[18] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[19] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[19] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[1] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[20] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[20] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[21] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[21] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[22] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[22] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[23] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[23] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[24] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[24] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[25] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[25] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[26] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[26] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[27] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[27] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[28] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[28] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[29] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[29] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[2] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[30] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[30] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[31] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[31] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[32] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[32] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[33] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[33] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[3] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[4] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[5] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[6] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[7] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[8] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.gate SB_IO D_IN_0=KBD_RC_IN[9] D_OUT_0=$false OUTPUT_ENABLE=$false PACKAGE_PIN=KBD_RC[9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:121" +.param PIN_TYPE 101001 +.param PULLUP 1 +.names $false DBG_LED[0] +1 1 +.names $false DBG_LED[1] +1 1 +.names KBD_RC_IN[23] DBG_LED[2] +1 1 +.names $true DBG_TX +1 1 +.names CLK UART.CLK +1 1 +.end diff --git a/Wasd_test/Firmware/i2c_kbd_detect.asc b/Wasd_test/Firmware/i2c_kbd_detect.asc new file mode 100644 index 0000000..c7b53cf --- /dev/null +++ b/Wasd_test/Firmware/i2c_kbd_detect.asc @@ -0,0 +1,6068 @@ +.comment arachne-pnr 0.1+325+0 (git sha1 840bdfd, g++ 7.3.0-27ubuntu1~18.04 -O2) +.device 1k +.io_tile 1 0 +010000000000000010 +000000000000000000 +000000000000000000 +000000000000000001 +000001010010000001 +000011011001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000010000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 2 0 +000000000000011010 +000000000000000000 +000000000000000000 +000001110000000001 +000000000011000001 +000000000001000001 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +000000000011000000 +000010000000000000 +000011010000000001 +000000000000000001 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000010 +000000000000000000 +010000000000000000 +000000000000000001 +000001110010000001 +000000000001000000 +000000000000000000 +000001110000000000 +000000000000000000 +000000000000000000 +000000000010000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 5 0 +000000000000000010 +000011110000000000 +000000000000000000 +000000000000000001 +000000110010000001 +000000001001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 6 0 +000000000000000010 +000100000000011000 +000000000000000000 +000000000000000001 +000000111001000101 +000000001001000100 +001000000000000000 +000000000000000000 +000000000000000000 +000000110000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000000100 +000000011000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000011000010 +000000000001000000 +000001111000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 8 0 +000000000000000010 +000000000000000000 +000000000000000000 +000000000000000001 +000000000001000001 +000000000001000000 +000000000000000000 +000000000000000000 +000010000000000000 +000001010000000000 +000000110001000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 9 0 +000001111000000010 +000000001000000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000001000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000010011100000000000000000000000000000000000 +000000000000000101000011100000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000001011000000010000001000000 +000000000000000000000000001101101000000000000000000000 +000000000000000000000000010111011110000010000000100000 +000000000000000000000010011011101000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000011011001001100000000010000000 +000000000000000000000010100101111111000000000000000000 +.logic_tile 5 1 +000000000000000000000010100000000000000000000000000000 +000000000000000101000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000010000000000000000010010000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000001011100000010000000000000 +000000000000000000000000001011101000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 1 +000000000000000000000111100001001110000010000000000000 +000000000100000101000100001011101000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000010000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 1 +000000000000001000000111100000000000000000000000000000 +000000000000000101000110100000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000010011101001000010000000000000 +000000000000000000000010001001011000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000001101000000000000010000000000 +100000000000000000000000000011101000000000000000000100 +000000000000001011100000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +.logic_tile 8 1 +000000000000001000000000000000000000000000000000000000 +000000000000001001000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000011011100000010000000000000 +000000000000000000000000001001111000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 2 +000000000000000101100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000000000000000000000001101010000000000100000010 +000000000000001011000000001011001110100000000000000000 +010000000000000101100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000101011000010000000110000000 +000000000000000000000000001011001110000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000001011000100000000000000000000000000000000 +000000000000000001000000000001101100000000000100000000 +000000000000000000100000000001001101100000000001000000 +000100000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +110000000000000000000000000001100001000000010100000000 +000000000000000000000000000111001100000000000010000001 +.logic_tile 6 2 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 2 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +110000000000000000000000000001100000000001000100000000 +100000000000000000000000001111100000000011001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100101100000000001000100000000 +000000000000000000000000001111100000000011001000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 9 2 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +100000000000001101000000000000000000000000000000000000 +000000000000000101000000000000000000000000000100000001 +000000000000000000100000000101000000000010000000000000 +000000000000000000000000001000000000000000000100000001 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000001011001010000010000000000001 +000000000000000000000000000011011000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 3 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 3 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000001011100000000001000100000100 +000000000000000000000000001011100000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111010000000000000000000000000000 +000000000000000000000110110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 3 +000000000000000011100000000011000000000000001000000000 +000000000000000000100010100000100000000000000000001000 +000000000000000011000000000101000000000000001000000000 +000000000000000000000000000000101000000000000000000000 +000000000000000011100110000101101000111100001000000000 +000000000000000000100100000000001010111100000000000000 +000000000000001001100000000111001000111100001000000000 +000000000000001001000010100000001000111100000000000000 +000000000000000000000111100001101000111100001000000000 +000000000000000000000100000000001010111100000010000000 +000000000000000000010000000001001000111100001000000000 +000000000000000000000000000000101000111100000000000000 +000000100000000101000000000101101000000011110000000000 +000001000000000000000000000000100000111100000000000000 +000000000000000000000000000001011010000011110000000000 +000000000000000000000000000000100000111100000000000000 +.logic_tile 9 3 +000000000000000000000000010101000000000011000000000000 +000000000000000000000010101011100000000000000000000000 +000000000000001001100110010011101010000011110000000000 +000000000000000001000010000000110000111100000000000000 +000000000000001001100110110000000000000000000000000000 +000000000000000001000011100000000000000000000000000000 +000000000000000111100110110001111001000100000000000010 +000000000000000000100010100101011000000000000000000001 +000000000000000000000000000101000000001111000000100000 +000000000000000000000010000000100000110000110000000000 +000000000000000000000000000011101000000011110000000000 +000010100000000001000010000000010000111100000000000000 +000000000000000111100000000001111011000010000000000000 +000000000000000000100000000101111011000000000000000000 +000000000000000000000000000111011010000011110001000000 +000000000000000000000000000000000000111100000000000000 +.ramb_tile 10 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 3 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000001000000 +110000000000000000000000000000000000000000000000000000 +100000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 4 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101000000000001000100000100 +001000000000000000000000001111100000000000000000100000 +110000000000000000000011000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +.logic_tile 6 4 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 4 +000000000000000000000000001111100000000001000100100000 +001000000000000000000000000011000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +.logic_tile 8 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 9 4 +100000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000101000000000001000100000000 +000000000000000000000000001001000000000011001000000010 +110000000000000101000000000000000000000000000000000000 +101000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +101000000000000000000000000000000000000000000000000000 +.ramt_tile 10 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 12 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 5 +010000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 5 +010000000000000001000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000101100000000000000000000000 +000000000000000000000000000001100000000001000000000000 +000000000000000001100110000111001110000010000000000000 +000000000000000000000000000101101011000000000000000000 +000000000000000000000010100011000000000000000000000000 +000000000000000000000100000001100000000001000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000010010101000000000010000000000000 +000000000000000000000000000000000000000000000100000100 +000000000000000000000000001001000000000010000000000000 +000000000000000000000000010000000000000000000000000000 +001000000000000101000010100000000000000000000000000000 +010000000000000000000000000000000000000000000100000000 +000000000000000000000000001111000000000010000000100000 +.logic_tile 6 5 +010010100000000001000110011001000000000000000000000000 +000000001010000000100010100101001001000000010000000001 +111000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000111100000000001000000000000 +000000000000000001100000000000000001011010010000000000 +000000000000000000000000000000001001100101100000000000 +000000000000000011100000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000011100000000000000000000000 +000000000000000000000010110011100000000001000000000000 +000000000000000011100000001000000000000000000100000100 +001000000000000000000000000101000000000010000000100000 +010000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 5 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 5 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000011001001111100100000000000000000 +000000000000000000000000001001111011000000000000100000 +111000000000000001000010010000000000000000000000000000 +000000000000000000100110000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000001011100000000000000100000000 +000000000000000000100000000001000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000110000000000000000000000100000000 +000000000000000101000000001001000000000010000000000000 +000000000000000000000000011111000000000000000100000000 +000000000000000000000010100101100000000001000000000000 +010000000000000101100000001111100000000000000101000000 +000000000000000000000000000001000000000001000000000000 +.logic_tile 5 6 +000000000000000011000000000001100000000000001000000000 +000000000000000000000010010000100000000000000000001000 +000000000000000101000010110000000001000000001000000000 +000000000000000000000010100000001101000000000000000000 +000001000000000000000110100000001001001100111000000100 +000000100000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111000000001 +000000000000000101000000000000001000110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000110100000001000001100111000000001 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +.logic_tile 6 6 +000000000000000000000010100101100000000000000000000000 +000000000000001011000000000111100000000011000000000100 +111000000000001000000110111111111011100000000000000000 +000000000000001011000010100011001101000000000000000100 +000000000000001011100010110111101111100000000000000001 +000000000000000001000110100101001101000000000000000000 +000000000000001001100011111111011000111110000000000001 +000000000000000101000011100101101011111100000000000000 +000101000000000000000010000001011011000000000000000000 +000000000000000000000000000001101011100000000000000000 +000000000000000101100111011001101111000000000000000000 +000000000000000000000110101111111001100000000000000000 +000000000000000111100111000011000001000000010000000001 +000001000000001011100000001101101111000000000000000000 +010000000000001011100110010000000000000000000100000000 +000000000000001001100111010101000000000010000001000000 +.logic_tile 7 6 +000000000000000011100111100111001100000000000000000000 +000000000000010000100110011111001100100000000000000000 +111000000000000000000000000011100000000010000000000000 +000000000000000000000000000001100000000000000000000000 +000000000000001001100000001011101010111100000010000000 +000000000000000001000000000011101010011100000000000000 +000000000010001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000011000000000001000000000000000000100000 +000000000000001101100000000111100000000001000000000000 +000000000000001000000110111111100001000000000000000000 +000000000000000101000010100111101010000000010000000000 +000010000000011011100110100000000000000000000100000000 +000000000000101001100000001001000000000010000000000010 +010000000000001000000110100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +.logic_tile 8 6 +000100000000000101100000000011000001000000001000000000 +000000000000000000000000000000001100000000000000001000 +111000000000000000000000000111000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000101100000000101100001000000001000000000 +000000000000000000000000000000001110000000000000000000 +000000000000001000000000000000001000111100000000000000 +000000000000000101000000000000000000111100000000000000 +000000000000000011100000001111100000000010000100000000 +000000000000000000100000000111000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000101000000000111100000000010000100100000 +000000000000000000100000000101000000000000000000000010 +010000000000000101100000001011100000000011000100000000 +000000000000000011000000000111000000000010001000000000 +.logic_tile 9 6 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000001011100110100000000001000000001000000000 +000000000000000101100000000000001000000000000000000000 +000000000000000000000000010000001001001100110000000000 +000000000000000000000010000000001000110011000000000000 +000000000000001000000110110000000000000000000000000000 +000000000000000101000010000000000000000000000000000000 +000000000000000000000000001101100000000010100000000000 +000000000000000000000011111101101101000001100000000000 +000000000000000000000000000011000001000010000000000000 +000000000000000000000000001101101010000011000000000000 +000000000000000000000000000000000001011010010000000000 +000000000000000000000000000000001011100101100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +.logic_tile 11 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +000000000000001000000000001101101010000010000000000000 +000000000000000001000000000101111011000000000000000000 +111000000000001011100000001001011001100000000000000001 +000000000000000111000000000001001010000000000001000000 +000000000000000000000110000000000000000000000000000000 +000000000000000011000010110000000000000000000000000000 +000000000000000101000000010111100000000000000100000000 +000000000000001001000010001011100000000001000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111100111100000000000000100000000 +000000000000000000000000001111100000000001000000000000 +010000000000000000000110110000000000000000000100000000 +000000000000000000000010100101000000000010000010000000 +.logic_tile 5 7 +000000000000000000000110110000001001001100111000000000 +000000000000000000000010110000001101110011000000010000 +000000000000000111100110110000001001001100111001000000 +000000000000000000000010100000001001110011000000000010 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001111110011000000000010 +000000000000000000000000000000001001001100111001000000 +000000000000000000000000000000001000110011000000100000 +001000000000000000000000000000001000001100111000100000 +000000000000000000000000000000001001110011000000000000 +000000000000000001100000010000001001001100111000100001 +000000000000000000100010100000001100110011000000000001 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000110100000001000001100111000000000 +000000000000000000000000000000001001110011000000000000 +.logic_tile 6 7 +000000000000001001100111011101001000111110000000000010 +000000000110000101000110000101011011111100000000000000 +111000000000000011000110000001000000000000000000000000 +000000000000001101000000001001000000000001000000000000 +000000000000001001100111011001100000000000000001000000 +000000000000000101000010100001000000000001000000000000 +000000000000001011100000011011111111000010000000000000 +000000000010000001000010001101111001000000000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000000001100000000001000000000000 +000000000000000000000000010001000001000000100000000000 +000000000000000000000011100001101010000000000000000000 +000000000000010000000000000000000000000000000100000000 +000000000000100000000000000101000000000010000000000000 +010000000000100000000110101000000000000000000100000000 +000000001001010000000000000101000000000010000000000000 +.logic_tile 7 7 +000000000000000001100000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +111010000010000101100000001001100000000000000000000000 +000000000000001011000000000111000000000001000000000000 +000000000000000011100000000101111011001100000000000000 +000000000000000000100011011001011100000101000000000000 +000000000000000000000000001101000001000000010010000000 +000000000000001011000011011111001000000000000000000000 +000000000000000000000000001101000001000011010000000000 +000000000000000000000000000011101000000010110000000110 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000101000000 +000000000000001101000011000001000000000010000000000000 +.logic_tile 8 7 +000000000000000101100111110111100000000001000000000000 +000000000000000000000010100101100000000000000000000000 +111001100001001001100000011001001100000011000000000000 +000001000000000101000011111011101111000011010000000000 +010000000000000101100110011101000001000000010000000000 +100000000000000000000010101101101000000000110000000000 +000000000000001000000110010001000001000000010000000000 +000000000000000101000011111011101010000000110000000000 +000010000000001000000110101101011000000011000000000000 +000000000000000001000000001001101011000011010000000100 +000000000000000000000111000111100000000001000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000011101100001000001010000000000 +000000000000010000000010000111101000000001100000100000 +000000000000000101100000000000000000000000000100000000 +000000000000000000000010101001000000000010000000000000 +.logic_tile 9 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000011111101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +111000000000000000000000000000000000000000000100000000 +000000000000000000000000000000000000000010000000000100 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001000 +001100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +010011010000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 8 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000101000000000000000101000000 +000000000000000000000000000101000000000001000000000000 +.ramt_tile 3 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000000000000000000001101011010100000000000000001 +000000000000000000000000001001111011000000000000000000 +111000000000000000000000010000000000000000000000000000 +010000000000000011000010000000000000000000000000000000 +000000000000000000000110001011000000000000000100000001 +000000000000000000000011000001000000000001000000000000 +000000000000000000000000011011100000000000000101000000 +000000000000000011000011110101000000000001000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000011111000000000000000100000000 +000000000000000000000010100001100000000001000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 8 +000000000000000001100000000000001001001100111000000000 +000000000000000000000010010000001101110011000000010001 +000000000000000111000000010000001001001100111000000000 +000000000000000000000010100000001000110011000000000000 +000100000000110011000110010000001001001100111000000000 +000100000001110000100010000000001001110011000000000000 +000000000000001000000010100000001001001100111001000000 +000000000000000001000010100000001110110011000000000000 +000001000000000000000000000000001000001100111000000000 +000000100000000001000000000000001010110011000000000000 +000000000000000000000110110000001001001100110000000000 +000000000000000000000010100000001101110011000010000000 +000000000000000000000000000101011010100000000000000000 +000000000000000000000000000011101011000000000000000010 +000000000000000000000000001101101000000100000000000000 +000000000000001111000000001101111000000000000000000010 +.logic_tile 6 8 +000000000000000001100111101011000000000000000000000000 +000000000000000000000100001001100000000001000000000001 +111000000000001101000111101111000000000000000000000000 +000000000000000001100110000111100000000001000000000000 +000000000100001000000110110000000000000000000000000000 +000000000000000101000111010000000000000000000000000000 +000000000000001001000111101111111110000000000000000000 +000000000000000001000100000001011000100000000000000001 +000000000000000000000110001001000000000001000000100100 +000000000000000000000000000101100000000000000000000010 +010000000000000000000000000101000001000000010000000000 +010000000000000000000000001101101001000000000000000000 +000000000100000000000110001101000000000000000100000010 +000000000000000000000100000001000000000001000000000000 +010000000000001001100011001101100000000000000100000000 +000000000000001001000000000101100000000001000001000000 +.logic_tile 7 8 +000000000000001111100000001001001011000101000000000000 +000000000000000101100000001001001010000110000000000000 +111000000000001000000111110111100001000001010000000000 +000000000000000101000110001011101101000010010000000010 +010000000000001000000000001001101011001001000000000000 +000000000000000101000010101111101010001010000000000000 +000000000000010011100111100111011010101000000000000000 +000000000000001101100111011111101011100100000000000000 +000000000000000000000010101011100000000000000000000000 +000000000000000000000100000001000000000001000000000010 +000000000000000111000010001001100001000001010000000001 +000000000000000000100100000101101101000010010000000000 +000000000100000000000010111001000000000001100100000000 +000000000000000000000111011001001000000010100000000000 +000000000000000000000110001101100000000001010100100000 +000000000000000000000000001101101010000010010000000000 +.logic_tile 8 8 +000000000000000011100111001011000001000001010000000000 +000000000000000000000000001011101110000001100000000001 +111000000000001000000000011011111110101000000000000000 +000000000000000101000011110111001111100100000000000000 +110000000000001101000110110101011010101011000100000000 +000000000000000101000010100111001010111111000000000100 +000000000000001011100011110101011000110001010100000000 +000000000000000101100111111101101000110011110000000001 +000000001110001001000010100101011011101011000100000000 +000000000000001111100100001101101010111111000000000000 +000000000000000000000000010101101101101001010100000000 +000000000000001101000010101001101011100101010000000000 +001000000000001001100111010011001111101001010100000000 +000000000000001111000010011111001001100101010000000000 +000000000000001011100000000111011000110001010100000000 +000000000000000101100000000101001100110011110000000000 +.logic_tile 9 8 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011101011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 8 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000010000000000000101000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000001110000000100 +000000000000000100 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000000000000000 +000000000000000000 +000000011000000000 +000000001000000000 +000000000000001100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000100000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +111000000000000111000000001001000000000001000100000100 +000000000000000000000000000011000000000000000000000000 +010000000000000111100000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000001001000000000001000100100000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101000000000001000100000010 +000000000000000000000000000101000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000000001111110000100000000000010 +000000000000000000000000001101101110000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +.logic_tile 6 9 +000000000000000001100111000000000000000000000000000000 +000000000000000001000110000000000000000000000000000000 +111000000000000001000000010101101001100101010000000000 +000000000000000000000010000011111000101001010000000001 +010000000000000000000110000001001100100000000000000000 +000000001010001101000000000001111111000000000000000000 +000000000000000000000110000001101001101001010000000000 +000000000000000000000011010101111000100101010000000000 +010000000000000011000011110000000000000000000000000000 +010000000000000000100010000000000000000000000000000000 +000000000000000000000000001011000000000001000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000000000110100000000000000000000100000000 +000000001010000000000100001101000000000010000000000000 +000001000000000000000000000000000000000000000100000000 +000000100000000000000000000001000000000010000000000000 +.logic_tile 7 9 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000001001000000000000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000110010101101111100101010000000000 +000000000000000000100010000011111000101001010000000010 +010000000000000101000110001101101101100101010000000000 +010000000000000000100100000101111001101001010000000000 +010100000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000110001000000000000000000100000000 +000000000000000000000100000001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +.logic_tile 8 9 +000000000000000001100110001101100000000001010000000000 +000000000000000000000010000101001101000001100000000000 +000000000000000000000000000001100000000010100000000000 +000000000000000000000010100011101111000001100000000000 +000000000000001000000110000000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +000000000000000101000010100011000000000011000000000000 +000000000000000101000000001101000000000000000000000000 +000000000000001011100000010000000000000000000000000000 +000000000000001011000010100000000000000000000000000000 +000000000000000101100000000001001011001001000000000000 +000000000000000000000000000101111100001010000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000101100000000101111110100100000000000000 +000000000000000000000000000001101001101000000000000000 +.logic_tile 9 9 +000000000001010000000000000101100000000000001000000000 +000000000000001001000000000000000000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001101000000000000000000 +000000000000000101000000000000001000001100110000000000 +000000000000000000100000000000001010110011000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000010000000000001001111000000000000 +000000000000000000000100000000001010110000110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000010110000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +010000000000001011100000001001100000000000000000000000 +100000000000000001100000000101000000000001000000000100 +000000000000000000000000001101000001000011000000000000 +000000000000000000000000000101101001000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +.io_tile 13 9 +000000011000000000 +000100001000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 10 +000000000000000000000000000001100000000000000000000110 +000000000000000000000000000111100000000001000001000000 +000000000000000000000010001001100000000000000000000001 +000000000000001101000100001001001100000000010000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 10 +000100000000001000000010101101011001101001010000000000 +000000000000000001000100000001111010011001010000000001 +111000000000000001000011100011111011010110100010000010 +000000000000001101100000001001011000100110100000000011 +000000000000100001100000010000000000000000000100000000 +000000000000000000000010000001000000000010000000000000 +000001000000000001000011100000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000010000000000000000000000000000010000100000000 +000000000000000000000000000101000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 10 +000000000000001001100111010001101000000010000000000110 +000000000000001111000011110001011001000000000000000000 +111011100000000111000111100000000000000010000000000001 +000001100000000000000100000000000000000000000000000100 +010000000000001101100000010000000000000000000000000000 +100000000000000101000011110000000000000000000000000000 +000000000000000111000000001101101010000100000000000000 +000000000000000000000000001001001011000000000010000000 +000000000000000000000000000101000000000001000000000010 +000000000000001001000000000011000000000000000000000001 +000000000000000000000000000000000000000000000100000000 +000000000000100000000000000001000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 10 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000101000000001101101010000111110100000000 +000000000000000000000000000001101110000011110000000100 +110000000000000111100000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000110100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 10 +000000000000000000000000001101111000000100000000000000 +000000000000000000000000000101111011000000000000000000 +000000000000000000000010101000000000000010000000000000 +000000000000000001000100001101000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000001011000100000000000000000000000000000000 +110000000000000011000000000111011001001100000000000000 +110000000000001101100010110101001010001000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +100000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000001000010000100100000 +000000000000000000000000001101001000000011000001000010 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000001010000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 11 +000000000000000000000110001001100001000010010000000101 +000000000000000000000000000101101111000011000001000100 +111000000000000001000110010000000001011010010000000000 +000000000000000000000010000000001001100101100000000000 +000000000000000001100000001101111001000011110000000000 +000000000000000000000000000011001001100011110000100000 +000000000000000001000110001101000001000001010010000101 +000000000000000001000000001001001010000010010000000011 +010000000000000000000000000011111011100000100010000001 +100000000000000000000000000101101011000100100000000000 +000000000000001000000110110000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +000000000000000000000000001011100001000000110000000000 +000000000000000000000000001101101101000000000000000000 +010000000000000000000110100000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 +.logic_tile 6 11 +000000000000000000000000000001100000000000001000000000 +000000000000000000000010100000100000000000000000001000 +111000000000000001100110100000000001000000001000000000 +000000000000000000000000000000001101000000000000000000 +000010100000001101100110010000001001001100111000000000 +000000000000000101000010000000001011110011000000000000 +000010100000010000000010100000001001001100111000000000 +000000000100000101010100000000001010110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000110000000001001001100110000000001 +000000000000000000000000000000001111110011000000000000 +000000001110000000000000000101011000000010000000000000 +000000000000000000000000000101101011000000000000000000 +010000100000000000000000010000000000000010000100000000 +000001000000000000000010100101000000000000000000000000 +.logic_tile 7 11 +000000000000001000000000011011011000001001000000000000 +000000000000000001000010001001111100001010000000000000 +111000000000000001000111110000000000000000000000000000 +000001000000000000000110000000000000000000000000000000 +000000000000000000000000001011000001000010100000000001 +000000000000000000000000001001101011000001100000000010 +000000000000000000000111100001000001000000010000000100 +000000000000000000000100000011001000000000000000000000 +010000000000011001000111000000000000000000000000000000 +100000000000101001100000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000100000000 +000000000000000000000000001001000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 11 +100000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001000000000000000000100000000 +000000000000000000000000001111000000000010000010000000 +010000000000000000000110000000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000010000000000000000001000000000010001000000000 +000001000001000000000000000000000000000000000100000000 +000000100000000000000000001111000000000010001000000000 +000000000000000000000110101000000000000010000100000000 +000000000000000000000000001011000000000000000010000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000010000000000000000000000000000 +100000000000000000000010100000000000000000000000000000 +.logic_tile 9 11 +000000000000000011000111100001000000000000001000000000 +000000000000000000100100000000000000000000000000001000 +000000000000001001100111100101100000000000001000000000 +000000000000000101000000000000101001000000000000000000 +000000000000000000000111110001101000111100001000000000 +000000000000000000000110000000101011111100000000000000 +000000000000000111100111100001101000000011110000000000 +000000000000000000000100000000000000111100000000000000 +000000000000000000000000001001100000000000000010000000 +000000000000000000000000000001000000000001000000000000 +000000000000000000000000000101111011000100000000000000 +000000000000000000000000000001101001000000000000000000 +000000000000000000000000000001101010000011110000000000 +000000000000000000000000000000110000111100000000000000 +000000000000000000000000000101100000000000000000000000 +000000000000000000000000000001100000000011000000000110 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000101100000001111000011000010 +000000000000000000100000000000000000110000110001000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 0 12 +000000000000000010 +000100000000000000 +000000011000000000 +000000001000000001 +000010000000010010 +000010010000010000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.logic_tile 1 12 +010000000001000000000110010000000000000000000000000000 +001000000000000000000011000000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000100000000 +000000000000000000000000001001000000000000001000000000 +000000000000000000000000001000000000000010000100000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 12 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 12 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 12 +010000000000000000000000000000000000000000000000000000 +001000000000000000000010100000000000000000000000000000 +111000000000001001100110000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000100000000000001111011110010000000000000000 +000000000000000000000010100101011111000000000000100100 +000000000000000001100110000001100000000000000001000000 +000000000000000000000000000111001101000000010000000000 +000010100000000111000110001101011110010100000000000001 +001000000000000111000100001101111100011000000000000000 +000000000000000000000000001001000000000010100000000110 +000000000000000000000000001001101100000001100000000000 +000000001110001000000110000000000000000000000000000000 +001000000000001001000100000000000000000000000000000000 +010100000000000000000000001000000000000010000100000000 +000100000000000000000011000001000000000000000000000000 +.logic_tile 7 12 +010000000000000011100000000001100000000000001000000000 +001000000000000000100010100000100000000000000000001000 +000000000000001101100111100000000001000000001000000000 +000000000000000101000100000000001000000000000000000000 +000000000000000011100111010000000000000000001000000000 +000000000000000101100111010000001011000000000000000000 +000000000000000000000000010000000001000000001000000000 +001000000000000000000010000000001011000000000000000000 +000000000000000000000000000101000000000000001000000000 +001000000000000000000000000000101001000000000000000000 +000000000000000011100000000001101001100011110001000000 +000000000000000000100000000101001100000011110000000000 +000000000000000000000000000000000000000010000000000000 +001000000000000000000000000101000000000000000000000000 +000000000000000000000000000001000000000000000010000100 +000000000000000000000000000001100000000001000000000000 +.logic_tile 8 12 +000000000000000000000000000111000000000001000000000100 +001000000000000000000011011001000000000000000000000000 +111000000000000000000000000000000000000010000000000100 +000000000000000000000000001101000000000000000000000000 +010000000000000000000000011111000000000001000100000100 +001000000000000000000011010111000000000000000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000000111000000000001000100000010 +001000000000001001100000000111000000000000000000000000 +110000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 9 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.ramt_tile 10 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +010000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 12 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 13 +000000000000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000000100010 +000000000000010000 +000100000000000000 +000000000000000000 +000000110000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.logic_tile 2 13 +000000000000000000000000000000000000000000000000000000 +001000000000000111000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +110000000000000000000000001001000000000001000100000000 +000000000000000000000000000101000000000000000010000000 +.ramb_tile 3 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.logic_tile 5 13 +000000000010000000000010001101001000000100000000000010 +001000000000000000000011100101011001000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +010000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000001100000000001100000000001000100000000 +000000000000000000100000000111000000000000000000000010 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 13 +000000000000000011100000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000111001001000000000001000000000100 +001000000000000000000000000011000000000000000000000000 +000000000000000011000000001111100000000000100000000010 +000000000000000001000000000001001000000000000000000100 +000000000000000000000000001011011101010000000000100001 +001000000000000000000000000101101000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 13 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +111000000000000000000010101111000001000000000000000000 +000000000000000000000000000101001110000000010000000001 +010000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000101100001000000100000000000 +001000000000000000000000000111001100000000000000000011 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 +110000000000001000000000001101100000000000010100000000 +000000000000001001000000001001001011000000000000000001 +.logic_tile 8 13 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +.logic_tile 9 13 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 14 +000000000000000000000000000101100000000001000110000000 +000000000000000000000000000111000000000000000000000100 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +.logic_tile 7 14 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000001001000000000001000110000001 +000000000000000000000000001101000000000000000000000000 +.logic_tile 8 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000001011000000000001000100000000 +000000000000000000000000000001000000000000000010000000 +110010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.logic_tile 5 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000011111101000000000100000000 +000000000000001011000000000011101100100000000000000100 +000000000000000111100110100000000000000000000000000000 +000000000000001111100100000000000000000000000000000000 +000000000000001000000000001111000000000001000100000000 +000000000000001101000000000011000000000000000000000110 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000001000110000000 +000000000000010000000000001011100000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 15 +000000000000001000000111000000000000000000000000000000 +000000000000001011000100000000000000000000000000000000 +111000000000001011100000010000000000000000000000000000 +000000000000001111000011100000000000000000000000000000 +010000000000100000000111001011001000000000000100000000 +000000001101010000000100000001011000100000000000000001 +000000000010001000000000000111100000000001000100000000 +000000000000001111000000001001100000000000000001000001 +000000000000000111000000000001100000000001000100000001 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101101100010000000100000010 +000000000000000000000000000001001011000000000000000000 +111000000000000000000110100001100000000001000100100000 +000000000000000000000100001001100000000000000000000000 +.logic_tile 8 15 +000000000000001000000111000111011100100000000000000000 +000000000000001111000100001111101110000000000000000010 +111000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000001001000000000011110110000001 +000000000000000000000000000001001001000011100000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100110110000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 15 +000000000000000001100110010001100001000000001000000000 +000000000000000000000010000000001010000000000000000000 +111000000000000001000000000000001000001100111100000000 +000000000100000001000000000000001000110011000000000000 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001001110011000000000000 +010000000000000001100000000000001000001100110100000000 +000000000000000000000000000000001001110011000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001100000000001100001001100110100000000 +000000000000000000000000000000001100110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000001101000010110000000000000000000000000000 +000000000000000000000000000101001000000010000001000000 +000000001100000000000000000101011111000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000010110000000000000000000000000000 +000000000000000000000000000001111100101000010001000000 +000000000000000000000000001101101110111100110000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000011011101101000010000000000 +000000000000000000000011001011001001111100110000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000111000010110000000000000000000000000000 +010000000000101000000000000101000000000001000001000000 +000000000000000001000010001001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000111000000000001100000000001000100000000 +000000000000000000000000001101000000000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000000111100000000000000000000000000000000000 +000000000000001001100000000000000000000000000000000000 +111000000000000000000000000111000000000001000100000000 +000000000000000000000000000101100000000000000000100000 +010000000000101000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000001000000000001000101000000 +000000000000000000000000000001000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 16 +000000000000001000000000010000000000000000000000000000 +000000000000000111000010000000000000000000000000000000 +111000000000001011100000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +010000000000001000000000000111101011101000010000000000 +000000000000001011000000001011001000111100110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000001000000000101100000000000000000000000000000000000 +000010100000000000000010110000000000000000000000000000 +000000000000000000000000001001000000000001000000000000 +000000000000000000000000001101000000000000000000100000 +000000000000100000000111000011000000000001000000000010 +000000000001010000000100001001000000000000000000000000 +110000000000001000000000001111000000000001000101000000 +000000000000001001000000000001100000000000000000000100 +.logic_tile 7 16 +000000000000001111000011001101100000000001000000000000 +000000000000000001000110101101100000000000000000000000 +000000000000000000000010100001111000101000010000000000 +000000000000000101000010100001101110111100110000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000110010000000000000000000000000000 +000000000000001000000110010000000000000000000000000000 +000000000000001101000010000000000000000000000000000000 +000000000000001000000000010000000000000000000000000000 +000000000000001111000010100000000000000000000000000000 +000000000000001111000000000001001101100000000000000010 +000000000000001011100000001001011010000000000000000000 +000000000000001000000000000101011001101000010000000000 +000000000000000011000010100011111100111100110000000000 +000000000000000000000000001101001000101000010000000000 +000000000000000000000000000011111111111100110000000000 +.logic_tile 8 16 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +111010100000000001100000010000000000000000000000000000 +000001000000001101000011110000000000000000000000000000 +010000000000000000000000011101011001101000010000000000 +000000000000000000000011010001101001111100110000000000 +000000000100000000000000001001000000000001000100000000 +000000000000000000000010001101000000000000000010000000 +000000001100000000000111100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000011111100000000001000100000100 +000000000000000000000011111101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000111100000000011100000000001000101000000 +000000000000000000100000001011100000000000000000000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000000000000000000011001100100001010010000000 +000000000000001111000010111001101101110011110000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111011000101000010001000000 +000000000000000000000000000001101100111100110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000111100000000000000000000000000000 +000000000000000101000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000001000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000111000000010 +000000001000000000 +000010000000000001 +000011110000000001 +000000000000000001 +000000000001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 2 17 +000001111000000010 +000000001000000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000001010001000010 +000000001011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 3 17 +000001110000000010 +000000001000000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000001000000 +000000000000000000 +000000000000000000 +000001011000000000 +000000000000000000 +000000000001000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 4 17 +000011111000000010 +000001110000000000 +000000000000000000 +100000000000000001 +000000000000000001 +000000000001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 5 17 +000010000000000010 +000000110000000000 +000000000001100000 +100000000000000001 +000000000011000001 +000000000001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +000000000001000000 +000010000000000000 +000000110000000001 +000000000000000001 +000000000000000000 +.io_tile 6 17 +000000000000011000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000001100 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +000000110000000000 +.io_tile 7 17 +000000000000000000 +000000110000100000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000001000 +000000000000011000 +000000000000000000 +000010000000000000 +100101010000000000 +000000000000000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 8 17 +000000000000000010 +000000000000011000 +000001111000000000 +000000001000010001 +000000000000000001 +000000000011000000 +000000000000000000 +000000000000010000 +000000000000000000 +000000000000000000 +000000000011000010 +000000000001000000 +000000111000000000 +000000001000000001 +000000000000000001 +000000000000000000 +.io_tile 9 17 +000000000000100010 +000000000000000000 +000000000000000000 +001000000000000001 +000000000010000001 +000000000011000000 +000001110000000000 +000001110000000000 +000000000000000000 +000000000000000000 +000000000010000010 +010000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 10 17 +000010000000000010 +000001010000000000 +000001110000000000 +001000001000000001 +000000000000000001 +000000000011000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +001000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 11 17 +000000000000000010 +000000000000000000 +000000000000000000 +100000000000000001 +000001011010000001 +000000000001000000 +000010000000000000 +000001010000000000 +000000000000000000 +000000000000000000 +000000000010000010 +000000000011000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.io_tile 12 17 +000000000000000010 +000000000000000000 +000000000000000000 +000000000000000001 +000000000010000001 +000000000011000000 +000001011000000000 +000000000000000000 +000000011000000000 +000000001000000000 +000000000001000010 +000000000001000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000000000000 +.sym 1 $abc$4874$n9$2 +.sym 2 RESET$2 +.sym 3 $abc$4874$n11$2 +.sym 4 $abc$4874$n23$2 +.sym 5 $abc$4874$n300$2 +.sym 6 $abc$4874$n37$2 +.sym 7 CLK$2$2 +.sym 8 $abc$4874$n61$2 +.sym 183 $abc$4874$n370_1 +.sym 830 CLK$2 +.sym 836 CLK$2 +.sym 863 $abc$4874$n23 +.sym 944 $abc$4874$n23 +.sym 1180 KBD_RC_IN[23] +.sym 1205 dbg_led[0] +.sym 1206 dbg_led[1] +.sym 1289 dbg_led[1] +.sym 1294 dbg_led[0] +.sym 1403 DBG_TX$2 +.sym 1849 KBD_RC_IN[21] +.sym 1851 $false +.sym 1853 KBD_RC_EN[21] +.sym 1854 KBD_RC_IN[22] +.sym 1856 $false +.sym 1858 KBD_RC_EN[22] +.sym 1882 $abc$4874$n372_1 +.sym 1883 $abc$4874$n369_1 +.sym 2059 KBD_RC_IN[23] +.sym 2061 KBD_RC_IN[24] +.sym 2356 KBD_RC_IN[20] +.sym 2357 KBD_RC_EN[20] +.sym 2358 KBD_RC_IN[21] +.sym 2359 KBD_RC_EN[21] +.sym 2916 tact_counter_2ms[19] +.sym 3045 KBD_RC_EN[22] +.sym 3047 KBD_RC_EN[21] +.sym 3050 KBD_RC_EN[23] +.sym 3148 RESET$2 +.sym 3149 $false +.sym 3150 $false +.sym 3151 $false +.sym 3553 dbg_led[0] +.sym 3554 $false +.sym 3555 $false +.sym 3556 $false +.sym 3559 dbg_led[1] +.sym 3560 $false +.sym 3561 $false +.sym 3562 $false +.sym 3581 $abc$4874$n58 +.sym 3582 CLK$2$2 +.sym 3583 $abc$4874$n9$2 +.sym 3591 KBD_RC_EN[20] +.sym 3992 $abc$4874$n377_1 +.sym 3996 $abc$4874$n356_1 +.sym 4132 KBD_RC_IN[18] +.sym 4134 KBD_RC_IN[17] +.sym 4136 KBD_RC_IN[20] +.sym 4138 KBD_RC_IN[19] +.sym 4181 $false +.sym 4183 KBD_RC_EN[20] +.sym 4184 $false +.sym 4186 KBD_RC_EN[19] +.sym 4212 $false +.sym 4214 KBD_RC_EN[23] +.sym 4215 $false +.sym 4217 KBD_RC_EN[24] +.sym 4330 KBD_RC_IN[24] +.sym 4331 KBD_RC_EN[24] +.sym 4332 KBD_RC_IN[25] +.sym 4333 KBD_RC_EN[25] +.sym 4336 KBD_RC_IN[22] +.sym 4337 KBD_RC_EN[22] +.sym 4338 KBD_RC_IN[23] +.sym 4339 KBD_RC_EN[23] +.sym 5255 $abc$4874$n291 +.sym 5256 $abc$4874$n375 +.sym 5257 $false +.sym 5258 $false +.sym 5259 $true +.sym 5260 CLK$2$2 +.sym 5261 $abc$4874$n9$2 +.sym 5342 $abc$4874$n454 +.sym 5343 $abc$4874$n471_1 +.sym 5344 $false +.sym 5345 $false +.sym 5354 $abc$4874$n452 +.sym 5355 $abc$4874$n471_1 +.sym 5356 $false +.sym 5357 $false +.sym 5372 $abc$4874$n456_1 +.sym 5373 $abc$4874$n471_1 +.sym 5374 $false +.sym 5375 $false +.sym 5382 RESET$2 +.sym 5383 CLK$2$2 +.sym 5384 $abc$4874$n300$2 +.sym 5870 $abc$4874$n450 +.sym 5871 $abc$4874$n471_1 +.sym 5872 $false +.sym 5873 $false +.sym 5874 RESET$2 +.sym 5875 CLK$2$2 +.sym 5876 $abc$4874$n300$2 +.sym 6215 KBD_RC_IN[18] +.sym 6216 KBD_RC_EN[18] +.sym 6217 KBD_RC_IN[19] +.sym 6218 KBD_RC_EN[19] +.sym 6239 KBD_RC_IN[17] +.sym 6240 KBD_RC_EN[17] +.sym 6241 KBD_RC_IN[16] +.sym 6242 KBD_RC_EN[16] +.sym 6246 KBD_RC_IN[16] +.sym 6248 KBD_RC_IN[15] +.sym 6291 $false +.sym 6293 KBD_RC_EN[18] +.sym 6294 $false +.sym 6296 KBD_RC_EN[17] +.sym 6353 $abc$4874$n368_1 +.sym 6418 KBD_RC_IN[25] +.sym 6420 KBD_RC_IN[26] +.sym 6866 $abc$4874$n301 +.sym 6869 tact_counter_2ms[4] +.sym 6871 tact_counter_2ms[13] +.sym 6872 tact_counter_2ms[2] +.sym 6873 tact_counter_2ms[5] +.sym 6968 $abc$4874$n302 +.sym 6969 $abc$4874$n300_1 +.sym 6971 tact_counter_2ms[15] +.sym 6974 tact_counter_2ms[14] +.sym 6975 tact_counter_2ms[9] +.sym 7070 $abc$4874$n303_1 +.sym 7072 tact_counter_2ms[17] +.sym 7073 tact_counter_2ms[20] +.sym 7076 tact_counter_2ms[18] +.sym 7790 KBD_RC_EN[16] +.sym 7886 $abc$4874$n357_1 +.sym 7888 $abc$4874$n355_1 +.sym 7891 KBD_RC_EN[19] +.sym 7988 KBD_RC_IN[14] +.sym 7990 KBD_RC_IN[13] +.sym 8063 $false +.sym 8065 KBD_RC_EN[16] +.sym 8066 $false +.sym 8068 KBD_RC_EN[15] +.sym 8093 $false +.sym 8095 KBD_RC_EN[25] +.sym 8096 $false +.sym 8098 KBD_RC_EN[26] +.sym 8124 $abc$4874$n371_1 +.sym 8235 $abc$4874$n369_1 +.sym 8236 $abc$4874$n370_1 +.sym 8237 $abc$4874$n371_1 +.sym 8238 $abc$4874$n372_1 +.sym 8242 KBD_RC_IN[27] +.sym 8244 KBD_RC_IN[28] +.sym 8247 KBD_RC_EN[27] +.sym 8249 KBD_RC_EN[26] +.sym 8251 KBD_RC_EN[24] +.sym 8253 KBD_RC_EN[25] +.sym 8534 KBD_RC_EN[28] +.sym 8652 $2\tact_counter_2ms[21:0][7] +.sym 8653 $abc$4874$n508_1 +.sym 8654 $2\tact_counter_2ms[21:0][3] +.sym 8655 tact_counter_2ms[7] +.sym 8656 tact_counter_2ms[3] +.sym 8658 tact_counter_2ms[0] +.sym 8776 $abc$4874$n355 +.sym 8777 $abc$4874$n356 +.sym 8778 $abc$4874$n357 +.sym 8779 $abc$4874$n358 +.sym 8780 $abc$4874$n359 +.sym 8781 $abc$4874$n360 +.sym 8848 tact_counter_2ms[2] +.sym 8849 tact_counter_2ms[3] +.sym 8850 tact_counter_2ms[4] +.sym 8851 tact_counter_2ms[5] +.sym 8866 $abc$4874$n291 +.sym 8867 $abc$4874$n357 +.sym 8868 $false +.sym 8869 $false +.sym 8878 $2\tact_counter_2ms[21:0][13] +.sym 8879 $false +.sym 8880 $false +.sym 8881 $false +.sym 8884 $abc$4874$n291 +.sym 8885 $abc$4874$n355 +.sym 8886 $false +.sym 8887 $false +.sym 8890 $abc$4874$n291 +.sym 8891 $abc$4874$n358 +.sym 8892 $false +.sym 8893 $false +.sym 8894 $true +.sym 8895 CLK$2$2 +.sym 8896 $abc$4874$n9$2 +.sym 8897 $abc$4874$n361 +.sym 8898 $abc$4874$n362 +.sym 8899 $abc$4874$n363 +.sym 8900 $abc$4874$n364 +.sym 8901 $abc$4874$n366 +.sym 8902 $abc$4874$n367 +.sym 8903 $abc$4874$n368 +.sym 8904 $abc$4874$n369 +.sym 8971 tact_counter_2ms[13] +.sym 8972 tact_counter_2ms[14] +.sym 8973 tact_counter_2ms[15] +.sym 8974 tact_counter_2ms[17] +.sym 8977 $abc$4874$n301 +.sym 8978 $abc$4874$n302 +.sym 8979 $abc$4874$n303_1 +.sym 8980 $abc$4874$n304 +.sym 8989 $abc$4874$n291 +.sym 8990 $abc$4874$n369 +.sym 8991 $false +.sym 8992 $false +.sym 9007 $abc$4874$n291 +.sym 9008 $abc$4874$n368 +.sym 9009 $false +.sym 9010 $false +.sym 9013 $2\tact_counter_2ms[21:0][9] +.sym 9014 $false +.sym 9015 $false +.sym 9016 $false +.sym 9017 $true +.sym 9018 CLK$2$2 +.sym 9019 $abc$4874$n9$2 +.sym 9020 $abc$4874$n370 +.sym 9021 $abc$4874$n372 +.sym 9022 $abc$4874$n373 +.sym 9023 $abc$4874$n375 +.sym 9024 $abc$4874$n377 +.sym 9025 $abc$4874$n379 +.sym 9026 $abc$4874$n349_1 +.sym 9027 $abc$4874$n350_1 +.sym 9094 tact_counter_2ms[18] +.sym 9095 tact_counter_2ms[19] +.sym 9096 tact_counter_2ms[20] +.sym 9097 tact_counter_2ms[21] +.sym 9106 $abc$4874$n291 +.sym 9107 $abc$4874$n372 +.sym 9108 $false +.sym 9109 $false +.sym 9112 $abc$4874$n291 +.sym 9113 $abc$4874$n377 +.sym 9114 $false +.sym 9115 $false +.sym 9130 $abc$4874$n291 +.sym 9131 $abc$4874$n373 +.sym 9132 $false +.sym 9133 $false +.sym 9140 $true +.sym 9141 CLK$2$2 +.sym 9142 $abc$4874$n9$2 +.sym 9149 $abc$4874$n463_1 +.sym 9266 $abc$4874$n458 +.sym 9267 $abc$4874$n452 +.sym 9389 $abc$4874$n18 +.sym 9390 $abc$4874$n281 +.sym 9391 $abc$4874$n58 +.sym 9392 $2\ms_counter[5:0][0] +.sym 9393 $abc$4874$n307_1 +.sym 9395 $abc$4874$n381_1 +.sym 9396 ms_counter[0] +.sym 9635 $abc$4874$n469_1 +.sym 9638 KBD_RC_EN[31] +.sym 9885 KBD_RC_EN[11] +.sym 9887 KBD_RC_EN[12] +.sym 9991 $abc$4874$n310 +.sym 9992 $abc$4874$n471_1 +.sym 9993 $false +.sym 9994 $false +.sym 10001 RESET$2 +.sym 10002 CLK$2$2 +.sym 10003 $abc$4874$n300$2 +.sym 10005 KBD_RC_EN[15] +.sym 10007 KBD_RC_EN[18] +.sym 10078 KBD_RC_IN[15] +.sym 10079 KBD_RC_EN[15] +.sym 10080 KBD_RC_IN[13] +.sym 10081 KBD_RC_EN[13] +.sym 10090 $abc$4874$n356_1 +.sym 10091 $abc$4874$n357_1 +.sym 10092 $false +.sym 10093 $false +.sym 10108 $abc$4874$n401 +.sym 10109 $abc$4874$n471_1 +.sym 10110 $false +.sym 10111 $false +.sym 10124 RESET$2 +.sym 10125 CLK$2$2 +.sym 10126 $abc$4874$n300$2 +.sym 10127 KBD_RC_IN[12] +.sym 10129 KBD_RC_IN[11] +.sym 10172 $false +.sym 10174 KBD_RC_EN[14] +.sym 10175 $false +.sym 10177 KBD_RC_EN[13] +.sym 10201 $false +.sym 10203 KBD_RC_EN[27] +.sym 10204 $false +.sym 10206 KBD_RC_EN[28] +.sym 10226 $abc$4874$n367_1 +.sym 10337 KBD_RC_IN[26] +.sym 10338 KBD_RC_EN[26] +.sym 10339 KBD_RC_IN[27] +.sym 10340 KBD_RC_EN[27] +.sym 10350 KBD_RC_IN[29] +.sym 10470 $abc$4874$n18 +.sym 10471 $abc$4874$n471_1 +.sym 10472 $abc$4874$n458 +.sym 10473 $2\ms_counter[5:0][0] +.sym 10482 $abc$4874$n18 +.sym 10483 $2\ms_counter[5:0][0] +.sym 10484 $abc$4874$n458 +.sym 10485 $abc$4874$n471_1 +.sym 10494 $2\ms_counter[5:0][0] +.sym 10495 $abc$4874$n471_1 +.sym 10496 $abc$4874$n18 +.sym 10497 $abc$4874$n458 +.sym 10506 $abc$4874$n458 +.sym 10507 $abc$4874$n471_1 +.sym 10508 $abc$4874$n307_1 +.sym 10509 $false +.sym 10510 RESET$2 +.sym 10511 CLK$2$2 +.sym 10512 $abc$4874$n300$2 +.sym 10515 KBD_RC_EN[29] +.sym 10746 $abc$4874$n463_1 +.sym 10747 $abc$4874$n471_1 +.sym 10748 $false +.sym 10749 $false +.sym 10756 RESET$2 +.sym 10757 CLK$2$2 +.sym 10758 $abc$4874$n300$2 +.sym 10759 $abc$4874$n509_1 +.sym 10761 $2\tact_counter_2ms[21:0][0] +.sym 10762 $abc$4874$n353 +.sym 10764 $2\tact_counter_2ms[21:0][6] +.sym 10765 tact_counter_2ms[6] +.sym 10839 $abc$4874$n291 +.sym 10840 $abc$4874$n360 +.sym 10841 $false +.sym 10842 $false +.sym 10845 $2\tact_counter_2ms[21:0][7] +.sym 10846 $2\tact_counter_2ms[21:0][0] +.sym 10847 $2\tact_counter_2ms[21:0][3] +.sym 10848 $2\tact_counter_2ms[21:0][1] +.sym 10851 $abc$4874$n291 +.sym 10852 $abc$4874$n356 +.sym 10853 $false +.sym 10854 $false +.sym 10857 $2\tact_counter_2ms[21:0][7] +.sym 10858 $false +.sym 10859 $false +.sym 10860 $false +.sym 10863 $2\tact_counter_2ms[21:0][3] +.sym 10864 $false +.sym 10865 $false +.sym 10866 $false +.sym 10875 $2\tact_counter_2ms[21:0][0] +.sym 10876 $false +.sym 10877 $false +.sym 10878 $false +.sym 10879 $true +.sym 10880 CLK$2$2 +.sym 10881 $abc$4874$n9$2 +.sym 10882 $2\tact_counter_2ms[21:0][1] +.sym 10883 $abc$4874$n346_1 +.sym 10884 $abc$4874$n304 +.sym 10885 $abc$4874$n507 +.sym 10886 $abc$4874$n348_1 +.sym 10887 $abc$4874$n351_1 +.sym 10888 $abc$4874$n292 +.sym 10889 tact_counter_2ms[1] +.sym 10918 $true +.sym 10955 tact_counter_2ms[0]$2 +.sym 10956 $false +.sym 10957 tact_counter_2ms[0] +.sym 10958 $false +.sym 10959 $false +.sym 10961 $auto$alumacc.cc:474:replace_alu$454.C[2] +.sym 10963 $false +.sym 10964 tact_counter_2ms[1] +.sym 10967 $auto$alumacc.cc:474:replace_alu$454.C[3] +.sym 10968 $false +.sym 10969 $false +.sym 10970 tact_counter_2ms[2] +.sym 10971 $auto$alumacc.cc:474:replace_alu$454.C[2] +.sym 10973 $auto$alumacc.cc:474:replace_alu$454.C[4] +.sym 10974 $false +.sym 10975 $false +.sym 10976 tact_counter_2ms[3] +.sym 10977 $auto$alumacc.cc:474:replace_alu$454.C[3] +.sym 10979 $auto$alumacc.cc:474:replace_alu$454.C[5] +.sym 10980 $false +.sym 10981 $false +.sym 10982 tact_counter_2ms[4] +.sym 10983 $auto$alumacc.cc:474:replace_alu$454.C[4] +.sym 10985 $auto$alumacc.cc:474:replace_alu$454.C[6] +.sym 10986 $false +.sym 10987 $false +.sym 10988 tact_counter_2ms[5] +.sym 10989 $auto$alumacc.cc:474:replace_alu$454.C[5] +.sym 10991 $auto$alumacc.cc:474:replace_alu$454.C[7] +.sym 10992 $false +.sym 10993 $false +.sym 10994 tact_counter_2ms[6] +.sym 10995 $auto$alumacc.cc:474:replace_alu$454.C[6] +.sym 10997 $auto$alumacc.cc:474:replace_alu$454.C[8] +.sym 10998 $false +.sym 10999 $false +.sym 11000 tact_counter_2ms[7] +.sym 11001 $auto$alumacc.cc:474:replace_alu$454.C[7] +.sym 11005 $abc$4874$n344_1 +.sym 11006 $2\tact_counter_2ms[21:0][8] +.sym 11007 $2\tact_counter_2ms[21:0][9] +.sym 11008 $abc$4874$n293 +.sym 11009 $2\tact_counter_2ms[21:0][10] +.sym 11010 $abc$4874$n336 +.sym 11011 tact_counter_2ms[8] +.sym 11012 tact_counter_2ms[10] +.sym 11041 $auto$alumacc.cc:474:replace_alu$454.C[8] +.sym 11078 $auto$alumacc.cc:474:replace_alu$454.C[9] +.sym 11079 $false +.sym 11080 $false +.sym 11081 tact_counter_2ms[8] +.sym 11082 $auto$alumacc.cc:474:replace_alu$454.C[8] +.sym 11084 $auto$alumacc.cc:474:replace_alu$454.C[10] +.sym 11085 $false +.sym 11086 $false +.sym 11087 tact_counter_2ms[9] +.sym 11088 $auto$alumacc.cc:474:replace_alu$454.C[9] +.sym 11090 $auto$alumacc.cc:474:replace_alu$454.C[11] +.sym 11091 $false +.sym 11092 $false +.sym 11093 tact_counter_2ms[10] +.sym 11094 $auto$alumacc.cc:474:replace_alu$454.C[10] +.sym 11096 $auto$alumacc.cc:474:replace_alu$454.C[12] +.sym 11097 $false +.sym 11098 $false +.sym 11099 tact_counter_2ms[11] +.sym 11100 $auto$alumacc.cc:474:replace_alu$454.C[11] +.sym 11102 $auto$alumacc.cc:474:replace_alu$454.C[13] +.sym 11103 $false +.sym 11104 $false +.sym 11105 tact_counter_2ms[12] +.sym 11106 $auto$alumacc.cc:474:replace_alu$454.C[12] +.sym 11108 $auto$alumacc.cc:474:replace_alu$454.C[14] +.sym 11109 $false +.sym 11110 $false +.sym 11111 tact_counter_2ms[13] +.sym 11112 $auto$alumacc.cc:474:replace_alu$454.C[13] +.sym 11114 $auto$alumacc.cc:474:replace_alu$454.C[15] +.sym 11115 $false +.sym 11116 $false +.sym 11117 tact_counter_2ms[14] +.sym 11118 $auto$alumacc.cc:474:replace_alu$454.C[14] +.sym 11120 $auto$alumacc.cc:474:replace_alu$454.C[16] +.sym 11121 $false +.sym 11122 $false +.sym 11123 tact_counter_2ms[15] +.sym 11124 $auto$alumacc.cc:474:replace_alu$454.C[15] +.sym 11128 $abc$4874$n342_1 +.sym 11129 $abc$4874$n352_1 +.sym 11131 $abc$4874$n343_1 +.sym 11132 $abc$4874$n291 +.sym 11133 $abc$4874$n345_1 +.sym 11134 tact_counter_2ms[16] +.sym 11135 tact_counter_2ms[21] +.sym 11164 $auto$alumacc.cc:474:replace_alu$454.C[16] +.sym 11201 $auto$alumacc.cc:474:replace_alu$454.C[17] +.sym 11202 $false +.sym 11203 $false +.sym 11204 tact_counter_2ms[16] +.sym 11205 $auto$alumacc.cc:474:replace_alu$454.C[16] +.sym 11207 $auto$alumacc.cc:474:replace_alu$454.C[18] +.sym 11208 $false +.sym 11209 $false +.sym 11210 tact_counter_2ms[17] +.sym 11211 $auto$alumacc.cc:474:replace_alu$454.C[17] +.sym 11213 $auto$alumacc.cc:474:replace_alu$454.C[19] +.sym 11214 $false +.sym 11215 $false +.sym 11216 tact_counter_2ms[18] +.sym 11217 $auto$alumacc.cc:474:replace_alu$454.C[18] +.sym 11219 $auto$alumacc.cc:474:replace_alu$454.C[20] +.sym 11220 $false +.sym 11221 $false +.sym 11222 tact_counter_2ms[19] +.sym 11223 $auto$alumacc.cc:474:replace_alu$454.C[19] +.sym 11225 $auto$alumacc.cc:474:replace_alu$454.C[21] +.sym 11226 $false +.sym 11227 $false +.sym 11228 tact_counter_2ms[20] +.sym 11229 $auto$alumacc.cc:474:replace_alu$454.C[20] +.sym 11232 $false +.sym 11233 $false +.sym 11234 tact_counter_2ms[21] +.sym 11235 $auto$alumacc.cc:474:replace_alu$454.C[21] +.sym 11238 $2\tact_counter_2ms[21:0][1] +.sym 11239 $abc$4874$n373 +.sym 11240 $abc$4874$n375 +.sym 11241 $abc$4874$n377 +.sym 11244 $abc$4874$n368 +.sym 11245 $abc$4874$n369 +.sym 11246 $abc$4874$n372 +.sym 11247 $abc$4874$n370 +.sym 11252 $0\com_msg[11:0][8] +.sym 11253 $abc$4874$n363_1 +.sym 11254 $0\com_msg[11:0][10] +.sym 11256 $abc$4874$n353_1 +.sym 11257 com_msg[10] +.sym 11258 com_msg[8] +.sym 11361 $abc$4874$n16 +.sym 11362 $2\ms_counter[5:0][0] +.sym 11363 $abc$4874$n15 +.sym 11364 $abc$4874$n18 +.sym 11374 $2\ms_counter[5:0][4] +.sym 11375 $abc$4874$n15 +.sym 11376 ms_counter[4] +.sym 11378 ms_counter[3] +.sym 11448 $abc$4874$n15 +.sym 11449 $abc$4874$n16 +.sym 11450 $false +.sym 11451 $false +.sym 11454 $abc$4874$n16 +.sym 11455 $abc$4874$n307_1 +.sym 11456 $abc$4874$n15 +.sym 11457 $false +.sym 11499 $abc$4874$n284 +.sym 11500 $abc$4874$n285 +.sym 11501 $abc$4874$n287 +.sym 11502 $abc$4874$n288 +.sym 11503 $abc$4874$n382 +.sym 11504 ms_counter[1] +.sym 11571 $abc$4874$n291 +.sym 11572 ms_counter[0] +.sym 11573 ms_counter[1] +.sym 11574 $false +.sym 11577 $false +.sym 11578 $false +.sym 11579 ms_counter[0] +.sym 11580 $false +.sym 11583 $abc$4874$n287 +.sym 11584 $abc$4874$n291 +.sym 11585 $abc$4874$n381_1 +.sym 11586 RESET$2 +.sym 11589 ms_counter[0] +.sym 11590 $abc$4874$n281 +.sym 11591 $abc$4874$n291 +.sym 11592 $false +.sym 11595 $abc$4874$n281 +.sym 11596 ms_counter[1] +.sym 11597 ms_counter[0] +.sym 11598 $abc$4874$n291 +.sym 11607 ms_counter[0] +.sym 11608 ms_counter[1] +.sym 11609 $abc$4874$n382 +.sym 11610 $false +.sym 11613 $2\ms_counter[5:0][0] +.sym 11614 $false +.sym 11615 $false +.sym 11616 $false +.sym 11617 $true +.sym 11618 CLK$2$2 +.sym 11619 $abc$4874$n9$2 +.sym 11622 $abc$4874$n456_1 +.sym 11623 $abc$4874$n401 +.sym 11624 $abc$4874$n305_1 +.sym 11625 $abc$4874$n16 +.sym 11627 ms_counter[2] +.sym 11747 $abc$4874$n446_1 +.sym 11748 $abc$4874$n465_1 +.sym 11749 $abc$4874$n450 +.sym 11817 $abc$4874$n16 +.sym 11818 $abc$4874$n18 +.sym 11819 $abc$4874$n15 +.sym 11820 $2\ms_counter[5:0][0] +.sym 11835 $abc$4874$n469_1 +.sym 11836 $abc$4874$n471_1 +.sym 11837 $false +.sym 11838 $false +.sym 11863 RESET$2 +.sym 11864 CLK$2$2 +.sym 11865 $abc$4874$n300$2 +.sym 11866 KBD_RC_EN[7] +.sym 11994 KBD_RC_EN[13] +.sym 12087 $abc$4874$n18 +.sym 12088 $abc$4874$n458 +.sym 12089 $abc$4874$n308_1 +.sym 12090 $2\ms_counter[5:0][0] +.sym 12099 $abc$4874$n308_1 +.sym 12100 $abc$4874$n463_1 +.sym 12101 $false +.sym 12102 $false +.sym 12109 RESET$2 +.sym 12110 CLK$2$2 +.sym 12111 $abc$4874$n300$2 +.sym 12114 $abc$4874$n374_1 +.sym 12117 $abc$4874$n376_1 +.sym 12118 $abc$4874$n373_1 +.sym 12119 KBD_RC_EN[17] +.sym 12192 $abc$4874$n308_1 +.sym 12193 $abc$4874$n469_1 +.sym 12194 $false +.sym 12195 $false +.sym 12204 $abc$4874$n325_1 +.sym 12205 $abc$4874$n471_1 +.sym 12206 $false +.sym 12207 $false +.sym 12232 RESET$2 +.sym 12233 CLK$2$2 +.sym 12234 $abc$4874$n300$2 +.sym 12280 $false +.sym 12282 KBD_RC_EN[12] +.sym 12283 $false +.sym 12285 KBD_RC_EN[11] +.sym 12309 $abc$4874$n37 +.sym 12310 $false +.sym 12312 KBD_RC_EN[29] +.sym 12337 $abc$4874$n366_1 +.sym 12339 $abc$4874$n364_1 +.sym 12342 $abc$4874$n9 +.sym 12410 KBD_RC_IN[28] +.sym 12411 KBD_RC_EN[28] +.sym 12412 KBD_RC_IN[29] +.sym 12413 KBD_RC_EN[29] +.sym 12461 KBD_RC_IN[30] +.sym 12708 $abc$4874$n465_1 +.sym 12709 $abc$4874$n471_1 +.sym 12710 $false +.sym 12711 $false +.sym 12742 RESET$2 +.sym 12743 CLK$2$2 +.sym 12744 $abc$4874$n300$2 +.sym 12745 KBD_RC_EN[30] +.sym 12942 $2\tact_counter_2ms[21:0][6] +.sym 12943 $abc$4874$n507 +.sym 12944 $abc$4874$n508_1 +.sym 12945 $false +.sym 12954 $abc$4874$n291 +.sym 12955 $abc$4874$n353 +.sym 12956 $false +.sym 12957 $false +.sym 12960 $false +.sym 12961 $false +.sym 12962 tact_counter_2ms[0] +.sym 12963 $false +.sym 12972 $abc$4874$n291 +.sym 12973 $abc$4874$n359 +.sym 12974 $false +.sym 12975 $false +.sym 12978 $2\tact_counter_2ms[21:0][6] +.sym 12979 $false +.sym 12980 $false +.sym 12981 $false +.sym 12988 $true +.sym 12989 CLK$2$2 +.sym 12990 $abc$4874$n9$2 +.sym 12991 $abc$4874$n379_1 +.sym 12992 $abc$4874$n456 +.sym 12993 $abc$4874$n37 +.sym 12995 $2\tact_counter_2ms[21:0][13] +.sym 12996 $abc$4874$n347_1 +.sym 12997 tact_counter_2ms[11] +.sym 13065 tact_counter_2ms[1] +.sym 13066 tact_counter_2ms[0] +.sym 13067 $false +.sym 13068 $false +.sym 13071 $abc$4874$n347_1 +.sym 13072 $abc$4874$n349_1 +.sym 13073 $abc$4874$n350_1 +.sym 13074 $abc$4874$n351_1 +.sym 13077 tact_counter_2ms[6] +.sym 13078 tact_counter_2ms[7] +.sym 13079 tact_counter_2ms[11] +.sym 13080 tact_counter_2ms[16] +.sym 13083 $abc$4874$n357 +.sym 13084 $abc$4874$n358 +.sym 13085 $abc$4874$n355 +.sym 13086 $abc$4874$n291 +.sym 13089 com_len[1] +.sym 13090 $abc$4874$n353 +.sym 13091 $abc$4874$n356 +.sym 13092 $abc$4874$n357 +.sym 13095 $abc$4874$n367 +.sym 13096 $abc$4874$n359 +.sym 13097 $abc$4874$n360 +.sym 13098 $abc$4874$n358 +.sym 13101 $abc$4874$n293 +.sym 13102 tact_counter_2ms[1] +.sym 13103 tact_counter_2ms[0] +.sym 13104 $false +.sym 13107 $2\tact_counter_2ms[21:0][1] +.sym 13108 $false +.sym 13109 $false +.sym 13110 $false +.sym 13111 $true +.sym 13112 CLK$2$2 +.sym 13113 $abc$4874$n9$2 +.sym 13115 $2\tact_counter_2ms[21:0][12] +.sym 13116 $abc$4874$n425 +.sym 13117 $abc$4874$n35 +.sym 13118 $abc$4874$n424 +.sym 13121 tact_counter_2ms[12] +.sym 13188 $abc$4874$n363 +.sym 13189 $abc$4874$n361 +.sym 13190 $abc$4874$n362 +.sym 13191 $abc$4874$n291 +.sym 13194 $abc$4874$n291 +.sym 13195 $abc$4874$n361 +.sym 13196 $false +.sym 13197 $false +.sym 13200 $abc$4874$n291 +.sym 13201 $abc$4874$n362 +.sym 13202 $false +.sym 13203 $false +.sym 13206 tact_counter_2ms[8] +.sym 13207 tact_counter_2ms[9] +.sym 13208 tact_counter_2ms[10] +.sym 13209 tact_counter_2ms[12] +.sym 13212 $abc$4874$n291 +.sym 13213 $abc$4874$n363 +.sym 13214 $false +.sym 13215 $false +.sym 13218 $2\tact_counter_2ms[21:0][8] +.sym 13219 $2\tact_counter_2ms[21:0][9] +.sym 13220 $2\tact_counter_2ms[21:0][10] +.sym 13221 $false +.sym 13224 $2\tact_counter_2ms[21:0][8] +.sym 13225 $false +.sym 13226 $false +.sym 13227 $false +.sym 13230 $2\tact_counter_2ms[21:0][10] +.sym 13231 $false +.sym 13232 $false +.sym 13233 $false +.sym 13234 $true +.sym 13235 CLK$2$2 +.sym 13236 $abc$4874$n9$2 +.sym 13237 $abc$4874$n434_1 +.sym 13238 $abc$4874$n510 +.sym 13239 $abc$4874$n428_1 +.sym 13240 $abc$4874$n427_1 +.sym 13241 $2\tact_counter_2ms[21:0][11] +.sym 13242 $abc$4874$n512_1 +.sym 13243 com_msg[7] +.sym 13244 com_msg[6] +.sym 13311 $abc$4874$n300$2 +.sym 13312 $abc$4874$n343_1 +.sym 13313 $false +.sym 13314 $false +.sym 13317 $abc$4874$n366 +.sym 13318 $abc$4874$n364 +.sym 13319 $false +.sym 13320 $false +.sym 13329 $abc$4874$n353_1 +.sym 13330 $abc$4874$n345_1 +.sym 13331 $abc$4874$n352_1 +.sym 13332 $abc$4874$n344_1 +.sym 13335 $abc$4874$n292 +.sym 13336 $abc$4874$n300_1 +.sym 13337 $false +.sym 13338 $false +.sym 13341 $abc$4874$n346_1 +.sym 13342 $abc$4874$n355 +.sym 13343 $abc$4874$n379 +.sym 13344 $false +.sym 13347 $abc$4874$n291 +.sym 13348 $abc$4874$n370 +.sym 13349 $false +.sym 13350 $false +.sym 13353 $abc$4874$n291 +.sym 13354 $abc$4874$n379 +.sym 13355 $false +.sym 13356 $false +.sym 13357 $true +.sym 13358 CLK$2$2 +.sym 13359 $abc$4874$n9$2 +.sym 13363 $0\com_msg[11:0][9] +.sym 13364 $0\com_msg[11:0][11] +.sym 13366 com_msg[11] +.sym 13367 com_msg[9] +.sym 13440 $abc$4874$n16 +.sym 13441 com_msg[8] +.sym 13442 $abc$4874$n300$2 +.sym 13443 $abc$4874$n343_1 +.sym 13446 $abc$4874$n364_1 +.sym 13447 $abc$4874$n368_1 +.sym 13448 $abc$4874$n373_1 +.sym 13449 $abc$4874$n376_1 +.sym 13452 $2\ms_counter[5:0][4] +.sym 13453 com_msg[10] +.sym 13454 $abc$4874$n300$2 +.sym 13455 $abc$4874$n343_1 +.sym 13464 $abc$4874$n354 +.sym 13465 $abc$4874$n363_1 +.sym 13466 $false +.sym 13467 $false +.sym 13470 $0\com_msg[11:0][10] +.sym 13471 $false +.sym 13472 $false +.sym 13473 $false +.sym 13476 $0\com_msg[11:0][8] +.sym 13477 $false +.sym 13478 $false +.sym 13479 $false +.sym 13480 RESET$2 +.sym 13481 CLK$2$2 +.sym 13482 $false +.sym 13483 $abc$4874$n467_1 +.sym 13484 $true$2 +.sym 13486 $abc$4874$n454 +.sym 13487 $abc$4874$n471_1 +.sym 13488 com_msg[2] +.sym 13557 $abc$4874$n287 +.sym 13558 ms_counter[4] +.sym 13559 $abc$4874$n292 +.sym 13560 $abc$4874$n300_1 +.sym 13563 $abc$4874$n285 +.sym 13564 ms_counter[3] +.sym 13565 $abc$4874$n292 +.sym 13566 $abc$4874$n300_1 +.sym 13569 $2\ms_counter[5:0][4] +.sym 13570 $false +.sym 13571 $false +.sym 13572 $false +.sym 13581 $abc$4874$n15 +.sym 13582 $false +.sym 13583 $false +.sym 13584 $false +.sym 13603 $true +.sym 13604 CLK$2$2 +.sym 13605 $abc$4874$n9$2 +.sym 13606 $abc$4874$n290 +.sym 13608 $abc$4874$n459 +.sym 13609 $abc$4874$n324 +.sym 13612 ms_counter[5] +.sym 13642 $true +.sym 13679 ms_counter[0]$2 +.sym 13680 $false +.sym 13681 ms_counter[0] +.sym 13682 $false +.sym 13683 $false +.sym 13685 $auto$alumacc.cc:474:replace_alu$451.C[2] +.sym 13687 $false +.sym 13688 ms_counter[1] +.sym 13691 $auto$alumacc.cc:474:replace_alu$451.C[3] +.sym 13692 $false +.sym 13693 $false +.sym 13694 ms_counter[2] +.sym 13695 $auto$alumacc.cc:474:replace_alu$451.C[2] +.sym 13697 $auto$alumacc.cc:474:replace_alu$451.C[4] +.sym 13698 $false +.sym 13699 $false +.sym 13700 ms_counter[3] +.sym 13701 $auto$alumacc.cc:474:replace_alu$451.C[3] +.sym 13703 $auto$alumacc.cc:474:replace_alu$451.C[5] +.sym 13704 $false +.sym 13705 $false +.sym 13706 ms_counter[4] +.sym 13707 $auto$alumacc.cc:474:replace_alu$451.C[4] +.sym 13710 $false +.sym 13711 $false +.sym 13712 ms_counter[5] +.sym 13713 $auto$alumacc.cc:474:replace_alu$451.C[5] +.sym 13716 $abc$4874$n281 +.sym 13717 $abc$4874$n284 +.sym 13718 $abc$4874$n285 +.sym 13719 $abc$4874$n288 +.sym 13722 $abc$4874$n18 +.sym 13723 $false +.sym 13724 $false +.sym 13725 $false +.sym 13726 $true +.sym 13727 CLK$2$2 +.sym 13728 $abc$4874$n9$2 +.sym 13734 $abc$4874$n300 +.sym 13735 $abc$4874$n13 +.sym 13736 $abc$4874$n308_1 +.sym 13815 $abc$4874$n16 +.sym 13816 $abc$4874$n18 +.sym 13817 $2\ms_counter[5:0][0] +.sym 13818 $abc$4874$n15 +.sym 13821 $abc$4874$n18 +.sym 13822 $abc$4874$n305_1 +.sym 13823 $2\ms_counter[5:0][0] +.sym 13824 $false +.sym 13827 ms_counter[2] +.sym 13828 $abc$4874$n284 +.sym 13829 $abc$4874$n291 +.sym 13830 $abc$4874$n15 +.sym 13833 ms_counter[2] +.sym 13834 $abc$4874$n284 +.sym 13835 $abc$4874$n291 +.sym 13836 $false +.sym 13845 $abc$4874$n16 +.sym 13846 $false +.sym 13847 $false +.sym 13848 $false +.sym 13849 $true +.sym 13850 CLK$2$2 +.sym 13851 $abc$4874$n9$2 +.sym 13853 $abc$4874$n310 +.sym 13856 $abc$4874$n325_1 +.sym 13859 KBD_RC_EN[9] +.sym 13950 $abc$4874$n305_1 +.sym 13951 $abc$4874$n307_1 +.sym 13952 $false +.sym 13953 $false +.sym 13956 $abc$4874$n16 +.sym 13957 $abc$4874$n15 +.sym 13958 $abc$4874$n307_1 +.sym 13959 $false +.sym 13962 $abc$4874$n16 +.sym 13963 $2\ms_counter[5:0][0] +.sym 13964 $abc$4874$n18 +.sym 13965 $abc$4874$n15 +.sym 13982 KBD_RC_EN[6] +.sym 14049 $abc$4874$n308_1 +.sym 14050 $abc$4874$n456_1 +.sym 14051 $false +.sym 14052 $false +.sym 14095 RESET$2 +.sym 14096 CLK$2$2 +.sym 14097 $abc$4874$n300$2 +.sym 14100 KBD_RC_EN[8] +.sym 14101 KBD_RC_EN[14] +.sym 14102 KBD_RC_EN[4] +.sym 14104 KBD_RC_EN[10] +.sym 14105 KBD_RC_EN[5] +.sym 14202 $abc$4874$n308_1 +.sym 14203 $abc$4874$n465_1 +.sym 14204 $false +.sym 14205 $false +.sym 14218 RESET$2 +.sym 14219 CLK$2$2 +.sym 14220 $abc$4874$n300$2 +.sym 14221 $abc$4874$n358_1 +.sym 14222 $abc$4874$n375_1 +.sym 14226 $abc$4874$n354 +.sym 14227 $abc$4874$n360_1 +.sym 14228 $abc$4874$n359_1 +.sym 14307 KBD_RC_IN[11] +.sym 14308 KBD_RC_EN[11] +.sym 14309 KBD_RC_IN[7] +.sym 14310 KBD_RC_EN[7] +.sym 14325 $abc$4874$n377_1 +.sym 14326 $abc$4874$n378_1 +.sym 14327 $false +.sym 14328 $false +.sym 14331 $abc$4874$n374_1 +.sym 14332 $abc$4874$n375_1 +.sym 14333 $false +.sym 14334 $false +.sym 14337 $abc$4874$n446_1 +.sym 14338 $abc$4874$n471_1 +.sym 14339 $false +.sym 14340 $false +.sym 14341 RESET$2 +.sym 14342 CLK$2$2 +.sym 14343 $abc$4874$n300$2 +.sym 14346 KBD_RC_IN[10] +.sym 14388 $abc$4874$n300 +.sym 14418 $abc$4874$n9 +.sym 14422 $false +.sym 14424 KBD_RC_EN[30] +.sym 14448 $abc$4874$n365_1 +.sym 14531 KBD_RC_IN[30] +.sym 14532 KBD_RC_EN[30] +.sym 14533 KBD_RC_IN[31] +.sym 14534 KBD_RC_EN[31] +.sym 14543 $abc$4874$n365_1 +.sym 14544 $abc$4874$n366_1 +.sym 14545 $abc$4874$n367_1 +.sym 14546 $false +.sym 14561 RESET$2 +.sym 14562 $false +.sym 14563 $false +.sym 14564 $false +.sym 14568 KBD_RC_IN[31] +.sym 14570 KBD_RC_IN[32] +.sym 14574 UART.tx_clk_counter[5] +.sym 14578 UART.tx_clk_counter[6] +.sym 14733 $auto$alumacc.cc:474:replace_alu$460.C[2] +.sym 14734 $auto$alumacc.cc:474:replace_alu$460.C[3] +.sym 14735 $auto$alumacc.cc:474:replace_alu$460.C[4] +.sym 14736 $auto$alumacc.cc:474:replace_alu$460.C[5] +.sym 14737 $abc$4874$n279 +.sym 14738 $abc$4874$n276 +.sym 14928 $abc$4874$n467_1 +.sym 14929 $abc$4874$n471_1 +.sym 14930 $false +.sym 14931 $false +.sym 14974 RESET$2 +.sym 14975 CLK$2$2 +.sym 14976 $abc$4874$n300$2 +.sym 15103 $abc$4874$n462 +.sym 15104 com_len[2] +.sym 15106 com_len[1] +.sym 15107 com_len[0] +.sym 15174 $abc$4874$n462 +.sym 15175 $abc$4874$n509_1 +.sym 15176 $abc$4874$n344_1 +.sym 15177 $abc$4874$n324 +.sym 15180 $abc$4874$n342_1 +.sym 15181 com_len[1] +.sym 15182 $false +.sym 15183 $false +.sym 15186 com_len[2] +.sym 15187 com_len[0] +.sym 15188 $abc$4874$n456 +.sym 15189 $abc$4874$n379_1 +.sym 15198 $abc$4874$n291 +.sym 15199 $abc$4874$n367 +.sym 15200 $false +.sym 15201 $false +.sym 15204 com_len[2] +.sym 15205 com_len[0] +.sym 15206 $abc$4874$n348_1 +.sym 15207 $false +.sym 15210 $2\tact_counter_2ms[21:0][11] +.sym 15211 $false +.sym 15212 $false +.sym 15213 $false +.sym 15220 $true +.sym 15221 CLK$2$2 +.sym 15222 $abc$4874$n9$2 +.sym 15223 $abc$4874$n432_1 +.sym 15224 $abc$4874$n430_1 +.sym 15225 $abc$4874$n431_1 +.sym 15226 $abc$4874$n423_1 +.sym 15227 $abc$4874$n422_1 +.sym 15228 $abc$4874$n426 +.sym 15229 $abc$4874$n436_1 +.sym 15230 com_msg[1] +.sym 15303 $abc$4874$n291 +.sym 15304 $abc$4874$n366 +.sym 15305 $false +.sym 15306 $false +.sym 15309 $abc$4874$n364 +.sym 15310 $abc$4874$n366 +.sym 15311 $abc$4874$n291 +.sym 15312 $abc$4874$n367 +.sym 15315 $abc$4874$n324 +.sym 15316 $abc$4874$n509_1 +.sym 15317 $abc$4874$n336 +.sym 15318 $false +.sym 15321 $abc$4874$n291 +.sym 15322 $abc$4874$n364 +.sym 15323 $abc$4874$n366 +.sym 15324 $false +.sym 15339 $2\tact_counter_2ms[21:0][12] +.sym 15340 $false +.sym 15341 $false +.sym 15342 $false +.sym 15343 $true +.sym 15344 CLK$2$2 +.sym 15345 $abc$4874$n9$2 +.sym 15346 $abc$4874$n438_1 +.sym 15347 $abc$4874$n433 +.sym 15348 UART_TX_DATA[1] +.sym 15349 UART_TX_DATA[2] +.sym 15350 UART_TX_DATA[0] +.sym 15351 UART_TX_DATA[4] +.sym 15352 UART_TX_DATA[5] +.sym 15353 UART_TX_DATA[3] +.sym 15420 com_msg[7] +.sym 15421 $abc$4874$n18 +.sym 15422 $abc$4874$n424 +.sym 15423 $abc$4874$n342_1 +.sym 15426 $0\com_msg[11:0][10] +.sym 15427 com_msg[6] +.sym 15428 $abc$4874$n366 +.sym 15429 $false +.sym 15432 com_msg[6] +.sym 15433 $2\ms_counter[5:0][0] +.sym 15434 $abc$4874$n424 +.sym 15435 $abc$4874$n342_1 +.sym 15438 com_msg[2] +.sym 15439 $0\com_msg[11:0][10] +.sym 15440 $abc$4874$n425 +.sym 15441 $abc$4874$n424 +.sym 15444 $abc$4874$n291 +.sym 15445 $abc$4874$n364 +.sym 15446 $false +.sym 15447 $false +.sym 15450 $0\com_msg[11:0][11] +.sym 15451 com_msg[7] +.sym 15452 $abc$4874$n366 +.sym 15453 $false +.sym 15456 com_msg[7] +.sym 15457 $abc$4874$n18 +.sym 15458 $abc$4874$n342_1 +.sym 15459 $false +.sym 15462 com_msg[6] +.sym 15463 $2\ms_counter[5:0][0] +.sym 15464 $abc$4874$n342_1 +.sym 15465 $false +.sym 15466 RESET$2 +.sym 15467 CLK$2$2 +.sym 15468 $false +.sym 15469 $abc$4874$n317 +.sym 15470 $abc$4874$n319 +.sym 15472 $abc$4874$n315_1 +.sym 15474 $abc$4874$n314 +.sym 15476 $abc$4874$n318_1 +.sym 15561 $abc$4874$n15 +.sym 15562 com_msg[9] +.sym 15563 $abc$4874$n300$2 +.sym 15564 $abc$4874$n343_1 +.sym 15567 $abc$4874$n459 +.sym 15568 com_msg[11] +.sym 15569 $abc$4874$n300$2 +.sym 15570 $abc$4874$n343_1 +.sym 15579 $0\com_msg[11:0][11] +.sym 15580 $false +.sym 15581 $false +.sym 15582 $false +.sym 15585 $0\com_msg[11:0][9] +.sym 15586 $false +.sym 15587 $false +.sym 15588 $false +.sym 15589 RESET$2 +.sym 15590 CLK$2$2 +.sym 15591 $false +.sym 15593 $abc$4874$n6 +.sym 15666 $abc$4874$n16 +.sym 15667 $abc$4874$n18 +.sym 15668 $2\ms_counter[5:0][0] +.sym 15669 $abc$4874$n15 +.sym 15672 $false +.sym 15673 $false +.sym 15674 $false +.sym 15675 $false +.sym 15684 $abc$4874$n16 +.sym 15685 $abc$4874$n18 +.sym 15686 $2\ms_counter[5:0][0] +.sym 15687 $abc$4874$n15 +.sym 15690 $abc$4874$n459 +.sym 15691 $2\ms_counter[5:0][4] +.sym 15692 $false +.sym 15693 $false +.sym 15696 $true$2 +.sym 15697 $false +.sym 15698 $false +.sym 15699 $false +.sym 15712 $abc$4874$n23$2 +.sym 15713 CLK$2$2 +.sym 15714 $false +.sym 15716 UART.tx_bit_counter[2] +.sym 15718 UART.tx_bit_counter[0] +.sym 15719 UART.tx_bit_counter[3] +.sym 15720 UART.tx_bit_counter[1] +.sym 15789 ms_counter[5] +.sym 15790 $abc$4874$n288 +.sym 15791 $2\ms_counter[5:0][4] +.sym 15792 $abc$4874$n291 +.sym 15801 ms_counter[5] +.sym 15802 $abc$4874$n288 +.sym 15803 $abc$4874$n291 +.sym 15804 $false +.sym 15807 $abc$4874$n325_1 +.sym 15808 $abc$4874$n290 +.sym 15809 RESET$2 +.sym 15810 $false +.sym 15825 $abc$4874$n459 +.sym 15826 $false +.sym 15827 $false +.sym 15828 $false +.sym 15835 $true +.sym 15836 CLK$2$2 +.sym 15837 $abc$4874$n9$2 +.sym 15838 $abc$4874$n400 +.sym 15839 DBG_TX$2 +.sym 15840 KBD_RC_EN[33] +.sym 15844 KBD_RC_EN[32] +.sym 15874 $true +.sym 15911 $abc$4874$n18$2 +.sym 15912 $false +.sym 15913 $abc$4874$n18 +.sym 15914 $false +.sym 15915 $false +.sym 15917 $auto$alumacc.cc:474:replace_alu$437.C[3] +.sym 15919 $false +.sym 15920 $abc$4874$n16 +.sym 15923 $auto$alumacc.cc:474:replace_alu$437.C[4] +.sym 15925 $false +.sym 15926 $abc$4874$n15 +.sym 15929 $auto$alumacc.cc:474:replace_alu$437.C[5] +.sym 15931 $false +.sym 15932 $abc$4874$n13 +.sym 15935 $abc$4874$n453 +.sym 15937 $true$2 +.sym 15938 $abc$4874$n459 +.sym 15942 $abc$4874$n290 +.sym 15943 $abc$4874$n305_1 +.sym 15944 $abc$4874$n307_1 +.sym 15945 $abc$4874$n453 +.sym 15948 $2\ms_counter[5:0][4] +.sym 15949 $false +.sym 15950 $false +.sym 15951 $false +.sym 15954 $2\ms_counter[5:0][4] +.sym 15955 $abc$4874$n459 +.sym 15956 $false +.sym 15957 $false +.sym 16041 $2\ms_counter[5:0][0] +.sym 16042 $abc$4874$n18 +.sym 16043 $abc$4874$n305_1 +.sym 16044 $false +.sym 16059 $abc$4874$n18 +.sym 16060 $2\ms_counter[5:0][0] +.sym 16061 $abc$4874$n305_1 +.sym 16062 $false +.sym 16077 $abc$4874$n308_1 +.sym 16078 $abc$4874$n458 +.sym 16079 $abc$4874$n307_1 +.sym 16080 $false +.sym 16081 RESET$2 +.sym 16082 CLK$2$2 +.sym 16083 $abc$4874$n300$2 +.sym 16200 $abc$4874$n308_1 +.sym 16201 $abc$4874$n454 +.sym 16202 $false +.sym 16203 $false +.sym 16204 RESET$2 +.sym 16205 CLK$2$2 +.sym 16206 $abc$4874$n300$2 +.sym 16207 RESET +.sym 16210 $abc$4874$n4 +.sym 16293 $2\ms_counter[5:0][0] +.sym 16294 $abc$4874$n458 +.sym 16295 $abc$4874$n18 +.sym 16296 $abc$4874$n308_1 +.sym 16299 $abc$4874$n308_1 +.sym 16300 $abc$4874$n467_1 +.sym 16301 $false +.sym 16302 $false +.sym 16305 $abc$4874$n308_1 +.sym 16306 $abc$4874$n450 +.sym 16307 $false +.sym 16308 $false +.sym 16317 $abc$4874$n18 +.sym 16318 $2\ms_counter[5:0][0] +.sym 16319 $abc$4874$n308_1 +.sym 16320 $abc$4874$n458 +.sym 16323 $abc$4874$n308_1 +.sym 16324 $abc$4874$n452 +.sym 16325 $false +.sym 16326 $false +.sym 16327 RESET$2 +.sym 16328 CLK$2$2 +.sym 16329 $abc$4874$n300$2 +.sym 16332 $abc$4874$n362_1 +.sym 16333 KBD_RC_EN[2] +.sym 16335 KBD_RC_EN[3] +.sym 16337 KBD_RC_EN[1] +.sym 16404 $abc$4874$n359_1 +.sym 16405 $abc$4874$n360_1 +.sym 16406 $false +.sym 16407 $false +.sym 16410 KBD_RC_IN[10] +.sym 16411 KBD_RC_EN[10] +.sym 16412 KBD_RC_IN[4] +.sym 16413 KBD_RC_EN[4] +.sym 16434 $abc$4874$n355_1 +.sym 16435 $abc$4874$n358_1 +.sym 16436 $abc$4874$n361_1 +.sym 16437 $abc$4874$n362_1 +.sym 16440 KBD_RC_IN[14] +.sym 16441 KBD_RC_EN[14] +.sym 16442 KBD_RC_IN[5] +.sym 16443 KBD_RC_EN[5] +.sym 16446 KBD_RC_IN[12] +.sym 16447 KBD_RC_EN[12] +.sym 16448 KBD_RC_IN[8] +.sym 16449 KBD_RC_EN[8] +.sym 16453 KBD_RC_IN[9] +.sym 16455 KBD_RC_IN[8] +.sym 16497 RESET +.sym 16501 $false +.sym 16503 KBD_RC_EN[10] +.sym 16528 $false +.sym 16530 KBD_RC_EN[31] +.sym 16531 $false +.sym 16533 KBD_RC_EN[32] +.sym 16652 KBD_RC_IN[32] +.sym 16653 KBD_RC_EN[32] +.sym 16654 KBD_RC_IN[33] +.sym 16655 KBD_RC_EN[33] +.sym 16677 KBD_RC_IN[33] +.sym 16684 UART.tx_clk_counter[1] +.sym 16685 UART.tx_clk_counter[2] +.sym 16803 $abc$4874$n386_1 +.sym 16804 $abc$4874$n276 +.sym 16805 $false +.sym 16806 $false +.sym 16827 $abc$4874$n386_1 +.sym 16828 $abc$4874$n279 +.sym 16829 $false +.sym 16830 $false +.sym 16837 $abc$4874$n61$2 +.sym 16838 CLK$2$2 +.sym 16839 $abc$4874$n11$2 +.sym 16840 $abc$4874$n384 +.sym 16841 $abc$4874$n273 +.sym 16843 $abc$4874$n386_1 +.sym 16844 $abc$4874$n269 +.sym 16845 $abc$4874$n272 +.sym 16846 $abc$4874$n388_1 +.sym 16847 $abc$4874$n275 +.sym 16876 $true +.sym 16913 UART.tx_clk_counter[0]$2 +.sym 16914 $false +.sym 16915 UART.tx_clk_counter[0] +.sym 16916 $false +.sym 16917 $false +.sym 16919 $auto$alumacc.cc:474:replace_alu$460.C[2]$2 +.sym 16921 UART.tx_clk_counter[1] +.sym 16922 $true$2 +.sym 16925 $auto$alumacc.cc:474:replace_alu$460.C[3]$2 +.sym 16927 UART.tx_clk_counter[2] +.sym 16928 $true$2 +.sym 16929 $auto$alumacc.cc:474:replace_alu$460.C[2]$2 +.sym 16931 $auto$alumacc.cc:474:replace_alu$460.C[4]$2 +.sym 16933 UART.tx_clk_counter[3] +.sym 16934 $true$2 +.sym 16935 $auto$alumacc.cc:474:replace_alu$460.C[3]$2 +.sym 16937 $auto$alumacc.cc:474:replace_alu$460.C[5]$2 +.sym 16939 UART.tx_clk_counter[4] +.sym 16940 $true$2 +.sym 16941 $auto$alumacc.cc:474:replace_alu$460.C[4]$2 +.sym 16943 $auto$alumacc.cc:474:replace_alu$460.C[6] +.sym 16945 UART.tx_clk_counter[5] +.sym 16946 $true$2 +.sym 16947 $auto$alumacc.cc:474:replace_alu$460.C[5]$2 +.sym 16950 $false +.sym 16951 UART.tx_clk_counter[6] +.sym 16952 $false +.sym 16953 $auto$alumacc.cc:474:replace_alu$460.C[6] +.sym 16956 $false +.sym 16957 UART.tx_clk_counter[5] +.sym 16958 $false +.sym 16959 $auto$alumacc.cc:474:replace_alu$460.C[5] +.sym 16964 UART.tx_clk_counter[3] +.sym 17211 $abc$4874$n316 +.sym 17213 $abc$4874$n451 +.sym 17214 $abc$4874$n457 +.sym 17215 $abc$4874$n309 +.sym 17245 $true +.sym 17282 $auto$alumacc.cc:474:replace_alu$432.C[1] +.sym 17284 $2\tact_counter_2ms[21:0][11] +.sym 17285 $abc$4874$n451 +.sym 17288 $auto$alumacc.cc:474:replace_alu$432.C[2] +.sym 17290 $2\tact_counter_2ms[21:0][12] +.sym 17291 $abc$4874$n456 +.sym 17294 $abc$4874$n462$2 +.sym 17296 $2\tact_counter_2ms[21:0][13] +.sym 17297 $abc$4874$n457 +.sym 17304 $abc$4874$n462$2 +.sym 17307 $abc$4874$n457 +.sym 17308 $abc$4874$n400 +.sym 17309 $false +.sym 17310 $false +.sym 17319 $abc$4874$n456 +.sym 17320 $abc$4874$n400 +.sym 17321 $false +.sym 17322 $false +.sym 17325 $abc$4874$n400 +.sym 17326 $abc$4874$n451 +.sym 17327 $false +.sym 17328 $false +.sym 17329 $true +.sym 17330 CLK$2$2 +.sym 17331 $abc$4874$n9$2 +.sym 17335 com_msg[0] +.sym 17337 com_msg[4] +.sym 17406 $2\tact_counter_2ms[21:0][12] +.sym 17407 com_msg[5] +.sym 17408 $false +.sym 17409 $false +.sym 17412 $0\com_msg[11:0][9] +.sym 17413 $abc$4874$n425 +.sym 17414 $abc$4874$n431_1 +.sym 17415 $abc$4874$n432_1 +.sym 17418 com_msg[1] +.sym 17419 $abc$4874$n425 +.sym 17420 $abc$4874$n424 +.sym 17421 $false +.sym 17424 com_msg[0] +.sym 17425 $abc$4874$n425 +.sym 17426 $abc$4874$n424 +.sym 17427 $false +.sym 17430 $0\com_msg[11:0][8] +.sym 17431 $abc$4874$n425 +.sym 17432 $abc$4874$n423_1 +.sym 17433 $abc$4874$n426 +.sym 17436 $2\tact_counter_2ms[21:0][12] +.sym 17437 com_msg[4] +.sym 17438 $false +.sym 17439 $false +.sym 17442 com_msg[4] +.sym 17443 $0\com_msg[11:0][8] +.sym 17444 $abc$4874$n424 +.sym 17445 $false +.sym 17448 $true$2 +.sym 17449 $false +.sym 17450 $false +.sym 17451 $false +.sym 17452 $abc$4874$n23$2 +.sym 17453 CLK$2$2 +.sym 17454 $false +.sym 17459 com_msg[3] +.sym 17461 com_msg[5] +.sym 17529 com_msg[5] +.sym 17530 $0\com_msg[11:0][9] +.sym 17531 $abc$4874$n424 +.sym 17532 $false +.sym 17535 com_msg[3] +.sym 17536 $0\com_msg[11:0][11] +.sym 17537 $abc$4874$n425 +.sym 17538 $abc$4874$n424 +.sym 17541 $abc$4874$n433 +.sym 17542 $abc$4874$n434_1 +.sym 17543 $abc$4874$n430_1 +.sym 17544 $2\tact_counter_2ms[21:0][11] +.sym 17547 $abc$4874$n428_1 +.sym 17548 $abc$4874$n427_1 +.sym 17549 $abc$4874$n436_1 +.sym 17550 $2\tact_counter_2ms[21:0][11] +.sym 17553 $abc$4874$n427_1 +.sym 17554 $abc$4874$n428_1 +.sym 17555 $abc$4874$n422_1 +.sym 17556 $2\tact_counter_2ms[21:0][11] +.sym 17559 $abc$4874$n510 +.sym 17560 $abc$4874$n436_1 +.sym 17561 $abc$4874$n291 +.sym 17562 $abc$4874$n364 +.sym 17565 $abc$4874$n512_1 +.sym 17566 $abc$4874$n438_1 +.sym 17567 $abc$4874$n291 +.sym 17568 $abc$4874$n364 +.sym 17571 $abc$4874$n434_1 +.sym 17572 $abc$4874$n433 +.sym 17573 $abc$4874$n438_1 +.sym 17574 $2\tact_counter_2ms[21:0][11] +.sym 17575 $abc$4874$n37$2 +.sym 17576 CLK$2$2 +.sym 17577 $false +.sym 17580 $abc$4874$n420 +.sym 17582 $abc$4874$n460 +.sym 17583 $abc$4874$n427 +.sym 17652 UART_TX_DATA[4] +.sym 17653 UART_TX_DATA[0] +.sym 17654 $abc$4874$n420 +.sym 17655 $false +.sym 17658 UART_TX_DATA[1] +.sym 17659 UART_TX_DATA[5] +.sym 17660 $abc$4874$n420 +.sym 17661 $false +.sym 17670 $abc$4874$n446 +.sym 17671 $abc$4874$n431 +.sym 17672 $false +.sym 17673 $false +.sym 17682 $abc$4874$n317 +.sym 17683 UART_TX_DATA[2] +.sym 17684 $abc$4874$n427 +.sym 17685 $abc$4874$n315_1 +.sym 17694 $abc$4874$n319 +.sym 17695 UART_TX_DATA[3] +.sym 17696 $abc$4874$n315_1 +.sym 17697 $abc$4874$n427 +.sym 17701 $abc$4874$n320_1 +.sym 17702 $abc$4874$n461 +.sym 17704 $abc$4874$n64 +.sym 17781 $abc$4874$n314 +.sym 17782 $abc$4874$n318_1 +.sym 17783 $abc$4874$n320_1 +.sym 17784 UART.tx_activity +.sym 17821 $abc$4874$n64 +.sym 17822 CLK$2$2 +.sym 17823 $false +.sym 17826 $auto$alumacc.cc:474:replace_alu$463.C[2] +.sym 17827 $abc$4874$n436 +.sym 17828 $abc$4874$n389_1 +.sym 17829 $abc$4874$n390 +.sym 17830 $abc$4874$n434 +.sym 17831 $abc$4874$n446 +.sym 17904 $abc$4874$n434 +.sym 17905 $false +.sym 17906 $false +.sym 17907 $false +.sym 17916 $abc$4874$n431 +.sym 17917 $false +.sym 17918 $false +.sym 17919 $false +.sym 17922 $abc$4874$n436 +.sym 17923 $false +.sym 17924 $false +.sym 17925 $false +.sym 17928 $abc$4874$n446 +.sym 17929 $false +.sym 17930 $false +.sym 17931 $false +.sym 17944 $abc$4874$n64 +.sym 17945 CLK$2$2 +.sym 17946 $abc$4874$n11$2 +.sym 18021 $abc$4874$n401 +.sym 18022 $abc$4874$n290 +.sym 18023 $false +.sym 18024 $false +.sym 18027 $abc$4874$n6 +.sym 18028 $false +.sym 18029 $false +.sym 18030 $false +.sym 18033 $abc$4874$n446_1 +.sym 18034 $abc$4874$n290 +.sym 18035 $false +.sym 18036 $false +.sym 18057 $abc$4874$n310 +.sym 18058 $abc$4874$n290 +.sym 18059 $false +.sym 18060 $false +.sym 18067 RESET$2 +.sym 18068 CLK$2$2 +.sym 18069 $abc$4874$n300$2 +.sym 18317 rststate[1] +.sym 18318 rststate[2] +.sym 18319 rststate[3] +.sym 18321 rststate[0] +.sym 18390 rststate[3] +.sym 18391 rststate[2] +.sym 18392 rststate[1] +.sym 18393 rststate[0] +.sym 18408 $abc$4874$n300$2 +.sym 18409 $abc$4874$n308_1 +.sym 18410 $abc$4874$n310 +.sym 18411 $false +.sym 18436 RESET$2 +.sym 18437 CLK$2$2 +.sym 18438 $false +.sym 18525 KBD_RC_IN[9] +.sym 18526 KBD_RC_EN[9] +.sym 18527 KBD_RC_IN[3] +.sym 18528 KBD_RC_EN[3] +.sym 18531 $abc$4874$n308_1 +.sym 18532 $abc$4874$n325_1 +.sym 18533 $false +.sym 18534 $false +.sym 18543 $abc$4874$n308_1 +.sym 18544 $abc$4874$n401 +.sym 18545 $false +.sym 18546 $false +.sym 18555 $abc$4874$n446_1 +.sym 18556 $abc$4874$n308_1 +.sym 18557 $false +.sym 18558 $false +.sym 18559 RESET$2 +.sym 18560 CLK$2$2 +.sym 18561 $abc$4874$n300$2 +.sym 18562 KBD_RC_IN[7] +.sym 18564 KBD_RC_IN[6] +.sym 18606 $false +.sym 18608 KBD_RC_EN[9] +.sym 18609 $false +.sym 18611 KBD_RC_EN[8] +.sym 18636 $false +.sym 18638 KBD_RC_EN[33] +.sym 18917 $abc$4874$n384 +.sym 18918 $false +.sym 18919 $false +.sym 18920 $false +.sym 18923 $abc$4874$n272 +.sym 18924 $false +.sym 18925 $false +.sym 18926 $false +.sym 18945 $abc$4874$n61$2 +.sym 18946 CLK$2$2 +.sym 18947 $abc$4874$n11$2 +.sym 19022 UART.tx_clk_counter[1] +.sym 19023 UART.tx_clk_counter[0] +.sym 19024 $false +.sym 19025 $false +.sym 19028 $false +.sym 19029 UART.tx_clk_counter[3] +.sym 19030 $false +.sym 19031 $auto$alumacc.cc:474:replace_alu$460.C[3] +.sym 19040 $abc$4874$n384 +.sym 19041 $abc$4874$n269 +.sym 19042 $abc$4874$n272 +.sym 19043 $abc$4874$n388_1 +.sym 19046 $false +.sym 19047 UART.tx_clk_counter[0] +.sym 19048 $false +.sym 19049 $false +.sym 19052 $false +.sym 19053 UART.tx_clk_counter[2] +.sym 19054 $false +.sym 19055 $auto$alumacc.cc:474:replace_alu$460.C[2] +.sym 19058 $abc$4874$n273 +.sym 19059 $abc$4874$n275 +.sym 19060 $abc$4874$n276 +.sym 19061 $abc$4874$n279 +.sym 19064 $false +.sym 19065 UART.tx_clk_counter[4] +.sym 19066 $false +.sym 19067 $auto$alumacc.cc:474:replace_alu$460.C[4] +.sym 19151 $abc$4874$n386_1 +.sym 19152 $abc$4874$n273 +.sym 19153 $false +.sym 19154 $false +.sym 19191 $abc$4874$n61$2 +.sym 19192 CLK$2$2 +.sym 19193 $abc$4874$n11$2 +.sym 19353 $true +.sym 19390 com_len[0]$2 +.sym 19391 $false +.sym 19392 com_len[0] +.sym 19393 $false +.sym 19394 $false +.sym 19396 $auto$alumacc.cc:474:replace_alu$457.C[2] +.sym 19398 $false +.sym 19399 com_len[1] +.sym 19403 $false +.sym 19404 $false +.sym 19405 com_len[2] +.sym 19406 $auto$alumacc.cc:474:replace_alu$457.C[2] +.sym 19415 com_len[0] +.sym 19416 $abc$4874$n309 +.sym 19417 $abc$4874$n342_1 +.sym 19418 $false +.sym 19421 $abc$4874$n316 +.sym 19422 $abc$4874$n342_1 +.sym 19423 com_len[2] +.sym 19424 $false +.sym 19427 $false +.sym 19428 $false +.sym 19429 com_len[0] +.sym 19430 $false +.sym 19532 $true$2 +.sym 19533 $false +.sym 19534 $false +.sym 19535 $false +.sym 19544 $true$2 +.sym 19545 $false +.sym 19546 $false +.sym 19547 $false +.sym 19560 $abc$4874$n23$2 +.sym 19561 CLK$2$2 +.sym 19562 $false +.sym 19661 $true$2 +.sym 19662 $false +.sym 19663 $false +.sym 19664 $false +.sym 19673 $true$2 +.sym 19674 $false +.sym 19675 $false +.sym 19676 $false +.sym 19683 $abc$4874$n23$2 +.sym 19684 CLK$2$2 +.sym 19685 $false +.sym 19722 $true +.sym 19759 $abc$4874$n460$2 +.sym 19760 $false +.sym 19761 $abc$4874$n460 +.sym 19762 $false +.sym 19763 $false +.sym 19765 $auto$alumacc.cc:474:replace_alu$466.C[2] +.sym 19767 $false +.sym 19768 $abc$4874$n446 +.sym 19772 $false +.sym 19773 $false +.sym 19774 $abc$4874$n461 +.sym 19775 $auto$alumacc.cc:474:replace_alu$466.C[2] +.sym 19784 $abc$4874$n431 +.sym 19785 $false +.sym 19786 $false +.sym 19787 $false +.sym 19790 $false +.sym 19791 $false +.sym 19792 $abc$4874$n460 +.sym 19793 $false +.sym 19883 $abc$4874$n431 +.sym 19884 $abc$4874$n434 +.sym 19885 $abc$4874$n436 +.sym 19886 $abc$4874$n446 +.sym 19889 $abc$4874$n434 +.sym 19890 $false +.sym 19891 $false +.sym 19892 $false +.sym 19901 UART.tx_activity +.sym 19902 $abc$4874$n386_1 +.sym 19903 $abc$4874$n389_1 +.sym 19904 $abc$4874$n61$2 +.sym 19968 $true +.sym 20005 UART.tx_bit_counter[0]$2 +.sym 20006 $false +.sym 20007 UART.tx_bit_counter[0] +.sym 20008 $false +.sym 20009 $false +.sym 20011 $auto$alumacc.cc:474:replace_alu$463.C[2]$2 +.sym 20013 UART.tx_bit_counter[1] +.sym 20014 $true$2 +.sym 20017 $auto$alumacc.cc:474:replace_alu$463.C[3] +.sym 20019 UART.tx_bit_counter[2] +.sym 20020 $true$2 +.sym 20021 $auto$alumacc.cc:474:replace_alu$463.C[2]$2 +.sym 20024 $false +.sym 20025 UART.tx_bit_counter[3] +.sym 20026 $false +.sym 20027 $auto$alumacc.cc:474:replace_alu$463.C[3] +.sym 20030 UART.tx_bit_counter[3] +.sym 20031 $abc$4874$n390 +.sym 20032 $false +.sym 20033 $false +.sym 20036 UART.tx_bit_counter[0] +.sym 20037 UART.tx_bit_counter[1] +.sym 20038 UART.tx_bit_counter[2] +.sym 20039 UART.tx_activity +.sym 20042 $false +.sym 20043 UART.tx_bit_counter[2] +.sym 20044 $false +.sym 20045 $auto$alumacc.cc:474:replace_alu$463.C[2] +.sym 20048 UART.tx_bit_counter[0] +.sym 20049 UART.tx_bit_counter[1] +.sym 20050 $false +.sym 20051 $false +.sym 20460 $false +.sym 20497 $auto$alumacc.cc:474:replace_alu$448.C[1] +.sym 20499 $abc$4874$n9$2 +.sym 20500 rststate[0] +.sym 20503 $auto$alumacc.cc:474:replace_alu$448.C[2] +.sym 20504 $false +.sym 20505 $false +.sym 20506 rststate[1] +.sym 20507 $auto$alumacc.cc:474:replace_alu$448.C[1] +.sym 20509 $auto$alumacc.cc:474:replace_alu$448.C[3] +.sym 20510 $false +.sym 20511 $false +.sym 20512 rststate[2] +.sym 20513 $auto$alumacc.cc:474:replace_alu$448.C[2] +.sym 20516 $false +.sym 20517 $false +.sym 20518 rststate[3] +.sym 20519 $auto$alumacc.cc:474:replace_alu$448.C[3] +.sym 20528 $false +.sym 20529 $abc$4874$n9$2 +.sym 20530 rststate[0] +.sym 20531 $false +.sym 20544 $true +.sym 20545 CLK$2$2 +.sym 20546 $false +.sym 20670 KBD_RC_IN[5] +.sym 20672 KBD_RC_IN[4] +.sym 20715 $false +.sym 20717 KBD_RC_EN[7] +.sym 20718 $false +.sym 20720 KBD_RC_EN[6] +.sym 20985 UART.tx_clk_counter[0] +.sym 20989 UART.tx_clk_counter[4] +.sym 21393 UART_WR +.sym 21498 UART.TX_sig_last +.sym 21599 $abc$4874$n61 +.sym 21600 $abc$4874$n384_1 +.sym 21704 UART.tx_activity +.sym 21803 $abc$4874$n431 +.sym 22310 $abc$4874$n378_1 +.sym 22312 $abc$4874$n361_1 +.sym 22412 KBD_RC_IN[3] +.sym 22414 KBD_RC_IN[2] +.sym 22487 $false +.sym 22489 KBD_RC_EN[5] +.sym 22490 $false +.sym 22492 KBD_RC_EN[4] +.sym 22909 $abc$4874$n269 +.sym 22910 $false +.sym 22911 $false +.sym 22912 $false +.sym 22933 $abc$4874$n275 +.sym 22934 $false +.sym 22935 $false +.sym 22936 $false +.sym 22949 $abc$4874$n61$2 +.sym 22950 CLK$2$2 +.sym 22951 $abc$4874$n11$2 +.sym 23401 $false +.sym 23402 $false +.sym 23403 $false +.sym 23404 $false +.sym 23441 $abc$4874$n35 +.sym 23442 CLK$2$2 +.sym 23443 $false +.sym 23542 UART_WR +.sym 23543 $false +.sym 23544 $false +.sym 23545 $false +.sym 23564 RESET$2 +.sym 23565 CLK$2$2 +.sym 23566 $false +.sym 23568 $abc$4874$n11 +.sym 23659 $abc$4874$n384_1 +.sym 23660 RESET$2 +.sym 23661 $false +.sym 23662 $false +.sym 23665 UART.TX_sig_last +.sym 23666 UART_WR +.sym 23667 UART.tx_activity +.sym 23668 $false +.sym 23800 $abc$4874$n389_1 +.sym 23801 $abc$4874$n386_1 +.sym 23802 $abc$4874$n384_1 +.sym 23803 $false +.sym 23810 $true +.sym 23811 CLK$2$2 +.sym 23812 $abc$4874$n9$2 +.sym 23905 $false +.sym 23906 UART.tx_bit_counter[0] +.sym 23907 $false +.sym 23908 $false +.sym 24429 KBD_RC_EN[0] +.sym 24502 KBD_RC_IN[2] +.sym 24503 KBD_RC_EN[2] +.sym 24504 KBD_RC_IN[0] +.sym 24505 $abc$4874$n4 +.sym 24514 KBD_RC_IN[6] +.sym 24515 KBD_RC_EN[6] +.sym 24516 KBD_RC_IN[1] +.sym 24517 KBD_RC_EN[1] +.sym 24551 KBD_RC_IN[1] +.sym 24553 KBD_RC_IN[0] +.sym 24596 $false +.sym 24598 KBD_RC_EN[3] +.sym 24599 $false +.sym 24601 KBD_RC_EN[2] +.sym 25976 UART.tx_activity +.sym 25977 $false +.sym 25978 $false +.sym 25979 $false +.sym 27061 $abc$4874$n4 +.sym 27062 $false +.sym 27063 $false +.sym 27064 $false +.sym 27189 $false +.sym 27191 KBD_RC_EN[1] +.sym 27192 $false +.sym 27194 KBD_RC_EN[0] +.sym 27429 $abc$4874$n61 +.sym 27459 $abc$4874$n11 diff --git a/Wasd_test/Firmware/i2c_kbd_detect.bin b/Wasd_test/Firmware/i2c_kbd_detect.bin new file mode 100644 index 0000000..0b2c787 Binary files /dev/null and b/Wasd_test/Firmware/i2c_kbd_detect.bin differ diff --git a/Wasd_test/Software/i2c_keyboard_detection.pro b/Wasd_test/Software/i2c_keyboard_detection.pro new file mode 100644 index 0000000..32876f4 --- /dev/null +++ b/Wasd_test/Software/i2c_keyboard_detection.pro @@ -0,0 +1,35 @@ +#------------------------------------------------- +# +# Project created by QtCreator 2019-05-02T17:45:44 +# +#------------------------------------------------- + +QT += core gui +QT += serialport + +greaterThan(QT_MAJOR_VERSION, 4): QT += widgets + +TARGET = i2c_keyboard_detection +TEMPLATE = app + +# The following define makes your compiler emit warnings if you use +# any feature of Qt which has been marked as deprecated (the exact warnings +# depend on your compiler). Please consult the documentation of the +# deprecated API in order to know how to port your code away from it. +DEFINES += QT_DEPRECATED_WARNINGS + +# You can also make your code fail to compile if you use deprecated APIs. +# In order to do so, uncomment the following line. +# You can also select to disable deprecated APIs only up to a certain version of Qt. +#DEFINES += QT_DISABLE_DEPRECATED_BEFORE=0x060000 # disables all the APIs deprecated before Qt 6.0.0 + + +SOURCES += \ + main.cpp \ + i2c_keyboard_detection.cpp + +HEADERS += \ + i2c_keyboard_detection.h + +FORMS += \ + i2c_keyboard_detection.ui