diff --git a/i2c_keyboard/.gitignore b/i2c_keyboard/.gitignore new file mode 100644 index 0000000..1cb99dd --- /dev/null +++ b/i2c_keyboard/.gitignore @@ -0,0 +1,7 @@ +*.dblite +*.ini +*.asc +*.bin +*.blif +*.ex +*.json diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite index 46280fd..62a7239 100644 Binary files a/i2c_keyboard/.sconsign.dblite and b/i2c_keyboard/.sconsign.dblite differ diff --git a/i2c_keyboard/Makefile b/i2c_keyboard/Makefile index b32dbaf..f8e2d8c 100644 --- a/i2c_keyboard/Makefile +++ b/i2c_keyboard/Makefile @@ -1,9 +1,19 @@ -rot.bin: top.v inouts.pcf +default: top.v inouts.pcf yosys -q -p "synth_ice40 -blif i2c_kbd_alt.blif" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v - arachne-pnr -p inouts.pcf i2c_kbd_alt.blif -o i2c_kbd_alt.txt - icebox_explain i2c_kbd_alt.txt > i2c_kbd_alt.ex - icepack i2c_kbd_alt.txt i2c_kbd_alt.bin + arachne-pnr -d 1k -P tq144 -p inouts.pcf i2c_kbd_alt.blif -o i2c_kbd_alt.asc +# yosys -p "synth_ice40 -json i2_kbd_alt.json" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v +# nextpnr-ice40 --hx1k --json i2_kbd_alt.json --pcf inouts.pcf --asc i2c_kbd_alt.asc + icebox_explain i2c_kbd_alt.asc > i2c_kbd_alt.ex + icepack i2c_kbd_alt.asc i2c_kbd_alt.bin + +nextpnr: top.v inouts.pcf +# yosys -q -p "synth_ice40 -blif i2c_kbd_alt.blif" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v +# arachne-pnr -p inouts.pcf i2c_kbd_alt.blif -o i2c_kbd_alt.asc + yosys -p "synth_ice40 -json i2_kbd_alt.json" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v + nextpnr-ice40 --hx1k --json i2_kbd_alt.json --pcf inouts.pcf --asc i2c_kbd_alt.asc + icebox_explain i2c_kbd_alt.asc > i2c_kbd_alt.ex + icepack i2c_kbd_alt.asc i2c_kbd_alt.bin clean: - rm -f i2c_kbd_alt.blif i2c_kbd_alt.txt i2c_kbd_alt.ex i2c_kbd_alt.bin + rm -f i2c_kbd_alt.blif i2c_kbd_alt.asc i2c_kbd_alt.ex i2c_kbd_alt.bin i2_kbd_alt.json diff --git a/i2c_keyboard/README b/i2c_keyboard/README new file mode 100644 index 0000000..fbadc9d --- /dev/null +++ b/i2c_keyboard/README @@ -0,0 +1,18 @@ +System - linux xubuntu 16.04 + +How to install apio-atom-ide (FPGA programming tool with GUI - atom): +https://github.com/FPGAwars/apio-ide/wiki +Don't forget about command: apio drivers --ftdi-enable + +How to install project IceStorm (for manual build FPGA firmware with makefile): +http://www.clifford.at/icestorm/ + + +Test programs (I2C HID host emulator and program for display debug information from FPGA): + +Qt5 is installing with nextpnr (part of IceStorm). + +Need to install (sudo apt-get update and sudo apt-get install): +qtcreator +libqt5serialport5 +libqt5serialport5-dev diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc index 5818bec..8322db0 100644 --- a/i2c_keyboard/hardware.asc +++ b/i2c_keyboard/hardware.asc @@ -1,11 +1,11 @@ -.comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) +.comment arachne-pnr 0.1+ (git sha1 40e220b, g++ 4.8.4-2ubuntu1~14.04.3 -O2) .device 1k .io_tile 1 0 -000011110000000010 -000111111000000000 +000010000000000010 +000101010000000000 000000000000000000 000000000000000001 -000000000000000001 +000001011010000001 000000000001000000 001100000000000000 000000000000000000 @@ -18,8 +18,8 @@ 000000000000000001 000000000000000000 .io_tile 2 0 -000001011000000010 -000000000000000000 +000001111000000010 +000000001000000000 000000000000000000 000000000000000001 000000000000000001 @@ -35,7 +35,7 @@ 000000000000000000 000000000000000000 .io_tile 3 0 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 000000000000000000 @@ -47,22 +47,22 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 .io_tile 4 0 000000000000000000 000100000000000000 -100000000001000000 -000000000000000001 000000000000000000 +000000000000000001 000000000000000000 +000000000000000001 001000000000000000 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -71,48 +71,48 @@ .io_tile 5 0 000000000000000000 000100000000000000 -100000000000000000 +000000000000010000 000000000000000001 000000000000000000 000000000000000000 001000000000000000 +000000000001100000 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 000000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 6 0 -000000011000000000 -000100001000000000 +000000000000010000 +000100000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000000000 +000000000000001100 001000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000001100000 +000010000000000000 +000010010000000000 000000000000000000 000000000000000000 .io_tile 7 0 -000000000000001000 -000100000000000000 +000000011000000000 +000100001000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001000 -000000000000001000 000000000000000000 000000000000000000 -000101010000000000 +000000000000000000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -121,13 +121,13 @@ 000000000000000000 .io_tile 8 0 000000000000000000 -000100000001000000 -000000000000100000 +000100000000000000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000001000000 000000000000000000 000100000000000000 000000000000000000 @@ -138,7 +138,7 @@ 000000000000000000 .io_tile 9 0 000000000000000000 -000100000000000001 +100100000000000000 000000000000000000 000000000000000001 000000000000000000 @@ -146,7 +146,7 @@ 001000000000000000 000000000000000000 000000000000000000 -100000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -171,7 +171,7 @@ 000000000000000000 000000000000000000 .io_tile 11 0 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -222,167 +222,167 @@ 000000000000000000 000000000000000000 .logic_tile 1 1 +000000000000000001100110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +111000000000000000000000000101000001000001010000000000 +000000000000000000000000001001101101000010010000000000 +110000000000000000000010100000000000000000000000000000 +100000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000001000000000000000000000000010000100000000 +000000000000000101000000000011000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000001100000000000000000000 -111000000000000001000110010000001001001100111000000000 -000000000000000000000010000000001100110011000000000000 -000000000000000001100000000000001001001100111000000000 -000000000000000000000000000000001011110011000000000000 -000000000000000001100110010000001001001100110000000000 -000000000000000000000010000000001011110011000000000000 -110000000000000011100000001001101111100000000000000010 -000000000000001011100000001001101010000000000000000001 -000000000000000001100000000101000000000000000100000000 -000000000000000000000000000011000000000001000000000000 -000000000000000011100000000001000000000000000100000000 -000000000000001011100000000111100000000001000000000000 -000000000000000000000000000001000000000000000100000000 -000000000000000000000000000011100000000001000000000000 +000000000000000101100000000111011010010011110010000001 +000000000000000011000000000001001100000001110000000000 +111000000000001000000000000011000000000011000000000000 +000000000000000001000000000101000000000000000000000000 +110000000000000001100000000000000000000000000000000000 +100000000000000011000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000001100000000011100000001100110000000000 +000000000000001011000000000000000000110011000000000000 +000000000000000101100000000001100000000001000100000000 +000000000000001001100000000011000000000011001000000000 +000000000000000000000000000001000000000001000100000000 +000000000000011001010000000101000000000011001000000000 +110010000000000000000000000000000000000000000100000000 +010001000000000000000000000011000000000010001000000000 .ramb_tile 3 1 -000000000001000000000000010000000000010000 -000000000000100000000011000000000000010000 -000000000000000000000000000000000000000100 -010000000000000000000000000000000000010000 -010000000000000000000000000000000000010100 +000000000000000000000000000000000000110000 +000000001000000000000000000000000000000011 +000000000000000000000000000000000000010001 +000000000000000000000000000000000000000000 +110000000000000000000000000000000000000001 010000000000000000000000000000000000010000 -000000000000000000000000000000000000010000 -000000001100000000000000000000000000100000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000001001 +001000000000000000000000000000000000010001 +000000000000000000000000000000000000000100 +000000000000000000000000010000000000000010 +000000000000000000000011000000000000011000 +000000000000000000000000000000000000000001 000000000000000000000000000000000000100000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000010000 -000000000000000000000000000000000000000010 +000000000000000000000000000000000000001100 +000010000000000000000000000000000000001001 +000000000000000000000000000000000000001001 +000000000000000000000000000000000000000100 .logic_tile 4 1 +000000000000001001100110000011000000000000100000000000 +000000000000000001000010010011001101000000000000100000 +111000000000001111110000001001011101000100000000000000 +000000000100001111100000000111101010001100000000000000 +010000000000000101000011100001000001000000000001000000 +110000000000000101100100000101001010000000010000100000 +000000000000001001100110101001000000000001000000000010 +000000000000001101000100001111000000000000000000000000 +000000000000001111000111110001101010000110100000000000 +000000000000000011100011010111001111001111110000000000 +000000000000011000010000000101100000000010000000000000 +000000001110100001000000000111101001000000000000000000 +000000000000001101100111101000000000000000000100000001 +000000000000000101000100000001000000000010000000000010 +010000000000001000000000010000000000000000000100000100 +100000000000000101000010101011000000000010000000000100 +.logic_tile 5 1 +000010101010001011100110001101000000000001000000000000 +000001000000000011100011000101100000000000000000000000 +111000000000000111000110001101000001000000010000000010 +000001000000000101100010101101001110000000000000100000 +010000000110000001000000000000000000000000000000000000 +010100000000000000100010110000000000000000000000000000 +000000000000000001100000001001100000000001010000000000 +000000000110100000000010011001001000000010010000100000 +000000001100010000000000001001100000000001010100000000 +000000000000100000000000000011001000000010010000000000 +000001000000001001100000011001100000000001010100000000 +000000100000000001000010000011101000000010010000000000 +000001100010000000000000011111000000000001010100000000 +000011000000000000000010011011101000000010010000000000 +000000000001000000000000000000000000000000000100000000 +000000001110001001000000001001000000000010000000000000 +.logic_tile 6 1 +000000000000001011000110101111111001110011000000000000 +000000000000000001100100001101011111000000000000000000 +111000000101011001000011000011111110110011000000000000 +000000000000100111000010010001101001000000000000000000 +010000000000000001100010011111111011110011000000000000 +110000000000000000000010000101111001000000000000000000 +000000000000010011000011010001001011100000000000000000 +000000000000101101100110001101001010000000000000000100 +110000001110000000000000000001100000000001000000000000 +110000000000000000000000000111000000000000000000000000 +000000000000000000000111101000000000000000000100000100 +000001000000000000000110001011000000000010000001000000 +000000000000000001000000001000000000000000000100000011 +000000000000000000100000001001000000000010000000000000 +010000000000001101100110011000000000000000000100000100 +010000000000000101000010101001000000000010000000000000 +.logic_tile 7 1 +000000000000000001000000010001000000000000000000000000 +000100000000000001000011111001001000000000010000000010 +111000101100000000000000001000000000000010000000000000 +000000000000000111000011011011000000000000000000000000 +010010100000001000000111001101000000000001000001000000 +110000000000000001000011010011000000000000000000000001 +000000100000000000000000000000000000000000000000000000 +000100000110000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000100000000 +000000000000001101000000000011000000000010000000100000 +001000000000001000000011101000000000000000000100000100 +000000000001000101000100000111000000000010000000000000 +000000000000000000000000001000000000000000000100000100 +000000000000000000000000001001000000000010000000100000 +010000000000000000000000000000000000000000000100000100 +010000001000000001000000001101000000000010000000100000 +.logic_tile 8 1 000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000100001001000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000111101111011100010000000001000000 +110000000000000000000010101111001110000000000000000000 +000001000000000000000000001001000000000001000000000001 +000010100000000000000000000001100000000000000000000000 +110000000000000000000000011101000001000001010100000000 +110000000000000000000010010001101100000010010000000000 +000000000000101001100000001011000001000001010100000000 +000000000000011111000000000011101110000010010000000000 +000000000000001011100000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000001000000011100000000000000000000000000000 +000000000000001111000100000000000000000000000000000000 +.logic_tile 9 1 +000000000000001000000000000101000000000001010110000000 +000000000000000001000011010001101010000010010001000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010000000000000011100000000000000000000000000000000000 +010000000000000000100010100000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 1 -000000000000000001000111110101011000110000000000000000 -000000000000001001000111110101101001111000000000000000 -111000000000001001100010010001100000000000000000000000 -000000000000001101000111110001100000000011000000000000 -110000000000001001100000001101000000000000110000000001 -110000000000001111000011111101001000000000000000000000 -000000000000000111110010000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -001000000000000000000000001001101010000011010000000000 -000000000000000000000000000101111000000011110000000000 -000000000000000000000000000001100000000011110000000000 -000000000000000000000000001111001001000011000000000000 -000000000000000000000010011101000000000010010110000010 -000000000000000000000011011101001000000001011000000000 -110000000000000000000000000001100000000010010100000000 -000000000000000000010000000001101011000001011000000101 -.logic_tile 6 1 -000000000000001000000110011111000000000010000000000000 -000000001110000111000010001011001100000000000000000000 -000010100000000001000000011001100000000001000000000000 -000000000000000001000010110001000000000000000000000000 -000000000000001001000000011101011010101100000000000000 -000000001100000001100010101101101010111100000000000000 -110001000000001001000010000101011000110000000000000000 -100010101010100101100100000011011101010100000000000000 -010000000000001011100111100001001011011100000000000000 -000000000000010011000000001001101010001100000000000000 -000000000001000000000011110000000000000000000000000000 -000000001000000000000110000000000000000000000000000000 -000000000000000000000011100111111100110000000000000000 -000000000000001001000100000001111111010100000000000000 -000100000000000000010000000000000000000000000000000000 -000101000010000000000010100000000000000000000000000000 -.logic_tile 7 1 -100000000110000001000000000000000000000000000101000000 -000000000000000000000010101001000000000010000000100001 -111000000000000000000000001000000000000000000100100000 -000000000000000000000000000011000000000010000000000000 -000000000000000011100000010000000000000000000100100001 -000000000000000000000010010101000000000010000000000000 -000000000001000011100000000000000000000000000000000000 -000000000000100000100000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000011000000000010000000000000 -000000000000000001000000000000000000000000000100100001 -000000001010001111100000000001000000000010000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000101000000000010000000100000 -000000000001000000000000000000000000000000000100000000 -000000001000000000000000001101000000000010000001000000 -.logic_tile 8 1 -000001000000000000000111100101100000000001000000000000 -000010000000000001000111101011100000000000000000000000 -111000000001001111100000000101000000000001000001000000 -000000000000000001000000000101100000000000000000000000 -010001000000000111100110001011111010010000000000000100 -010000000000001001000000001101011000000000000010100000 -000000000000001000000011010001111111100000000000000000 -000000000001000001000010001001001110000000000000000000 -000000000000000000000110101011011101010000000000000000 -000000000000000000000011010011111101000000000000000000 -000010000110100101100110110000000000000000000000000000 -000001000000011001000010100000000000000000000000000000 -000000000000000000000000000101100000000001000000000000 -000000000000000000000000000101000000000000000000000000 -010000000000011101100000001000000000000000000100000110 -100100000000100101000000000001000000000010001100000000 -.logic_tile 9 1 -000000000000000000000010010101100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111010100000001001100110010000000000000000001000000000 -000001000000000001000010000000001001000000000000000000 -010100000000000000000000000000001000001100111100000100 -100100000000000000000000000000001001110011000000000100 -001000000100000000000000000000001000001100111101000000 -000000000000000000000010010000001101110011000010000000 -000000000000000000000110000000001001001100111100100100 -000000000000000000000000000000001000110011000000100000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000100 -001000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000100 -010000000001000000000000000000001001001100111100000101 -000000000000100000000000000000001001110011000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -392,39 +392,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 1 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111001000000001000000000000000000000000000000101000000 -000010001000001101000000000101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000001000000 -000000100000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000101000010100001000000000000001000000000 +000000000000000000100100000000100000000000000000001000 +000000000000000000000000010000000000000000001000000000 +000000000000000001000010000000001000000000000000000000 +000000000000000111100000000000000001000000001000000000 +000000000000001101100000000000001001000000000000000000 +110000000000000000000000000000000000000000001000000000 +110000000000000000000000000000001010000000000000000000 +000000000000000000000000000000001000111100000010000010 +000000000000000000000000000000000000111100000000000000 +000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000001001100000000000000000100000 +000000000000000000000000000011000000000001000001000000 +.logic_tile 12 1 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000111100000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -.logic_tile 12 1 -100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000110000000 -000000000000000000000000001111000000000010000000100000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000011001000000000000000000110000000 +000000000000000000000000001011000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000011000000000000000000000000000000 -000100100000100000000000000000000000000000000000000000 .io_tile 13 1 000000000000000000 000000000000000000 @@ -444,9 +444,9 @@ 000000000000000000 .io_tile 0 2 000000000000000000 +000000000001100000 000000000000000000 -000000000000000000 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 000100000000000000 @@ -460,158 +460,158 @@ 000000000000000000 000000000000000000 .logic_tile 1 2 -000000000000000000000000000101000000000000001000000000 -000000000000000000000010110000000000000000000000001000 -000000000000000101000010100000000001000000001000000000 -000000000000000000100110110000001001000000000000000000 -000000000000000101000000000000000001000000001000000000 -000000000000001101100000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000001101000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000001 +000000000000000000000010100001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000000000110000000000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +110000000000000011000000010000001001001100111000000010 +100000000000000000000010000000001010110011000000000000 +000000000000001001100000000000001000001100110010000010 +000000000000000001000010010000001001110011000000000000 +000000000000000000000000011101000000000001010000000000 +000000000000000000000011000101101101000010010000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000000101000000000010000000000100 +000000000000000000000000011000000000000000000101000000 +000000000000000000000010001101000000000010000000000001 .logic_tile 2 2 -000000000000001111000000000001100000000000001000000000 -000000000000000111000000000000100000000000000000001000 -111000000000000011000010000101000000000000001000000000 -000000000000000000000100000000101110000000000000000000 -010000000000000000000010000001001000001100111000000011 -100000000000000000000100000000001101110011000001000000 -000000000000000001100000000101101000001100111000000000 -000000000000001101000000000000001110110011000000000001 -000000000000000000000000000000001000111100000000000000 -000000000000000011000011110000000000111100000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000001000000000000000000000000000000100000010 -000000000000000111000000000011000000000010000000000000 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000001000000000011000000000000 +000000000000000101000111100001100000000000001000000000 +000000000000000000000011000000100000000000000000001000 +111000000000000011100011000101100000000000001000000000 +000000001000000111100000000000101101000000000000000000 +110000000000000101000000000001001000000011110000000000 +100000000000000000000000000000100000111100000010000000 +000000000001011001000011100011000000000001000100000000 +000000000000000101100100000001000000000011001000000000 +000000000000000000000011100001100000000001000100000000 +000000000000001011000100000101000000000011001000000000 +000010100001010000000000000001100000000001000100000000 +000001001000100000000000000001000000000011001000000000 +000010100000000000000000000101100000000001000100000000 +000000000000000000000000000101000000000011001000000000 +010000000000000000000000000001000000000001000100000000 +110000000000000000000000000001100000000011001000000000 .ramt_tile 3 2 -000000000000000000000000000000000000000000 -000000010000000000000011011001000000000000 -111000000000000000000000000000000000000000 -000000010000000000000000000101000000000000 -000000000000000000000000000000000000000000 -000000000000001011000000000111000000000000 000000000000000000000110101000000000000000 -000000000000000000000000000111000000000000 +000000010000000000000000000111000000000000 +111010100001000000000000001000000000000000 +000000010000100000000000000101000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000000101000000000000 +000010000000001101100110110000000000000000 +000000000100000101000010101001000000000000 +000001000000000000000000001000000000000000 +000000100000000000000000001101000000000000 +000000000000001000000110011000000000000000 +000000000000000111000110011101000000000000 000000000000000000000000001000000000000000 -000000000000000000000000001101000000000000 -000001100000000101100000001000000000000000 -000010100000000000000000001111000000000000 -000100000000000101100000001000000000000000 -000100000000000000000000001111000000000000 -110000000001001111100110111000000000000000 -110000000000000101100010100011000000000000 +000010000000000000000000001011000000000000 +110000000000000001100000001000000000000000 +010000000000000000100000001011000000000000 .logic_tile 4 2 -000000000000000011000010001001100000000001100000000000 -000000000000000001000000000001101011000000110001000000 -111000000000100000000011101000000000000000000100000000 -000000000001010001000100000111000000000010000000000000 -010000001010001000000110000000000000000000000000000000 -100000000000000001000000000000000000000000000000000000 -010000000000000000000000000000000000000000000100000010 -000000000000000000000000000101000000000010000010000001 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000111000000000011000000000000 -000000000000100101100000001000000000000000000100000000 -000000000001000000000000000011000000000010000000000000 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000111100000000011000000000000 -000000000000001101100000001001000000000001000100000000 -000000000000000101000000000011000000000011000000000000 +000000000000001011100010011011000000000001010000000000 +000000000000000001100111000011001111000010010000000000 +111010001100001111000011100101000000000001000000000001 +000000001010000001000111111011100000000000000000000000 +010000000000001000000111111111011111001001000000000000 +010000000000001001000110000001111010001010000000000000 +000000000000000011100000000001100001000001100001000000 +000010000010000000000000001001101001000000110000000000 +000000000000000011100010011101000000000001000110000000 +000000000000000000100010100111100000000011001000000000 +000000000010001000000110001011000000000010110110000010 +000000000000000011000010000001101010000000111000000000 +000000000000000000000010000001000001000001100100000000 +000000000000000000000110110001001101000010101000000100 +010000000000000011000011001111100001000001010100000100 +000000000000000000100010011011101111000010011000000000 .logic_tile 5 2 -000000000010001001100110011011100001000011110000000000 -000000000110000001000010000011001011000011000000000000 -111000000000001101000111101011100001000000000000000000 -000000000000000001100000001101101010000000110000000000 -010000000000000001100000000001111000100101010000000000 -100000000000000101000010100011011101100110100000000000 -000000000000001111000111111101100000000001000000000000 -000000001010001011000111000111001000000011000000000000 -000000000000000101000111111001001100000011100000000000 -000000000000000001000011101101101000000011000000000000 -000000000000000001000000000111011000000100000000100000 -000000000000001011100011110101101011000000000000000000 -000000000000001101000010101101001100000010110100100000 -000000000000000001000000000101001010000000110000000000 -000010100000001000000000000001001110000011100100100000 -000000000000000101000000001011101110000011000000000000 +000000000000000001100110001001100000000011000000000000 +000000000000000000000010011001000000000000000000000000 +111000000000000001100110010111000000000001000000000000 +000000000000000000000010001001000000000011000000000000 +111000000000001000000110000111000000000000000000000000 +010000000000000001000000001111101001000000010000000000 +001010100000001001100000011101100001000010000000000000 +000000000000000001000010001101001001000000000000000000 +000000000000000000000000000101100000001111000000000000 +000000000000000000000010010000100000110000110000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000101000000 +000000000000000111100010000101100000000001000100100000 +000000000000000000100010001101000000000011000100000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000000001000000000010000101000000 .logic_tile 6 2 -000000000000001001100011101001001001010100000000000000 -000000000000000001100011010111011101110000000000000000 -000000000000001001100000001001000000000010000000000000 -000000000000000111100000001101000000000000000000000000 -001000000000000001100110011001111111010100000000000000 -000000000000001101000010000001001101110000000000000000 -000000000000000011100000010011011111010100000000000000 -000000000000000101100010000011011111110000000000000000 -000010100000001101000111101001000001000000110000000000 -000000000000000111100100000111001010000000000000000000 -000000000000000000000000010101000000000010000000100000 -000000000000000000000010101101100000000000000000000000 -000000000000000011100000000011001111010100000000000000 -000000000000000101100000000111101101110000000000000000 -000000000000000011110010011101001000001100000000000101 -000000000000000101000010100101011010101100000000000010 +000000000100001000000110101011001101000010000000000000 +000000000000010001000010001101101001000000000000000000 +111000000000001001100011101011000000000011000000000000 +000000000000000101000111011001001001000011110000000100 +010000000000001101100000000001100000000000000000000000 +010000000000000101000000000101000000000001000000000010 +000000000000000001100010111001000000000001000000000000 +000000000000000000100010001101000000000000000000000001 +000000000000000001000010001011111101110011000000000000 +000010000000000111100100001011101111000000000000000000 +000000000000001001000110010001101101000100100000000000 +000000000000001111100011010101001001000000000000000000 +000000000000000001000000000011101111000010000000000000 +000000000000000001100000000111001010000000000000000000 +110000000000000000000010011000000000000000000100100000 +010000000000000000000111011111000000000010000000000010 .logic_tile 7 2 -000000000000000000000000010011100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111000000000000001000000000111100000000000001000000000 -000000000000000000010000000000001100000000000000000000 -010000000000000000000000000111101000001100110000000000 -100000000000000001000000000000101110110011000000000000 -000000000000001000000110100011000001000001010001000000 -000000001100000001000000000101101000000001100000000000 -010000000000000111100000011011100001000010000000000000 -000000000000000000100011111111001101000000000000000000 -000000000000000101100110111001000000000001000000000000 -000010000000000000000010100101100000000011000000000000 -000000000000000111100000001000000000000010000100000000 -000000000000000000100000001101000000000000000000100000 -000000000010100101100110110000000000000000000000000000 -000000000001010000000010100000000000000000000000000000 +000000000000001011100110010101000000000000100000000000 +000000000000000001100010000101101101000000000000000010 +111000000001000001000000001101100000000000000000000000 +000000000000000001000000000101000000000001000000000000 +110000000000000011100111101001100000000000110000000000 +110000000001010000100110111111001011000001110000000000 +110010000000001111100111110101100000000010010000000000 +110000000000000111000010000011101000000001010000000000 +110000000000000001100110011001011111110000000000000100 +110000000000000000000110100001111110100000000000000000 +000000000000000111010000001001011111010000000000000100 +000000000000000011010000000011001011110000000000000100 +000010000000100000000011110011001110100100000000000000 +000001000001010000000010101001111010101000000000000000 +010010100000000101100111010111111100000011010100000000 +100000000000000000000110100001101100000011110000000100 .logic_tile 8 2 -000010000000001111100000011011100000000011000000000000 -000000000000000001000011011101000000000000000000000000 -111000000000001101100010101101000000000000100000000000 -010000000000010101000000000001101011000000000010000000 -110000000000001111100110010001100000000000100000000000 -010000000000000001000010000001101010000001000000000000 -000000000001011101100110000101101000001100110000000000 -000000000000100101000000000000110000110011000000000000 -000000000000000000000000010001000001000011000000100000 -000000000010000000000011111111001000000011110000000000 -000000001010100001100000000000000000000000000100000000 -000000000000000000000000000101000000000010000100000000 -000000000000000000000111001000000000000000000100000000 -000000001110000000000100000101000000000010000100000000 -010000001111000000000000001101000000000001000100000000 -100000000000000000000000000101100000000011000100000000 +000010100000001011100000010001100000000000000000000000 +000001000000001111000011100001001111000000010000000010 +000000000000000001000011100101011010111100000000000000 +000000000000000111000000000101111011011100000000000010 +000000000000001001000110010001100000000000000000000000 +000000000000001111000010001001000000000001000000000000 +000000000000000000000110000111000000000000000000000000 +000000000000000000000000001011000000000001000000000000 +110000000000000000000010101111000000000000100010000000 +110000000100001011000110000001101011000000000000000000 +000000000001010000000000001101001101000010000000000000 +000000000000000000000000000111011001000000000000000000 +000000100010000011000010101001000000000010000000000000 +000001000000001011000110110001001100000011000000000000 +000010000000000000000000000011000000000010000000000100 +000000000000001011000000000111100000000000000000000000 .logic_tile 9 2 -000000000000001001100110010000001000001100111100000010 -000000000000000001000010000000001000110011000000010000 -111000000000001001100110010000001000001100111100000010 -000000000000100001000010000000001000110011000000000000 -010100000000000000000000000000001000001100111100000000 -100000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000001 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000010000000000001001110011000000100000 -010000000000000000000000000000001001001100110100000000 -000000000000000000000000000000001001110011000000000000 +000001000000100111000110000101100001000001010001000000 +000000000001010000000011110111101101000010010000000000 +111000000000000000000011100000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +010000000000000111000010010101000000000010000000000000 +010000000000000000000111110101100000000000000000000100 +000000000000000000000011110001100000000000000010000101 +000000000000000000000010001011101000000000010000000000 +000000000000000011000011111011000001000011000000000000 +000000000000000000100010001111001011000011010000100000 +000000100000000000000000000011011100000000110000100000 +000001000000000000000011101011111001101000110000000000 +000000000000000011000000000001001101100000000000000100 +000000000000000000000000001101011001000000000000000010 +000000000000000000000110001000000000000000000100000000 +000000000000000000000000001001000000000010000000000001 .ramt_tile 10 2 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -619,50 +619,50 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 2 -000000000000000001000010000001000000000000001000000000 -000000000000000000100100000000000000000000000000001000 -111000000000000000000010100011100000000000001000000000 -000000000000000000000100000000101001000000000000000000 -010000000000000000000000000011000000000000001000000000 -100000000000000000000000000000100000000000000000000000 -000000000000000000000000000111100000000000001000000000 -000000001000000000000011010000000000000000000000000000 -000000000000000000000011100011100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000000000000111000000001000111100000000000000 -000000000000000000000010010000000000111100000000000000 -000000000000000000000000001001100000000000000000000000 -000000000000000000000000001111100000000001000000100000 -000000000000000001000010101000000000000000000100000010 -000000000000000000000000001101000000000010000011000000 +000000000000000101000010011001000000000000000000000000 +000000000000000000100010001101000000000001000000100000 +111000000000000001000000001000000000000010000000000000 +000000000000000000100000000001000000000000000000000000 +000000000000001111000000000101101010010011000000000000 +000000000000000001000000000101101111110011010000000000 +000000000000000000000110000000000000000010000000000000 +000100000000100011000011011001000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000010010000000000000010000100100000 +000001001110000000000110101111000000000000000001000000 +000000000000000000000010001000000000000010000100000000 +000000000000000000000010011011000000000000000000100000 +000000000000000000000000001001000001000001110100000000 +000000000000000000000000000101101101000001100000000000 .logic_tile 12 2 -000000000000000000000000000111100000000000001000000000 -000000000000000000000011010000100000000000000000001000 -000000000000000111000000000001000000000000001000000000 -000000001000000000000000000000100000000000000000000000 -000000000000000000000000000011100000000000001000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000010000000000000000000100000000000000000000000 -000000000000001000000011110011000000000000001000000000 -000000000000000011000011010000100000000000000000000000 -000000000000000011100000000011000001000000001000000000 -000000000000000000100011010000001110000000000000000000 -000000000000000000000000000001100000000000001000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000011100000000000001000000000 -000000000000001011000011100000100000000000000000000000 +000000000000000000000000001000000000000000000110000100 +000000000000000000000000000101000000000010001110000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .io_tile 13 2 000000000000000000 000000000000000000 @@ -670,7 +670,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 +000100000000010000 000000000000000000 000000000000000000 000100000000000000 @@ -684,10 +684,10 @@ 000000000000000000 000000000000000000 000000000000000000 +000000000000011000 000000000000000000 000000000000000000 -000000000000000000 -000100000000000000 +000100000000010000 000000000000000000 000000000000000000 000100000000000000 @@ -698,212 +698,212 @@ 000000000000000000 000000000000000000 .logic_tile 1 3 -000000000000000000000010001000000000000010000000000000 -000000000100000000000000001011000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000001000000001000000000000010000000000000 -000000000000000000100000000101000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000100010010000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000011011011000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000010011001000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000011000000000101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000111000000000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000011110000000000000000000000001000 +111000000000000111000000000101000000000000001000000000 +000010100000000000100000000000100000000000000000000000 +011000000000000000000011100011001000000011110000000100 +010000000000000000000100000000000000111100000000000001 +000000000000001000010000000000000000000000000000000000 +000000000000001101000010010000000000000000000000000000 +000000000000100111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000000101000000000010000000000000 +000100000100000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +011000000000000000000000001000000000000000000100000000 +100000000000000000000000001101000000000010000001000000 .logic_tile 2 3 -000000000000000111000111000000000000000010000010000000 -000000000000000000000110001101000000000000000000000000 -111000000000000001100111001000000000000010000010000000 -000000000000000101000110001001000000000000000000000000 -000000000000000111100010100011000001000000100000000001 -000000001000000000100110011001101000000000000000000000 -000000000000000000000011010000011001001100110000000000 -000000000000000000000010000000001100110011000000000000 -000000000000100000000110001001000000000000000010000000 -000000000000000000000000001111100000000001000000000000 -110000000000001001010000000001100001001100110000000000 -000000000000000001100000000000101011110011000000000000 -000000000001000000000000001001100000000000000100000010 -000001000000100000000000001101000000000001000000000000 -001000000000000000000110000011101010111100110100000000 -000000000000000000000000000001001011010100110000000000 +000000100000000001100110001111100000000010100000000000 +000000000000000011000000000111101010000001100001000000 +111000000000001101100011101111000001000000100000000001 +000000000000001101100100000001001001000000000000000000 +110000000000001111100011001001000000000001000000000000 +010000000000000001100000001101000000000000000010000000 +000000000110000001110110010111001011000101110000000000 +000000000000000000000011110101111000001001110000000000 +001100000000000000000000000001100000000000000000100000 +000000000000001111000011100111101100000000010000000000 +000000000000001000010110001011101010001001000000000000 +000100000000000101000000001011001000001010000000000000 +000000000010000101100011100001000001000000100000000010 +000001000001000000100000000001101000000000110000000000 +010010100000000111000000011101100000000001000100000001 +000001000000000000000010101101000000000011001000000000 .ramb_tile 3 3 -000000000000100001000111101001000000000000 -000000000001010000000000001111100000010000 -111000000000000011000010000000000000000000 -000000000000000000000100000001000000000000 -111100000000000000000000000001100000000010 -110100000000000001000000001011000000000000 -000000000000000000000000001000000000000000 -000000000000001111000000001001000000000000 -001000000000100000000000010101100000000000 -000010000001010111000011110111000000010000 -000100000000000011000011101000000000000000 -000000000000000000110000001011000000000000 -000000000000000111010110100101000000000000 -001000000000000000010100000011000000000100 -110010000000000001000010001000000000000000 -110001000000000000100100000011000000000000 +000001001001100111000000000011000001000001 +000010100000100000000000000011101101000000 +111000001010000101100111111000000000000000 +000001000000000000100111011001000000000000 +011000000000100000000111100101100000000000 +110000000000010000000100001011000000000000 +000010100000001000000000001000000000000000 +000001000000001001000000001111000000000000 +000000000000001000000010010011100000000000 +000000000000000111000111100111100000000000 +000000000000000000000111001000000000000000 +000000000000001001000011100001000000000000 +000000001110000011000000001111000000000000 +001000000000000001000000001001000000000000 +110010000000000000000000000000000000000000 +010001000000000000000010010001000000000000 .logic_tile 4 3 -000000001100000000000011100111000000000000001000000000 -000001000000000000000100000000000000000000000000001000 -111000000000000000000110010000000000000000001000000000 -000000000000000000000010000000001111000000000000000000 -000001000000000000000000000000000001000000001000000000 -000010000000000000000000000000001010000000000000000000 -000000000000000001100111100000000000000000001000000000 -000000000000001101000010110000001001000000000000000000 -000000000000001000000000000000001000111100000000000000 -000000000000000111000000000000000000111100000000000100 -000000001110000000000000001000000000000010000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000001000000000010001100000000001000010000000 -000010000000001111000011110011100000000000000000000000 -000000000000000000000000011001111011001100000100000000 -000000000000000000000010001101111010101101010000000000 +000010001100001111100110001001101101000100000001000000 +000001000000000011100000001111101100001100000000000000 +111000000000000001000010001001101111000110100000000000 +000000000000000000100110100101101011001111110000000000 +110000000000100001000111011011101010101100010001000000 +010000000001010000110111011101111000101100100000000000 +000000000000000111000010101101000000000000000000000001 +000000000000001011000110010001100000000001000000000101 +001000000000010001100000010011100000000000000000100000 +000010100000100000000011110101000000000001000000000000 +000010000000000011100111000000000000000000000100100000 +000000001110001111100100000001000000000010000000000000 +000000000000000011100000001000000000000000000101000000 +000000001100000000100000000101000000000010000000000000 +010010000000000000000011000000000000000000000100000000 +100001000000000000000000001001000000000010000000000100 .logic_tile 5 3 -000010000000000111100011011101100000000010100000000000 -000001000010001101000110001011101001000010010000000010 -111000000000000101100111100111100000000010100001000000 -000000000000000011100011010111101011000010010000000000 -110000000000001111100000010001100000000001000000000000 -110000001110001111000010000101000000000000000000000000 -000000101100001101100011111011011010010110100000000000 -000001000000001111100011000101001000100110100000000010 -000000000000000111100000001011011000010110100000000000 -000000000000100011000000001101001010100110100000000000 -000000000000000000000000001001111010010110100000000001 -000000001010000000000011111111101000100110100000100000 -000000000000001000000010000101000001000010010110000000 -000000000000001111000000000001001000000001011000000000 -110000000000000001100110101111100000000010010100100000 -000000001000000000000010001001001001000010101000000010 +000000000000000111100010000111111000110011000000000001 +000000000000001001100010011011111100000000000000000000 +111001000000110000000110011101101110000010000010000000 +000011100000110000000010001111101011000000000000000000 +010010100000001000000111101101100000000000000001000001 +110001000000001111000010000111100000000001000000000001 +000001001100110000000110100101111100110011000001000000 +000011000000110000000010010101111001000000000000000000 +001000000000000001000010001011001010000110100000000010 +000000000110001111100111111111011000001111110000000000 +000010101011000000000010011001011000000110100000000000 +000000000000100000000011111011111101001111110000000100 +000010000000000111000010000000000000000000000100000000 +000001000110000000100000001111000000000010000000000000 +010000000000000011100011110000000000000000000110000001 +100000000000010000000110000001000000000010000000000000 .logic_tile 6 3 -000010000000000101100000001011100000000010000000000000 -000001000000000001000010000011000000000000000000000000 -111000000000000001000010001101100001000000110000000000 -000000000000000000100100001001001100000000000000000000 -010000000000000011000011100101111010000011010000000000 -110000000000000000000000000001011110000011110000000010 -000000000000001011000010011001000000000000110000000000 -000000000000000101000111110101001100000000000000000010 -000010101000000101100000000001100000000010010110000000 -000001000000000000000010111011001010000001011000000000 -000000100000001101100000001001000000000010010100100000 -000000000000000101000000000111101110000001011000000100 -001000000110001101100000000101100000000010010100000000 -000000000000100101000000000001001110000010101001000000 -110000000000000000000000000101100001000010010100000000 -000000000000001101000000000001101100000001011001000000 +001000000001000011000110000101100000000001000000000000 +000000001110100000100011011111100000000000000000000100 +111000001000000000000110000101111000011100000000000100 +000000000001000001000011010001001011111100000000000001 +010000000000000111000010010101011001110011000000000000 +010000000000000011000110001101011111000000000000000000 +110001000000000001100110001011000000000000000000000000 +110010000000001011000011011111000000000011000000000000 +000000000000001011100111101101001101000010000000000000 +000001001100001111100110001001011011000000000000000010 +000000000000000000000000000011001011000100000000000000 +000010100010000000000010001001101011100000000000000000 +000000000100000000000111001011011000000110100000000010 +000000000000000000000100001011011111001111110000000000 +010001000000000000000111111000000000000000000100000000 +100010000000100000000111000101000000000010000000000000 .logic_tile 7 3 -000000000000001111000000011101000001000000010000000000 -000000000000001101100011110001101100000000000001100000 -111110100000001000000111101011000000000000010000000000 -000001000010001101000110010011101000000000000000000000 -010000000000000000000000010001000001000000000000000000 -100000000000000000000010001001001001000000010000000000 -000000000000000000000000011001000001000000010010000000 -000000000000001101000010000011001110000000000000000000 -000000000000100000000000011101100000000000010000100100 -000000000001010000000010100001101101000000000000000001 -000000100000001101110000001001011001000000000000000000 -000001000010100101000000001001011111100000000000100000 -000000000000100000000000000001100001000000010000000000 -000000000000010000000000000001101001000000000000000000 -000000000000001000000000011000000000000000000100000000 -000000000000000101000010100101000000000010000000000001 +000000000000001000000010100000000001011010010000000000 +000000000000000001000100000000001010100101100000000000 +111110000001011000000000001011100000000000000000000110 +000001000000001011000000001011000000000001000000000000 +010000000000000011100010000111000000000001000010000000 +110000000000000000100100000101100000000000000000000000 +001000000011001001100000000001100000000001000000000000 +000000000000000001000000001001000000000000000000000000 +000010100000000000000110111111011011000100100110000010 +000001001110000111000010101011001010000000000000100001 +000010100010000000000111000001000001000000110111000010 +000001000100001011000111010111001111000001110000000000 +000000000000000000010000011111000000000000100100000011 +000000000000000111000011111011001101000000000010000000 +010000000001000000000000001011000000000000100110000010 +100001000100100000000011011111001101000000000000000000 .logic_tile 8 3 -000000001000000000000110010111101100100000000000000000 -000000000000001001000010001111001001000000000000100000 -000000000000000000000011000101101011000000000001000000 -000100000000010000000100000101101011100000000000000000 -000000000000000101100000000111101110010000000000000000 -000000000000001001100010001011101110000000000000000000 -000000000000100000000011000011001101100000000000000000 -000000000001010001000111000111101010000000000000000000 -000000000000000001110000010101101010000100000000000000 -000000000010011111000011110001011100000000000000000000 -000000000000001101100000010011101111000000000000000000 -000000000000000101000010100101011001100000000000000000 -000000000000000111100110110011101001100000000000000000 -000000000000000001100010101111011101000000000001000000 -000000000001011000000000000111001100000000000000000010 -000000000000000101000010000111111111100000000000000000 +000011100000000001000011000001100000000000001000000000 +000001000010001101100000000000000000000000000000001000 +000000000000000101100010110000000000000000001000000000 +000000000000000000000010000000001100000000000000000000 +000000000000000011100000000000001001001100111000000000 +000000000000000101100000000000001110110011000000000000 +000000001100001000000010100000001000001100110000000000 +000000000000100001000000000000001101110011000000000000 +000000000000001111000111100101000000000010000000000000 +000000000000001001000100000001000000000000000000000000 +000000000000000000000000000001100001000000000010000000 +000000000000000000000000001001101010000000010000000100 +000010100000001011100000000111011000001100000000000000 +000000000010001001000000000101011110000100000000000000 +000000000000000011100000000011011011010000000000100000 +000000000010000000000000000001011010000000000001000000 .logic_tile 9 3 -000000000000001101000010100011101101100000000001100000 -000000000000000111000010101101001111000000000000000000 -111000000000000101100010110001001100010000000010000000 -000100000000000000100010000101001110000000000000000000 -110000000000000011100110001101000000000000010000000000 -110000000000000111000010101001101101000000000000000000 -000000000100000101000000001101011011000100000000000000 -000000000100000101000000000101001001000000000000000000 -000000000000101011000000001001001000010000000000000000 -000000000001010011100010001111011001000000000000000000 -000000000010000001100000000011000000000000000000000000 -000000000000000000000000001101100000000001000000000000 -000000000000001000000111001001000000000000000000000000 -000010000000001101000000001111000000000001000000000000 -010000000000000000000011001000000000000000000100000000 -100000000000000000000000000001000000000010000000000001 +000000000100000101000011001101000000000000110001000001 +000000000000000000100010101011101111000000100000000100 +111100000000000000000110110011000000000000000011100000 +000000000000000000000111110001100000000001000000000000 +000000000000000000000000000111100000000001000000000000 +000000000010001101000010101001100000000000000000000100 +000000000000001111100000000011000000000001000001000100 +000000001110000111000000000001100000000000000000000000 +000000000000000000000111010101011000000011110010000100 +000000000000000000000110000000010000111100000000000000 +001000000000000111000000011000000000000010000001000000 +000000000000000000100011000011000000000000000000000000 +000000000000000000000111000000001000000011110001000000 +000000001000000000000100000000010000111100000000000000 +010000000000000000000000011001111010010100000100000010 +100000000110000000000011000101101101100100000100000000 .ramb_tile 10 3 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000010000000100000000000000000000000000000 -000000000000100000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 .logic_tile 11 3 -000000000000100001100000001001100000000010000001000000 -000000000001011011000011111111100000000000000000000001 -111000000100000000000110001011100001000000010000000000 -000000000000000001000010001111001110000000000000000000 -010000001010000000000000000101100001000000000000000000 -010000000000000000000000000111101011000000010000000000 -110000000000000101000111100101100000000000100000100100 -100000000000000011000110100001001110000000000000000000 -000000001010001111000000011011100001000000010000000000 -000000000000001011000010001001101000000000110000100000 -010001000000001000010110101011000000000000010000100000 -000000000000000101000000000101101111000000000000000000 -000000000000001111100000010011000000000000010000000100 -000000000000000101000011110001001100000000000000000000 -010000000000000000000110111000000000000010000101000000 -000000000000000001000010001001000000000000000010000000 +000000000000001111100111110101000001000000100000000000 +000000000000001111100110001001001100000000000000100000 +000000000000000000000111100101000001000011010000000000 +000000000000000011000011001111001101000011110001100100 +000000000000000011100000000001101100000011110000100000 +000000000000000011000011010000110000111100000000000000 +000000000000100001100000000111100001000000010000000100 +000000000000000000000010101111101011000000000000000000 +000000000000000111000110100011100000000000000000100000 +000000000000000111100000000011001000000000010001000000 +000000000000001011100110110011111110111000000000000000 +000000000000000101000011000001101011111100000000000000 +000000000000000000000000001001000001000000110000000000 +000000000000000000000011100001101010000000010000000010 +000000000000000111110110100001100000000001000000000000 +000000000000000000000000000011100000000000000001000000 .logic_tile 12 3 -000000000000000111100000010000001000111100000000000000 -000000000000000000100011110000000000111100000000010000 -111000000000100000000110000011100000000000000001000000 -000000001001010000000000000001100000000001000000100100 -010000000000000000000000000000000000000000000000000000 -100000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000010000010000000011111011000000000000000000 -000000000000100000000000001001011101100000000000000000 -000000000000000111000110010111000001001100110010000110 -000000001010000000110111000000101101110011000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000111000001001100110110100000 -000000000000000000000000000000101001110011000000000000 +000000000000000111000000000011000000000000001000000000 +000000000000000000000011100000000000000000000000001000 +111000001100000000000000000011000000000000001000000000 +000000000000000000000000000000101000000000000000000000 +010000000000000011000010110101101000111100001001000000 +110000000000000000000111010000101001111100000000000000 +000000000000000000000110000101001000111100001000000000 +000000000000000000000011100000001000111100000000000000 +000010100000000101000000000000001000111100000000100000 +000001000000010000100010110000000000111100000000000000 +000100000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000001101000000000011000000000000 +000000000000000000000000001101100000000000000000000000 +010000000000000000000000000000000000000000000100000010 +100000000000000000000000001101000000000010001101000000 .io_tile 13 3 -000000000000000000 -000100000000000000 +000010000000000000 +000110110000000000 000000000000000000 000000000000000000 000000000000000000 @@ -912,11 +912,11 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000011000010 -000000000011000000 +000000000000000010 +000000000001000000 000000000000000000 000000000000000001 -000000011000000001 +000000000000000001 000000000000000000 .io_tile 0 4 000000000000000000 @@ -936,162 +936,165 @@ 000000000000000000 000000000000000000 .logic_tile 1 4 -000000000000000000000010000001100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000001000000000000000000000000001000000000 -000000000000000000100000000000001001000000000000000000 -000000000000000101000000000000001001001100111000000000 -000000000000101101100010110000001111110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000010100000001000001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000100 -000000000000000011000000000000001000001100111000000000 -000000000000000000100000000000001110110011000000000100 -000000000000000000000110100000001000001100110000000000 -000000000000000000000100000000001011110011000000100000 +000001000000000000000000000000000000000000000000000000 +000010100000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .logic_tile 2 4 -010001000000000001100110010101100000000000010000000000 -000000000000001011000011011001101100000000000001000001 -111000000000000001100110001011100000000001000000100000 -000000000000000011000010000011100000000000000000000010 -000000000000000111100110000111000001000001010010000100 -001000001000001011000000000101001001000001100000000000 -000000000000000000000110011101100000000001000000000000 -001000000000000011000011100011100000000000000000000010 -000000000000000000000010000001001100001100110010000000 -000000000000000001000100000000110000110011000000000101 -010000000000001111000000011011100001000000000010000101 -000000000000000001000010001001101100000000110000000000 -000000000000000000000000000001011001000011000100000000 -001000000000000011000000000001001000101011010000000000 -000000000000000000000000000101011101001100000100000000 -000000000000000000010000000101101010101101010000000000 +010100000000000111000010101011000000000000000000000001 +000100000000001111100100000001100000000001000001000000 +111000000000000000000000000101000001000010100001000000 +000000000000001001000011000111001100000001100000000000 +110000000000001111100000001000000000000000000100000011 +111000000100010001100000001001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000001000000 +000000000000100000000111101000000000000000000100000011 +000010000000000000000000001011000000000010000000000000 +000010100000000001000000000000000000000000000110100000 +000001000000000000100010010101000000000010000000000000 +000001001100001000000010000000000000000000000100000000 +001000000000001101000000000001000000000010000010000000 +010000000000000000010000000000000000000000000100000000 +101000000000000000000000001001000000000010000011000000 .ramt_tile 3 4 -000100001100000111000111000001100000000000 -000110010000000000000100001011100000010000 -111000000000000000000111100000000000000000 -000000010110001001000000000111000000000000 -000000000001010000000000001101100000001000 -000000000000000000000000000011100000000000 -000000000000000000000000010000000000000000 -000000000000000000000011101111000000000000 -000000000000001000000000000001000000000001 -000000000000001011000010010011000000000000 -000000000000000000000111001000000000000000 -000000000000001001000000001011000000000000 -000000001110100000000000001111100000000000 -000000000001010001000010000111000000010000 -010000000000000011100000000000000000000000 -010000000000000111000000001001000000000000 +000001000000000000000111100011100000000000 +000010011100000111000100001111101000000001 +111000001011010111100000001000000000000000 +000000010000100000100000000001000000000000 +000000100000000000000000001011100000010000 +000001000110100000000000000111000000000000 +000000000000000001000000000000000000000000 +000000001100000001100000001011000000000000 +000001001010001111100010001101100000000000 +000010000000000111000100001011000000000001 +000000000000000101100110110000000000000000 +000000000000000000000010110011000000000000 +000000000000000000000011100111100000000000 +000000000001010000000000000011100000010000 +010001000000000111000000010000000000000000 +110000000000000000000010101001000000000000 .logic_tile 4 4 -010000000000001000000110001001100001000000000001000000 -000000000000000001000010010001101001000000010000000000 -111000000000000001000110011111100000000010000000000001 -000001000010100101100011111101100000000000000000000000 -000000000000001000000000011101111011100000000000000100 -000000000000000001000010001101101111000000000000000001 -000000000001000111000011111001001001000111110000000000 -001000000000000101000011110011011101000011110000000000 -000001000000100000000000001101011001010111110000100000 -000000100001000000000000000101001101100111110000000001 -000000000000000101100111011001111011001001010000000000 -000000000010000000000111010101111001010100100000000000 -000000000000000000000000001101001001000011010010100000 -001000000000000000000000000101111101000011110000000000 -000110100000000000000110001000000000000010000100000000 -000101001110000000000000001101000000000000000000000000 +011001000000100011100110001101000001000000100000000100 +000000100001001001000010010111001000000000110000000001 +111000000000001111000111111101101110001001000000000000 +010000000010001111100111000011111011001010000000000000 +010001001110000101000010000111011001110011000000000000 +011000000000000011100111101011111001000000000000000010 +000000101000000111000011000011100001000000010000000000 +000001000010000000000011000011001101000000110000000000 +001000000110010111100011100011011110000110100000000010 +000000000000100000000011100001111010001111110000000000 +001000000000000001100110001111000000000001000100000000 +000000000010000111000000000001000000000011001000000100 +000000000000100001100010011101100000000010010101000010 +001000000001000000000011100101101011000010101000000000 +011000000000000111100010010111011110111101110100000000 +000000000000010000000011100001111001111100111000000000 .logic_tile 5 4 -010000000000001101100000001101100000000010100000000010 -000001000000001111000010101011101001000010010000000100 -111000000000000001000010000011100000000001000000000000 -000000000000000000000000000001000000000000000000000000 -010010100000001001100110000101100000000010100000000000 -110001000000001111000000000111001001000010010000000010 -000000000000101111100000001001001101101100000000000000 -001000000000011001100010101001011000111100000000000000 -110000000000000000000000011011100000000010100000000010 -101000000000001111000011100101101001000010010000000010 -000000000000001101000010011101000000000001000000000000 -000000000000001001100011010011000000000000000000000000 -010000000000000111000000000101000000000000000101000000 -001000001110000000100000000011000000000001001000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010001000000000111000011010011111111100000000001000000 +000000100000000000000010000101011010000000000000000001 +111000000000000011000111101111011000110011000000000000 +000000000000001111000010111001111011000000000000000000 +010000000110100001100011011111011010000010000000000000 +111000000000000000000111111111111100000000000000000000 +000000000000000011100110001111001111000010000000000000 +000000000110001111010010110101011101000000000000000000 +000001000001011011000110000011000000000001000000000001 +000010100000111111100000001001100000000000000000000000 +000000000110000001100011100001101100110011000000000000 +000000001000000001000110000001001110000000000000000000 +000001001100000011000111111011011101110011000000000000 +001000000000001111100011100011011101000000000000000000 +010010100000000011000111111101111110001100000100000000 +000001000000000000000110000001101001101101011000000001 .logic_tile 6 4 -010010000000000111000000001001000000000000100000000000 -000000100000000111000010000001001000000000000000000000 -111000000000100000000011101111100000000000000000000000 -000000000000000111000011100011100000000001000000000100 -010000000000001111000010010001100001000000100000000000 -111000000000000001000110000101101000000000000000000000 -000000000000000001100110000000000000000000000000000000 -001010000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000001010111100000001101100000000001100100000100 -000000000000000000000000001101001011000001010000000000 -000000000001010000000000001111100000000001100100000010 -001000000000100000000000001001101001000001010000000000 -010000000000000000010111111101100000000001100100000000 -100000100000000000000110001101101010000001010000000001 +000000000001001111000010001111001010000110100000000000 +000000000000100001000010010111101010001111110000000000 +111001000010000111100111011101011101000110100000000010 +000010101000000000100110000001101101001111110000000000 +110000001000000111000011101101101100000010000000000000 +011000000000000001000100001111101111000000000000000010 +000010100110000011100111111001101110110011000000000000 +000000000000000000100110001001111101000000000000000000 +000010100000000111000011110111100000000000100000000010 +000001000000000000100111101001001000000000110000000000 +000000000000000000000110100011111010000110100000000000 +000000000000001101000100001001001000001111110000000000 +000111000000000000000111101000000000000000000100000100 +001111100000000000000010011101000000000010000000000000 +010000000000010101000110001000000000000000000100000000 +101000000000001101100011001111000000000010000010000000 .logic_tile 7 4 -010000000000000001010000000001100000000000001000000000 -000000000000000000100000000000000000000000000000001000 -111000000000001001010111000000000000000000001000000000 -000001000000000011100010000000001101000000000000000000 -010000001110000000000011100000001001001100111010000101 -110000000100000000000000000000001010110011000000000000 -000000100000000111100011100000001000001100110000000000 -001000001110000000000011100000001000110011000001000000 -000100000010000000000010000001000001000001010010000000 -000100000000010000000100001111001001000001100000000000 -010000000000000000000000001101100000000000000000100011 -000000001110000000000011101001100000000001000000000000 -000000000100000000000110001000000000000000000100000000 -001000000000000000000000001011000000000010000000000001 -010000000000000000000000001000000000000000000100100000 -010000001010000000000000001101000000000010000001000000 +000010101000000111100110100101101011000010000000000000 +000001000100001011100010111001011000000000000000000000 +111000001010001001100000011101011110110011000000000000 +000000000110001111000010001011111100000000000000000000 +110010100000000001100010010001101000100000000000000000 +011000001100000000000010000101011000000000000000000000 +000000000000000000000011101011011110000010000000000000 +000000000000000011000010010111011000000000000000000000 +000000000000100111100000010111111111110011000000100000 +000000000000011111100010101111011011000000000000000000 +000000000000000111000111101111011001110011000000000000 +000100000100000111000000000011011011000000000000000000 +000000000000001111100011001000000000000000000100000000 +001000000000000011000100000011000000000010000010000010 +010000100000001000000110000000000000000000000100000000 +101001000000001011000011110111000000000010000010000000 .logic_tile 8 4 -000000000000010111100011100101101101100000000000000000 -000000000000001001100110000101011011000000000000100000 -111000000000000111100111011011101101110011000000000000 -000000000000000000010111100001111100000000000000000000 -010000000000000001100010011101011100000010000001000000 -111010000000000000000011001111101000000000000000000000 -000000000010101000000110101001001000110011000000000000 -000000000010000001000111101001011011000000000000000000 -000000000000100000000110001111011011110011000000000000 -000000100001000000000011010011011111000000000000000000 -000000000001010001100000010000000000000000000101000000 -000000000000100111000010001001000000000010000000000000 -000000000000000000000000001000000000000000000110000001 -001010000001011011000000001001000000000010000000000000 -010000000001000000000110000000000000000000000100000000 -100100000000000000000000000001000000000010000000000001 +000000000000000111000000001101100000000000000000000010 +000000000000000000000011001011001100000000010000000000 +111100001110001001000000001101000000000001000001000000 +000001001110100001000010010111100000000000000000000000 +010010100000001000000011011101000001000000100000000000 +010000000000000001000010100111001001000000000010100000 +000000000000001101100000000101100000000001000000000001 +000000001000010001100000001011000000000000000000000000 +110000000000001000000010001001100000000000000000000000 +110000000000001111000110111111000000000001000000000000 +000000000000000000000000000001100000000000000000000000 +000000000000000000000010001101001101000000010000000000 +000000000000000000000000000111000001000000000000000000 +001000000000000001000000001011001000000000010000100000 +000100001100001001100111000000000000000000000100000010 +001100001110000101000000000001000000000010000000000010 .logic_tile 9 4 -010000000000001000000000000101000000000000100000000001 -000000000000010001000011111011001100000000000000000000 -111000000000001111100111001101011101010000000001000000 -000000000000001011000111011011101001001000000000000000 -010010000000000000000010011101000000000000010000000000 -011001000000000111000111100001101000000000110000000000 -000111100000000000010000000011000000000000000000000001 -001110100000000000010000001111001010000000010000000000 -000000000000001000000000010000000000000000000000000000 -000000000110000111000011100000000000000000000000000000 -000000000000001000000010001000000000000000000100100000 -000000000000001111000100000001000000000010000001000000 -000000000000000000000000011000000000000000000101000001 -001000000000000000000011101001000000000010000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000001001100010000001000000000001000010000001 +000000000000000111000010100011100000000000000000000010 +111000000000001111100000000101100000000001000000000000 +000000000000000111000000000111100000000000000000000010 +001000000000001001000000011001011010011000000000000001 +001000000000000111000011100011001001001000000000000000 +000000000000001111100010101011011111100000000010000000 +000000000000001111010111000101101100000000000000000100 +000000000000000000000000011111011010000000000000000000 +000000000000001111000010110111101110100000000000000100 +000000000000001111000000000111101011101101010100000001 +000000000000001011100000000101001000001100000000000000 +000000000000000111000000010111101011101101010101000000 +001000000000001111100010110001011010001100000000000000 +000000000000001011100000001001011001001100000100000000 +000000001100001101000000001101001000101101010010000000 .ramt_tile 10 4 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000001000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1100,57 +1103,54 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000110100000000000000000000000000000000000 -000101000000000000000000000000000000000000 .logic_tile 11 4 -010000000000000111000110001011000000000010000000000001 -000000000000000011000010001001000000000000000000000000 -111000100110001000000000000101000000000001000000000000 -000010000000001011000010011001100000000000000001000000 -010000000000000111000011001101101011110011000001000000 -011000000000000011000100001011101000000000000000000000 -000000100000001111100110001001011011000010000000000000 -001010000000000001000011011101001100000000000000000000 -000000000000000001110110110001011011000100100100000010 -000000001010000000000010100111001011000000000000000000 -000000000000001111100000001101000000000000100101000000 -000000000000000101100010001111001101000000000010000000 -000000000000000001000000000001000000000000100101000010 -001000000000000000100000000111001101000000000000000000 -010000100000000000000000000011100001000000110100000000 -100000001000000000000010010001001111000001110010100000 +000000000000000101000011101001011100001000000000000000 +000000000000000011000000000001111010001100000001000000 +111000000000000001000000000001000000000001000000000000 +000010000000001001110000000001000000000000000001000010 +010000000000000111100110001011000000000001000000000000 +110000000000000011100000001101000000000000000001000010 +000010100000100011100111001011100000000001000000000000 +000000000000000000100000001111000000000000000000000000 +000000000000010001000000001001100000000001000000100000 +000000000000100000000000000111000000000000000000000000 +000100000000001000000010010011100000000000000000100100 +000000000000000001000011100101101000000000110000000100 +000000000000000000000000001011100000000000000000000000 +001000000000000000000000000101100000000001000001100000 +000000000000000001100000011000000000000000000101000010 +001000000000000001000011110111000000000010000000000000 .logic_tile 12 4 -010010100000000011000000000001100000000000001000000000 -000001000000000000100000000000100000000000000000001000 -111000000001100101100110110000000001000000001000000000 -000010000001010000000010100000001010000000000000000000 -110001000010000000000000000000001001001100111000000000 -000000000000000000000000000000001001110011000000000000 -000000000000001000000110100000001001001100110000000000 -001000000010000101000000000000001000110011000000000000 -000000000000000011000000000111000001001100110000000000 -000000000000000000100000000000001011110011000000000000 -000000000000000000000000000000000000000000000100100000 -001001000000000000000000000001000000000010000000000000 -000010100000000000000000000000000000000000000000000000 -001000001010001011000000000000000000000000000000000000 -110000000000000101100000000000000000000000000100000000 -110000000000000000100000000011000000000010000000000000 +000000000000100000000000001001100000000011000000000000 +000000000001010000000011110101100000000000000000000000 +111101000000000000000110000101100000001111000000000000 +000000000000000000000010100000000000110000110000000000 +010000000000001111000000001001101101111110000000000000 +011010000110000001000010110101101101111100000000000000 +000000000000000001100000000001101010000010000000000000 +000000000010000000010010101111101010000000000000000000 +000000000000000001100000001001111011111011110000000000 +000000000110000000000000000101111101111111110000100000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000010001111000000000010000100000000 +000010100001010000000000011001101011111110000100000000 +001001000000100000000010000101111101111100000100000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000000101000000000010000100000000 .io_tile 13 4 000000000000000010 -000100000000000000 +000111110000000000 000000111000000000 000000000000000001 -000010000000000001 -000000110011000000 +000000000000000001 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000010000010 +000000000000000010 000000000001000000 000000000000000000 000000000000000001 @@ -1174,213 +1174,213 @@ 000000000000000000 000000000000000000 .logic_tile 1 5 -000000000000000001100111000111100000000001000000000000 -000000000000000000000010100011000000000000000000000010 -111000000000000001000111000001100000000001000000000000 -000000000000001101100111000111100000000000000000000010 -000000000000001000000010110011100000000001000000000000 -001000000000000001000010000011000000000000000000000010 -000000000000010001000000001101101100101000110101000001 -000000000000100101100000000101011000111100110000000100 -000000000000001000000000000101000001000001010100000001 -000000000000000101000010101101001000000001100000000100 -000000000000001001100000000101101100101000110100000000 -000000000000001101000000001001011011111100110000000110 -000000000000001000000110100001101111101000110100000000 -001000000000000101000010101001011100111100110000000100 -000000000000001000000000001111001101111001110100000000 -000000000000000001000000001001111000110101110000000110 +000000000000000000000000010101100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +000000000000000101000000000001100000000000001000000000 +000000000000001101100000000000001110000000000000000000 +000100000000000000000000000001101001111100001000000000 +001100000000000000000000000000001100111100000000000000 +000000000000000101000010100101101000000011110000000000 +000000000000000000100110110000100000111100000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +000000000000000000000000000101101000000011110000000000 +000000000000000000000000000000010000111100000000000000 .logic_tile 2 5 -000000000000000111100000001001000001000011010011000000 -000000000000000000000011011011001100000011110000000100 -111000000001001000000000011011101000011100000010000000 -000000000000101101000011110111111000111000000000000000 -000000001110001000000000001111000000000001000010000000 -001000000000000001000011011001000000000000000000000000 -000100000000000000000110100101100000000001000000100000 -000000000000000000000000000101100000000000000000000000 -000000000000001000000000001101000000000011000000000100 -000000000000001101000000000001101100000011010000000000 +000000000000000000000000001001100001000010000001000000 +000000000000000000000010000111101000000011000000000000 +111000000000001011100011101011011011010111110001000000 +000000000000001111100000001101001000011011110000000000 +110001000000000011100000000101001110110011000001000000 +011010100000000000100011011001101010000000000000000000 +000000000000000011000000001101000000000000000000100001 +000000000000000000100010110011000000000001000000000000 +000000000000000011100011110011000000001111000010100000 +000000000000000001100011100000000000110000110000000000 +001000000000000001000010000000000000000000000100100000 +000000000000000000000100001011000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001001000011100000000000000000000000000010 -000000000000001000000000000000000000000000000101000000 -001000000000000011000000001001000000000010000000000000 -010010000000000000000000000000000000000000000000000000 -100001000000001001000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +010000000000000001100000001000000000000000000110000000 +100000000100000000000000001011000000000010000001000000 .ramb_tile 3 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000100000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000010000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000010000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 5 -000000000000001101000110000011011001011100000000000000 -000000000110000011000000000001101100001100000000000001 -111000000000000001100000000101101100101100000000000000 -000000000000000000000011111011011001001100000000000000 -000000000000010001100000001111101010010100000000000000 -000000000000000000000010101011011111100100000000000000 -000000000000000101000000011111011000101000010000000000 -000000000000000011000010000001111000011000010000000000 -000001000000000000000111010001000000000000000000000000 -000010100110000000000011110001000000000001000000100001 -000000000000001000000010101111100000000001000000000000 -000000000000001111000010100101000000000000000000100000 -000000000000000001000111010111000000000000010000000000 -001000000000000000000010000101101110000000110000000000 -000000000000000101100000001011000001000000110100100000 -000000000000001111000000001011101011000001110000000000 +000001000000001000000010101111101110000110100000000000 +001010100000000001000111110101011010001111110000000000 +111000000000000101000110100101100000000001000001000000 +000000000100000011100100001001100000000000000001000000 +010000000000000001100010100001100001000000100000000000 +011000000000000000000110000011001110000000110000000000 +000000000001000101000110111111101110000010000000000000 +000000000000000000100111010011111101000000000010000000 +000000000000000001000111000011001011100000000000000000 +001000000000001001100011100001011000000000000000000100 +000100000000001111100011101011001011010111110000000000 +000000001100001011100000001011111001011011110000000000 +000001000000000000000111101000000000000000000101000000 +001010000000000000010010010011000000000010000000000000 +010000001010000001100110001000000000000000000100000000 +100000000000001001000000001101000000000010000010000000 .logic_tile 5 5 -010000000000100101000111100011101100110011110000000000 -000000000001000000100110000101011001010011110000000000 -111000000000000101100111001111000000000000000000000000 -000000000000000000000100000001001001000000010000100000 -000000000000001101000111110001100000000011010000000000 -001000000000000001000110001101001110000011000000000000 -000000000000001101000110010111111011011100000000000000 -001000000000000001100010001111011011111000000000000000 -000000000000000011100110000101011000111000000000000000 -000000000000000111100000001111111100110000000000000000 -000000000000000001000000000101011010111101110100000000 -000000000000000000000000000001001100111100110000000000 -000000000000000001100110011000000000000010000100000000 -001000000000000000100011010111000000000000000001000000 -000000000000000001000000001101100000000011010100000110 -000100000000010000110000000101001010000011110000000000 +000001000000000011100110011101101000010000000000000010 +000010000110000000100010101011011001110000000000000000 +111010000000001111100111001101101010000100000000000000 +000000000000001011000100000001011000001100000000000100 +110000000000100011100010110101100000000001000000000000 +011000000001000011100110000111100000000000000000000000 +000000000000011001100011011101101010000010000000000000 +000000000000000001000110100011001011000011000000000000 +000011100000001000000111011111001010010000000000000000 +000000000000000011000011101101101111001000000000000010 +000000000001000011100000000011011010010000000000000001 +000000000000100000000010010101011101001000000000000010 +000010000000000101000111011000000000000000000100000000 +001001000000000000100011000001000000000010000000000000 +010001000000000000000000001000000000000000000101000010 +100010100000000000000000001001000000000010000000000000 .logic_tile 6 5 -000000000100000111000111010001000001000000100001000000 -000000000000000001000110001001001101000000110010000001 -111000000000100111000111010011100000000000010000000000 -000000001001110000000011001101101000000000000010000000 -110000000000101011100110010001100001000010000000000000 -111000000001011011100010000001001100000000000000000000 -000000000000001111000110010001100001000000100000000000 -000000000000000001100010000101101001000000000000000000 -000010101100100000000000000001100001000000000000000000 -000000000001000000000000000101101001000000010000000000 -000000000000000011000000000111100001000001100100000100 -000000000100001001000010011001001100000001010000000000 -000000001100100000000010001101100000000001100100000000 -001000000001000000010000000101101011000001010000000100 -010000000001000001100000000101100001000001100100000110 -100000000100100000000000001001101010000001010000000000 +000010000000001111000111101101100000000001000000000000 +000001001010000001000111010001000000000000000000000000 +111010100000001111100011111101011001000100000000000010 +000001000000000101000010001011011000001100000000000000 +110010000000001111000000000001000001000000100000000000 +011001001110001011000000000111101010000000110010000000 +000010000000000101000111000111101101010000000000000000 +000000001100000001000011000001101100110000000000000001 +000000000000000011000111001011011001000110100000000100 +000000000000000011000011111101111101001111110000000000 +000000000001000001100111110111111101000110100000000000 +000000000000000001000011111001001011001111110000000000 +000000000000000011100000000101001100010000000000000000 +001000000000000101100000000001001001000000000000000000 +010010000000100111000110100000000000000000000100000000 +100001000111000000100000000111000000000010000001000000 .logic_tile 7 5 -000000000000101111100110100001100001000000100000000000 -000000000001011111100111110001001011000000000000000000 -111000000000000011100111110001100001000000100001000000 -000000000000000111100010000101101001000000000000000000 -010000000000001111100110001111000000000010000010000000 -011000000000001111100000000011001110000000000000000001 -000000000000000011100111101001100000000000000000000000 -000000000010001111100000000101100000000001000000000000 -000010000000000000000000001111111101000011110000000000 -000000000000000000000000001011011110000011100000100000 -000010100000000000000010000101111010001100000000000000 -000001000110000101000010010001011010001000000000000000 -000000000000001011100000000001100000000010000000000000 -001000000000000001100000000101000000000000000000000000 -010000000000110111000000000101011000000011110100100000 -100000000000100101100000000101101001000011100000000000 +000000000000100001100111011001101011000010000000000000 +000000001010010101000111010011111101000000000000000000 +111000000000000001000011101101011101110011000000000000 +000000001010000000100110010001011110000000000000000000 +110000000000000011100000001001011011100000000000000000 +011000000000000000100011110101011011000000000000000000 +000000000000010001100011010001011000011100000000100000 +000000000000100000000110000111011001111100000000000000 +000000000000001011100111110011000000000001000000000001 +000000000000000001100111110011100000000000000000100000 +000000100000001000000010011111111100110011000000000000 +000000000000001111000111111011101111000000000000000000 +000010100000000000000000010001101011110011000000000000 +001001000000000101000011011001111110000000000000000000 +010000000010000011100010100000000000000000000100000000 +100000000000000001000100000011000000000010000001000000 .logic_tile 8 5 -000000000000000101100111111111000000000001000000000000 -000000000000001111000010100111100000000000000000000001 -111000000000010011100000010111011011000011000000000001 -000000000000000000000010110101001010000001000000100110 -010000000000001000000000001011100000000000110000000000 -011000100000000001000000000111001101000000010000000000 -000000000001010101110000001011000000000000000001000000 -000000000010100011000010000001000000000001000000000000 -000001000000101000010000001001111011000010000000000000 -000010000001000001000011111011011001000000000001000000 -000000000001000001000000000001100000000011000000000000 -000000000000000000000010000101101000000001000000000000 -000000000000000001000000000000000000000000000100000110 -001000000000000000100011110001000000000010000000000101 -010000000000000111000000000000000000000000000100000000 -100100000001000000100000001001000000000010000000000001 +000000000000000001000111010001011101000000000000000000 +000000000000000001100110000111001100100000000000000000 +111000000000100011100111110001000000000010000000000100 +000000000000000001100111101011000000000000000000000000 +001010000000001000000000000011000000000000000000000000 +001000000110000001000000000011000000000001000000000000 +110000000000000001100010001011000000000001000010000100 +110000000000010000000000001011100000000000000000000001 +000000000000001001100010111001100001000011000000000000 +000000000000001111000111101101101001000010000010000100 +000000000011011111100111100101111111111100000001000000 +000000001110100001100100001111111001011100000000000010 +000000000000000011010000010001101001011100000000000110 +001000000000000000100011101001011000001100000000100000 +000000000000000000000110000101100000000001000110000010 +001000001110000000000000000101000000000011000000100000 .logic_tile 9 5 -000000000000010011000010100011000000000000000001000000 -000000001100100000100010100011000000000001000000000000 -111000000000011000000111110001100000000001000000000000 -000000000000001111000110110101000000000000000000000000 -010000000001000001100010010011100000000010000000000000 -111000000000000101000010101011000000000000000000000000 -000010100000000101100000000001100001000010000000000000 -000001000000000000000011000101101011000011000000000000 -000000000100001011100000000001001010010000000011000000 -000000000000001011100010001001111111000000000000000010 -000000000000000111100000000001111010110000000000000010 -000000100100000000000000000101101111100000000000000000 -000000000000000000000110010001001110010000000000000100 -001000000000000000010010000101011111000000000000000000 -010100000001000000000000001000000000000000000100000000 -100100001110000000000000001001000000000010000000000110 +000000000000001011100011111011001011110011110001000000 +000000000000001111100111100001011011010011110000000000 +111000000000011001100111111011101010111100000000000000 +000000000000001011000111101011111000011100000000000000 +000000000000011011100110010001100000000010010010000000 +000010000000101011000011101001101010000001010000000000 +000000000000001111100111000011111000110011110000000000 +000000000000000001100011011111111001010011110000000000 +000000000000000111000000011001001011100000000000000000 +000000000000000001100011101001001010110000000000000000 +000000000000100000000010000101001101000110100000000000 +000000000000110000000111111001011011001110100000000000 +000001000000000001100000010101100000000011000100000000 +001000100000000000000010110001001110000011010011000001 +000000001100000000000000000101101101111111000100100000 +000000001010000000010000000101001000111110000001000000 .ramb_tile 10 5 -000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000010000000000000000000000000000000 -000011101100000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101110000000000000000000000000000000 -000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001110000000000000000000000000000 +000000000001100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000100000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 -000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 5 -000000000000000111100011001001001001000010000000000000 -000000000000000111100110010001011010000000000000000011 -111000000000000001000011110101100000000001000001000001 -000000000100000011100011111101100000000000000000000000 -010000000000000000000010111101111001000010000000000000 -011010100110000000000110000111111111000000000000000000 -000010001010000111100111100001011001110011000000000000 -000000000000000000100011100011011110000000000000000000 -000000000000000111000000000001100000000001000010000000 -000000000000000000000000001101000000000000000010000000 -001000001110000001000111101000000000000000000100000000 -000000001110000000100100001011000000000010000000000000 -000000000000000000000011000000000000000000000110000000 -001000000000000000000100001111000000000010000000000000 -010100000000100101100110101000000000000000000110000100 -100100000001010001000000000011000000000010000000000000 +000010000000001001100011110101000001000000000001000000 +000001000000001111000011011011101100000000010000000000 +111000000100000000000010000001000001000000000000000000 +000000000000000011000110101111101101000000010001000000 +000000000001000011000110010001001110010111000000000000 +001000000000101001000011010001001100111111000000000010 +000001000000100101000110000001111001111100000000000000 +000000000010000000000000000101101001011100000000000000 +000010100000001111100000011111001101110000000000000001 +000001000000001011000010111101101111100000000001000000 +000000000000001111100110001101100000000000000000000000 +000010000000000111100000001111001001000000010000000010 +000000000000001111100000010001111100101000000000000011 +001000000000001101000010110111101010011000000000000000 +000000000100001000000111101101001011000011110110000000 +000000000000001111000010000111011100100011110000000000 .logic_tile 12 5 -000000000000000101000110101001101101110011000000000000 -000000000000000111100010011011111100000000000000000001 -111001000001001001100110010000000000000010000000000100 -000000101110101011000011100011000000000000000000000100 -110010000000001000000011011001000000000000100001000000 -011001000001001011000111010101001100000000110000000000 -000000000000001101000000001001011000100000000001000000 -000000000000010001100000000101101001000000000000000000 -000010000000001111000011110001101011110011000000000000 -000001000000001001000111111101001001000000000000000000 -000000100000001011100000010000000000000000000101000000 -001000001100101111110011001101000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000001000000000010000011000000 -010010100101110000000000001000000000000000000101000000 -100010000011110000000000000011000000000010000000000000 +000000000001010000000000000001100000000000001000000000 +000000000000100000000000000000000000000000000000001000 +111000000000000101000000000101100001000000001000000000 +000000000000010000000000000000101100000000000000000000 +010000000000000011100111000101101000000011110000100000 +111000000000000000100100000000100000111100000000000000 +000000001110000000000010100000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000001000000000000000000000000000000000100100000 +000000000000100000000000000101000000000010000001000000 +000000000000010111000000000000000000000000000000000000 +001000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 5 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -1390,7 +1390,7 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000100000 000000000000000000 000000000000000000 000000000000000000 @@ -1401,234 +1401,234 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000010000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 6 -000000000000001000000000000001100001001100110000000000 -000000000000001001000010110000001010110011000000000000 -111001000000001111100110000101100000000001000000000000 -000010100000000011100000000101100000000000000000000010 -000100000000001000000010000011100000000001000000000000 -000000000000001001000110110001000000000000000000000010 -000000000000001001000110001011111011000010000000000000 -000000000000000001000010101101011100000000000000000000 -000000000000000000000000010111000000000000000000000000 -000000000000000111000010101001100000000001000000000000 -000000000000000001100000000101101100111001110101000001 -000000000000000001000000000111011011110101110000000100 -000000000000001000000000011101001111101000110100000100 -000000000000000001000010100001111110111100110010000000 -000000000000000011000000001101001101011000000100000010 -000000000000000000000000000101101001110000000000000000 +000001000000000000000010101101100000000000000000000010 +000000000000000000000010000001101101000000110000000000 +111000000000001000000010100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000011101001100000000010000000000000 +110000000000000000000000000101101001000000000000000000 +000000000000000111000000010000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +000000000000000000000011011101100001000000110100000000 +000000000000000000000010000001101101000000000000000000 +000000000000000000000000001111000000000011000100000000 +000000100000000000000011011001100000000010000000000000 +000000000000000000000011001011000000000011000110000000 +000000000000000000010000000101100000000010000000000000 +010000000000000000000000000111000000000011000100000000 +100000000000000000000000001001100000000010000000000000 .logic_tile 2 6 -000000000000000000000110101011000000000000000000000010 -000000000000000000000011011101100000000001000010000000 -111000000000011000000000011001100000000000000000000000 -000000001010000001000010000011000000000001000010000000 -010000000100001011000010001011000000000001000000000000 -010000000000000011100011001001100000000000000000000000 -000000100000000111100011101011101010011100100010000000 -000001000000001011000000001111001100111100000010000000 -000000000000100001000110000011101000000100000000000000 -000000000000000000100000001111111110000000000000000000 -000000100000000001100110000101000000000000000000100000 -000000000000000111100100000101101011000000010000000000 -000000000000100111000110001101100001000001010000000000 -000010000000000000100100000101001011000010010000000000 -000000000001010000000000011000000000000000000110000000 -000000000000100000000011001111000000000010000010000001 +000001000000001111000010100011000000000000001000000000 +000000100000000011100010000000000000000000000000001000 +111000000000000011100000000000000001000000001000000000 +000000000000001001100000000000001111000000000000000000 +110000000000000000000000000000001001001100110000000000 +010000000000000000000000000000001010110011000000000100 +000000000000000101100000001001001101011010010001000001 +000000000000000101100000000101001000000000000010000000 +000000000000000111000000001001000001000001010000000010 +000000000000001111100011111111001000000010010000000000 +000000100001010000000000001000000000000000000100100000 +000000001110100000000000000111000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000001000000000000000001001000000000010000010000000 +010100000000000000000011100000000000000000000110000000 +100100000000000001000011101001000000000010000000100000 .ramt_tile 3 6 -000011000100000000000000000000000000000000 -000011100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000100010000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100001010000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000100000000000000000000000000000000 +000000001111010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101010010000000000000000000000000000 +000000001110100000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000001000000000000000000000000000000 +000010100000100000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000001010000000000000000000000000000 .logic_tile 4 6 -000000000000000111100011100101100000000000001000000000 -000000000000000001100100000000000000000000000000001000 -111000000000001111100110100000000000000000001000000000 -000100000000001111000100000000001000000000000000000000 -110000000000000000000011010000001001001100110000000010 -110000000110000000000111100000001010110011000000000001 -000000000000001101000000001001100000000000000001000000 -000000000000000111100000000001000000000001000000000101 -000000000000011011100000000101011111011001010100000100 -000000000000000111100000000011101101011010100000000000 -000000000000100000000000000011100001000001010100000000 -000000000001000111000011001001001110000001100000100000 -000001000000000111100111100001100000000001010100000000 -000010000000000000000000001101101110000001100000100000 -000010000000000000000000000001000001000001010100000100 -000001000000001111000000000011001110000001100000000000 +000001000000001111100110000001000000000000000001000000 +000000100000000011100011010011100000000001000000100000 +111000000000000111100011000111001101000100000001000000 +000010100101011111000100000111101010100000000001000000 +110000000001000001100000001111011010110011000000000000 +010000000000100000000000000011111000000000000000000000 +000000001000000000000000001001100000000000110000000010 +000000001010001111000011010011001110000000000000000000 +000000000000000000000000000001100000001111000000000000 +000000000000001111000011100000000000110000110001000000 +000000100000001001000110000011011001000100100000000001 +000001001010000011000000000111001100000000000000000011 +000000000001010000000010010001111100000110100000000000 +000000000000000111000011011001111010001111110000000000 +011000100001000000000000000000000000000000000100000000 +100000000000000000000000000001000000000010000010100000 .logic_tile 5 6 -000000000000000001000110011011111010100000000000000000 -000000000000000000000010001101101111000000000000000000 -111000000000000001000111000111000000000001000000100000 -000010000000000001000010101011100000000000000000000000 -000000000001010001100110001101100000000000100000000000 -000000000000101001000011100101101010000000110000000000 -010000000000001011100111010111100000000000000000000000 -000000000000001111100010000001100000000001000000000000 -110000000000000000000111101001100000000000110000000000 -100000000000000111000010000001001101000000100001000000 -000000000001000111100111100111100001000000000000000000 -000000000000000000000000001001001001000000010000000000 -000000000000000000000111101101001010101100000000000000 -000000000000000000000100001001101100001100000000000000 -010000000000000111100111101101001001111100100100100000 -100000000000000000000000001001111100111100110100000010 +001000000000001101000110101001101100110011000000000000 +000000100010000001110010001111011110000000000000000000 +111100000000001011100111000011111101010100110000000010 +000000000000000001000010101001101111011100110000000000 +010000000000111101100111111001001010011111110001000000 +110000000001010101000110100001011000111111110000000000 +000010000000000011100110001101011010000110100000000000 +000001000000000000000010001011001010001111110000000000 +000101000000001101100010000011011001110011000000000000 +000100001110000111100011110011011110000000000000000000 +000000000000000111000110011001011010000010000000000000 +000000100000000001100110001001111111000000000000000001 +000000000000100111100000011011011010101001010000000000 +000000000000000000000011101001111101100101010000000000 +010000000000000011100010011000000000000000000100000000 +100000001010000000100111010011000000000010000001000000 .logic_tile 6 6 -000010000000000011100110011101101100001100000000000000 -000001000000000001100010000011111001101100000001000000 -111000000000000000000000001001101010000011110000000000 -000000000000001001000011000101111011100011110000000010 -110000000000000000000010010001000000000000000000000000 -110000001100000000000110000001000000000001000000000000 -000000000000011001100011100101111111000010000000000000 -000000000000100001000011000011101110000000000000000000 -000000000000000001000010000001000001000000000000000000 -000000000000000000100111100111101010000000010000100010 -000000000000001000000011101011000001000010000000000010 -000000000000000001000000001111101101000000000000000000 -000010000000000001000010000011000000000001000000000000 -000001000000000000100100001001100000000000000000000001 -010000000000001001000000001000000000000000000110000000 -010000000000001011000011101111000000000010000000000000 +000000000000001000000111101011100000000001000000000000 +000000000000001111000111100001100000000000000000000000 +111000000110000011100110001001111011000110100000000000 +000000000000000011100011001001111010001111110001000000 +010010100000001111000111000101001001110000000000000000 +010000001110001111000010010011111100110001010010000000 +000000000000000011100010001101001110000110100000000100 +000000001100000011100011011101101010001111110000000000 +000011100000001011100000011111011000010111110000000000 +000011100000000001000011101111101010011011110000000000 +000000000000001011100111101001001101010000000000000000 +000001000000000001000111001111011110110000000000000000 +000000000000010111100000011011101001110001010000000000 +000000001100100000000011111011011010110000000000100000 +010000000001001000000111100000000000000000000100000100 +100000000000001101000110010011000000000010000000000000 .logic_tile 7 6 -000000000000001001000011100101000000000001000000100000 -000000000000100111100010100101000000000000000000000000 -111010100000000111100110010001101111000010000000000000 -000100000000000000100110001101101001000000000000000000 -010000000000000001100010011001011000010111110000000000 -010000000000001101000011111101101100011011110000000000 -001000000000001000000110001001111010110011000000000000 -000000000000001011000000000101111111010010000000000000 -000010000000000000000000000000000000000000000100000100 -000000000000000001000000000001000000000010000000100010 -000000100000000000000000000000000000000000000100000000 -000001000000000000000000000111000000000010000000000100 -000000000000000001000000000000000000000000000101100000 -000000000000001011000011101101000000000010000000000010 -010000000001000000000000000000000000000000000100000000 -100000000000100000000000000001000000000010000000000010 +000000000000000111000111110001100000000000000000100001 +000000001110000111000111001001100000000001000001000000 +111000000000010001100111000111100000000010000000000100 +000000000000001001000100000001101010000000000000000000 +110000000001010001100000001001100000000000000000000100 +010000000000001001000010011011001010000000010010000010 +000000000000001000000011100101100001000000100000000000 +000000000000010001000000001101101010000000000000000010 +000000000010000000000000001011100000000000010000000000 +000000000010000000000010010011001000000000000000000000 +000000000000001111100000010101111001000010000000000000 +000000001110001011000011111101101110000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000001100000 +010000000000001011000010000000000000000000000100000101 +100000000110001011000000001001000000000010000000000000 .logic_tile 8 6 -000000000001111001000110001101101011110000000000000000 -000001000000111011100010011111011000000000000000000010 -111000100000001111100011011111011011110011000001000000 -000001000000000111100111011001111100010010000000000000 -010000000000100001000110101011001110010111110000000000 -010000000000000101000011000101101000100111110000000000 -000001000000001001000110001111011000110011000000000000 -000010000000000101000110011101011000000000000000000000 -000100000000100011100011000001001010011100000000000000 -000100100001000111000000001101101010111100000000000000 -000010000000000111000000000000000000000000000100000000 -000000000000000000000000000011000000000010000001000100 -000001000000001011100111000000000000000000000100000010 -000010100000000111100100000011000000000010000000000000 -010000000010100000000111001000000000000000000100000000 -100000001010000000000100000001000000000010000001000000 +000000000000000000000011010001000001000000000000100000 +000000000000000000000111111101101010000000010000000000 +111000000000001000000111010000000000011010010000000110 +000000000000000111000010000000001010100101100001000000 +110000000000001111100110111011000000000001000000000010 +110000000000001011100010100001000000000000000000100000 +000000000100001001100000001001100001000011010000000000 +000000000000000111000000001001101010000011000001100000 +000010100000100001000000001101100000000000000000000010 +000001000000010000100000001001000000000001000000000000 +000000100000000000000000000001000000000000000000000000 +000011000000000000000000001101100000000001000000000010 +000001000000000000000011000011000001000000000000000000 +000000000000000000000000000101001110000000010000000000 +010000000001000111110000001000000000000000000101000000 +100000000000110000000011111111000000000010000000000000 .logic_tile 9 6 -000010101010000111100011110011001111110011000000000010 -000001100000000001100010100001111110000000000000000000 -111001000001010011100111010011101001000100000000000000 -000010000000000000100111110001111001001100000000100000 -110000000010000111100111101001001101010111110001000000 -010001000000000000100100001101101010100111110000000000 -000000001110101111100010001111101111000010000000000000 -000000001001011111100100001111011101000000000000000001 -000000000000100111000011000011111000000110100000000000 -000010100001010000000111100101101011001111110001000000 -000001000000000000000110011000000000000000000101000000 -000010001000000000000010000101000000000010000010000000 -000001000000011000000011001000000000000000000110000000 -000000000000100111000110011001000000000010000000000000 -010000001000000011000000010000000000000000000100000000 -100000000000000000100011010111000000000010000010000000 +000000000000000111000000000111000000001111000011000100 +000000000000001011000000000000100000110000110000100000 +111000000000000000000000000001000000000001000000000000 +000000000000000000000000000001000000000000000011000000 +011101000000000001100111111101000000000001000001000000 +110010000000000000000111100111100000000000000000000001 +000000100000000000000010001000000000000010000000000000 +000001000010001001000000000101000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000010000000000000101000000000000000000000000 +000010100000000000000000001000000000000010000000000000 +000001000000000000000000001111000000000000000000000000 +000000000000000000000000011000000000000000000100000010 +000000000000000000000011011101000000000010000000000001 +000010100000000101000000000000000000000000000000000000 +000001000000000000100010110000000000000000000000000000 .ramt_tile 10 6 -000000000010000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000001110100000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010100000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 6 -000000000000000001100010011101101111110011000000000000 -000000000000001011000111110011111010000000000000100000 -000010100010001101000111100111101111000110100000000000 -000000000000010111000110101001001110001111110000000000 -001000100001011111100111001001000001000000010000000000 -000000000000001111100000001111001101000000000000100000 -000000000000000101010111101111111000000010000000000000 -000000000000000000000110100001011110000000000000000000 -000000000000010011100011110011100000000000010000000100 -000000000000000000000011100001101011000000000000000000 -000000000000101111100110111101001111000110100000000000 -000100000001010011100010001001011111001111110010000000 -000000000001001001000011101011001100000110100000000000 -000000001110001011100010001101011101001111110000000000 -000000100000000011000110000001011001010111110000000000 -000000000000001111000011010101101100100111110000000000 +000000000000000001100110010101100000000000100000000000 +000000000000001011000011001001001100000000000000000010 +111000001110000000000000000101100000000001000000000000 +000000000000000000000010101011000000000000000001000000 +000000000000000001100110000000000000000010000000000001 +000000000000001011000000000101000000000000000000000000 +000000000001000001100110000101100000000001000000000000 +000000000000100011000010101011100000000000000000000010 +000000000000001000000111000000000000000010000010000000 +000010100000000111000011111101000000000000000000000000 +000000000000000101100000010011111000101000110100100000 +000000000000000000000010100101111000111100110000000101 +000000000000000000000000000011111010101000110100100000 +000000000000000000000000000001011101111100110000100100 +000000000000000000000110100111111000101000110100100000 +000000000000000000000000000101111001111100110000100001 .logic_tile 12 6 -000000000001000111100111011001011100110011000000000000 -000000000000100000000110001111001100000000000000000000 -000000000001001000000111011011001100000010000000000000 -000010000010001011000110001001011000000000000000000000 -000000000000011000000011101111111101110011000000000000 -000000000000100001000000001011011011000000000000000000 -000000001110001111100111001001101000100000000000000000 -000000000100000001000100000101111010000000000001000000 -000000000000000011100000011001001100110011000000000000 -000000000000001011000011000001101101000000000000000000 -000000000000001111100111000011111010000010000000000000 -000000000010000111100111001001001111000000000000000000 -000011100000001111000000010111011111110011000000000000 -000011000000001011000011011101111100000000000000000000 -000000000000101001100011110111101110000110100000000000 -000000000001011111100011000111001001001111110000000000 +000000000000000011100111100011000000000000001000000000 +000000000000010000100100000000000000000000000000001000 +000000100000000000000111000000000001000000001000000000 +000000000110000000000000000000001101000000000000000000 +000000000000010101100000000000001001001100111000000000 +000000000000100000000000000000001110110011000000000000 +000000000000000000000000000000001000001100111000000100 +000000000000000000000000000000001000110011000000000000 +000000000000000111000000000000001001001100111000000000 +000000000000000000100000000000001100110011000000000000 +000000000000000000010111000000001000001100111000000000 +000010000000101101000000000000001001110011000000000000 +000010000001010000000000000000001000001100111000000000 +000001000000100000000000000000001010110011000000000000 +000000000000000011100000000000001001001100110000000000 +000100000000000000000000000000001001110011000000000000 .io_tile 13 6 000000000000000010 000100000000000000 -000000000000000000 -000000000000000001 -000000000001000001 +000010000000000000 +000000110000000001 +000000000010000001 000000000011000000 -001100000000010000 -000000000000000000 +001101011000000000 +000000001000000000 000000000000000000 000100000000000000 -000010000011000010 -000000010001000000 -000000011000010000 +000000000000000010 +000000000011000000 +000000000000000000 000000000000000001 000000000000000001 000000000000000000 @@ -1650,219 +1650,219 @@ 000000000000000000 000000000000000000 .logic_tile 1 7 -000000000000101000000000000101100000000001000000000100 -000000001000000011000000000011000000000000000000000000 -111010000000001001100111010001111011100000000000000000 -000000000000000001000111000101011001000000000000000000 -010000000000001001100010010001100000000001000000000000 -110000000000000001000011000101000000000000000000000010 -000000000000001000000010110000000000000000000000000000 -000000000000000011000011010000000000000000000000000000 -000000000000000000000000000101101011100000000000000000 -000000000000000001000000000001111001000000000000000000 -000000000000000111100111101111000000000001000000000010 -000000001010000000100100000011000000000000000000000000 -000000000000000011100000001011100000000011000000000000 -000000000000000000100000001111101100000011010001000000 -000000100000000000000110001000000000000000000101000000 -000001000110000000000000000011000000000010000000000000 -.logic_tile 2 7 -000000101110001011010011110000000000000000000000000000 -000000000000001011000010000000000000000000000000000000 -111000000000001000000111001000000000000010000000000000 -000000000000001111000011001101000000000000000000000100 -010010100000001111100000001001100001000000000000000000 -010001000010000011000000001011101000000000010000000000 -000000000000001000000110110101100000000001000000000010 -000000000000000011000011100101100000000000000000000001 -000000000000000000000000000101100000000000000000100000 -000000000000000000000000000101000000000011000000000101 -000000000000100000000000010001011000111100000010000000 -000000000000000000000011010001011010011100000000100001 +000000000000000001000000000000000000000000000000000000 +000000000000000000100011100000000000000000000000000000 +111000000000001001000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +010000000000100000000011101001011100011001010100000001 +110000001010000000000111001011001001011010100000000010 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000110000000000000000000000000000000000110000000 -000000000000000000000000001001000000000010000000000110 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000001101000001000001010110000000 +000000000000000000010000000001101110000010010000100000 +000000000000000011100000001111000001000001010110000000 +000000000000000000100011010001101100000010010000100000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 2 7 +000010000000001000010000000011000000000000001000000000 +000000000000001111000011000000100000000000000000001000 +111000100000010000000000000000000001000000001000000000 +000001000000100000000000000000001110000000000000000000 +010001000000000000000000000000000000000000001000000000 +010000100000000000000000000000001100000000000000000000 +000000000001010000000000000000000001000000001000000000 +000000000000100000000000000000001100000000000000000000 +000000000000001011100010000000001000111100000000000000 +000000000000001011110100000000000000111100000000000100 +000010100000001001000000000000000000000000000000000000 +000001000110001011100000000000000000000000000000000010 +000000000001010000000000000000000000000000000100000001 +000000000000000000000000000001000000000010000000000001 +110100000000000000000000000000000000000000000100100000 +010100000000001011000000000011000000000010000000000000 .ramb_tile 3 7 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000100001100000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 +000000000000000000000000000000000000001000 000000000000000000000000000000000000000000 +010000001110000000000111000000000000000001 +110000000000000000000100000000000000000000 +000000000000000000000000000000000000001000 000000000000000000000000000000000000000000 +000010100001110000000000000000000000010000 +000101000001110000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 +000000000000000000010000000000000000000001 000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000001000 .logic_tile 4 7 -000010100000110111100000000111100000000000001000000000 -000001000001110000100011110000100000000000000000001000 -111010000000001111100011100111100001000000001000000000 -000000000000001011000000000000101100000000000000000000 -010000100000000011000010110111101001001100111000000000 -010000000000000000100010000000001110110011000010000000 -000000000000000000000000000101001001001100110000000000 -000000000000000000000000000000001100110011000001000000 -000000000000000000000000000001000000000000100000000000 -000000000000000000000000000111001011000000000000100000 -000000000000000111000000000000000000000010000000000001 -000000000000000000000000001101000000000000000000000000 -000000001010000111000000001001100000000001000000000000 -000000000000000000100000000001000000000000000001000000 -000000000000001111000110111000000000000000000100000010 -000000000000000101000010101001000000000010000010000010 +000000000000001111100010000111011011100000000000000000 +000000000000010011000011001111111011000000000000000001 +111000000000000001100111001011100000000010100001000000 +000000000000000111000100001001101011000010010000000000 +010000000010000111100010011101000000000010000000000000 +000000000000000101100111111011001011000011000000000000 +000000000000010011100011000111000000000000110001000001 +000000000000100111000110101011001000000000010001000000 +000000000000001001000010000111111011000110100000000000 +000000000000000011110000000101101010001111110000000010 +000000000000000000000000001001100000000010100000000000 +000000000000000000000011101111001011000010010000000000 +000000000000001001000110110001101110000100000100000000 +000000000000000011000110001101001000001100000000000100 +110000000000000000000000010001001100000100000100000000 +000100000000000000000011111001101100001100000000000100 .logic_tile 5 7 -000000000000000001100110101001000000000000000000000000 -000000000000000000000010000101000000000001000000000001 -111000000000000000000011011101111101000100000000000000 -000000000000100011000110001101111010000000000000000000 -010000000000000111100110001000000000000010000001000000 -010000000000000000100000001011000000000000000000000000 -000000000000001001100110010001111100001100110000000001 -000000000010000001000010000000100000110011000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000001000000000010000000000000000000100100000 -000000000000001011000011001001000000000010001000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010001001000000 -010000000000100000000000001000000000000010000100000000 -010000000001000000010000001001000000000000000001000000 +000000000110000000000010001111000000000001000000000000 +000000000000000001000000001001100000000000000010100000 +111000000100010101000111000111111100110011000000000000 +000100000000001001100010010111111001000000000000000000 +110000000010000001000000011111111001000010000000000000 +110000000110001001100011011111111101000000000000000000 +000000000001000001000010101011111000010000000000000000 +000001000000100000100111110001001011110000000000100000 +000000000100001000000111001011100001000010100000000010 +000000001110000101000111101101101110000010010000000000 +000010000100000000000011111111101101000110100000000000 +000000000110001111000011100001001111001111110000000100 +000000000111011000000011110011000000000001100100000000 +000000000000100111000110000101101000000010101000000000 +010000001110000101100010101011000000000001100100000000 +110000000001010000000110111101101010000010101000000000 .logic_tile 6 7 -000000000000000000000111101001111111010100000000000000 -000000000000001001000110011001101110100100000010000000 -111000000000001001010110000000000000000000000000000000 -000000000000000011100111100000000000000000000000000000 -001000000000000000000000001001100001000001010000000000 -000000000000000000000011010001001110000001100000000100 -000001000000001000000111000011001001110010100000000100 -000000100000000011000000001101011010110011110000000000 -000001000010000001000000011011011110000011010000000010 -000000100000000111100011101101001000000011000000000000 -000010100010000000000000000000000000000000000000000000 -000001000010000000000000000000000000000000000000000000 -000000000000001000000000001001011111101000000000000100 -000000000011011001000000001101101110011000000000000000 -000000000000000101000000000011000000000001000100100010 -000000000000000101000000000111100000000011000000000001 +000000000000000111100111110011000000000001000000100000 +000000000000000000100010001001100000000000000000000000 +111000000000111001100110011111011010000100000000100000 +000001001000010001000010001111001000001100000000000000 +110000000000000111100000000101100000000000000000000000 +110000000010000000100000000000000000111111110000000000 +000000000000001101000111101101101011010100000000100000 +000000000000000111000111011111101000100100000000000000 +000000000000001000000010000011100001000000000000000000 +000000000000001011000000000011001110000000010000000000 +000010000000000111000010000001000000000000100000000000 +000000000000000000100100001001101101000001000001000100 +000000000000000111100111101011100001000001100100000000 +000000000000000000100000001001101000000010100000000000 +010000000000000111100010011111100001000001100100000000 +100000000001010000100110001001101011000010100010000000 .logic_tile 7 7 -001000001100001001100111111111001111000010000000000000 -000000000000000111000010000101011101000000000000000000 -111000000001000101000011111101000000000000000000000000 -000010000000101101100011100011101001000000010000000000 -110000000000000111100111100101100001000000100000000000 -110000000000000000000110100111101001000000110000000000 -000000000000000101000011111111101000000000000001000000 -000001000000001101000011110101011011100000000000000000 -000000000000001011100000001011011010000011010000000001 -000000000000001111100000001111001010000011000000000000 -000000000000000011100110001011011001101000000000000000 -000000000000000000100011101011001000011000000000000100 -000000000000000011100011111111100000000001100100000000 -000000000000100001100011111101101000000001010000000000 -010000000000000101100110011111100000000001100100000000 -100000000100000000000010001001101000000001010000000000 +000000000000001001000000001011101010101011110000000000 +000000000000000011000011010111111000100111110000000000 +111000000000000000000010011111001001110110000000000000 +000000000000000000000111010101011011110101000000000000 +000010100000001001100110011011100000000000000000000000 +000000000100000001000010001101000000000001000000000000 +000001100001000001000011110101111101101011110000000000 +000000000110001001100111000001001011100111110000000000 +000000000000011111100000010011101010110000000000000000 +000000000000101101100010110101001011100000000010000000 +000000000000000111010111100001111011011100100000100000 +000100000000000001100000000011111011011100010000000000 +000000000000000011100000000111111110010000000000000100 +000000000000000000100000001011011100000000000000000000 +010000000000011101100000011000000000000000000100000100 +100000000000001101100010111001000000000010000000000000 .logic_tile 8 7 -000000000000101001100111110001111111000110100000000000 -000000000001011011000010001001101010001111110000000001 -000000000000000000000010100111101011000110100000000000 -000000000000001001000010011101101011001111110000000000 -000010000000000111000110111011100000000001000000000000 -000001000000001011100110000001100000000000000001000000 -000010000000000111000000000111111000000110100000000000 -000001000010010000010010010111011001001111110000000000 -000001000000001001000111010011101010000110100000000000 -000010000000000011100011110011001000001111110000000000 -000000000010001001100011010011101100000110100000000000 -000000000000000001100011001011111111001111110000000000 -000010100100001000000110001001000000000000010000000000 -000001000000000011000011010011001011000000000000000010 -000100000000000011100111100111000000000001000000100000 -000100000101011111100100000011100000000000000000000000 +000000000000100101000011101001000000000010000000000000 +000000000001010000100111110101000000000000000010000010 +111000000000000011000111110101111001100000000000000000 +000000000000000000000111101001111010000000000000000000 +010000000000000001100010010101000000000001000000000000 +010000000000000000010010101101100000000000000000000000 +000000000001111101000010011011000001000011010010100000 +000000000000100111100010000111101000000011000000100000 +000000000000000000000111011101000000000001000000000000 +000000000000000111000111111011100000000000000000100000 +000010000000001000000000001101001100100000000000000000 +000101000000001111000010011101001101000000000000000000 +000100000000001000000000001001100000000001000001000100 +000000000000001011000000000011100000000000000000000000 +000011100000100000000000000000000000000000000100000010 +000001000000000001000000000111000000000010000000000000 .logic_tile 9 7 -000010000000000000000010000111011001000100000000000001 -000000000000000111000010010001111010001100000000000000 -111000100000000001100111011011000000000001000000100000 -000000001000001001000010111111000000000000000000000000 -010000000000000001000011110101101001110011000000000000 -010000000000000111100010110101111100000000000000000000 -000000000000000101100010000011101111000110100000000000 -000000000000000011100110000101101111001111110001000000 -000000000000001111000000011011111000100000000000000010 -000000000000001011100010001001001101000000000000100000 -000001000000000111000000001111011010110011000000000000 -000000000000001111110011110101111011000000000000000000 -000000000000000011000111010000000000000000000100000100 -000000000000000000100111011101000000000010000001000000 -010000000100000000000000010000000000000000000100000100 -010000000000000000000011000011000000000010000000000000 +000010000000000111000010100001000000000000001000000000 +000001000000000000000000000000100000000000000000001000 +000010100001010111100000000000000000000000001000000000 +000001001111010101100000000000001000000000000000000000 +000000000010000000000000000000000001000000001000000000 +000000000000001011000000000000001010000000000000000000 +001000000000100101000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000100000000000010000000000000001000000001000000000 +000000001000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000100010000000000000000000001000000000000000000000 +000000000000000000000011100000000000000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000000000000000000000001000111100000000000000 +000010000000000000000000000000000000111100000000000010 .ramb_tile 10 7 000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000110000000000000000000000000000000 +000000010000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000101000000000000000000000000000000000 -000000100000010000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 .logic_tile 11 7 -000000000000001000000110001111111111000110100001000000 -000000000000001011000010010011011111001111110000000000 -111000000000000000010110010101011110110011000001000000 -000000000000000111000010001111101100000000000000000000 -010000000000000000000110000001101001000010000000000000 -010000000000000000000010110001111001000000000000000010 -000000001100100101000000001000000000000000000100000000 -000000000110001101100000000101000000000010000010000000 -000000000000000011100000001000000000000000000100000000 -000000000000000000000000000001000000000010000000100000 -000000000000100000000010001000000000000000000100000010 -000000100000010101000100001011000000000010000000000000 -000000000000000000000000001000000000000000000100000000 -000000000001000000000010000101000000000010000010000000 -010001000000001001100111010000000000000000000101000000 -100000000000010001000011001101000000000010000000000000 +000000000000000000000000000001100001000000001000000000 +000000000000000000000000000000001101000000000000000000 +111000000100001001000010010000001000001100111000000000 +000000001110000001000111010000001101110011000000000000 +110000000000000000000000000000001000001100111000000000 +110000000000000000000000000000001101110011000000000100 +000001000000000000000000000000001001001100110000000000 +000000000010000000000000000000001101110011000000000000 +110000000000010000000110111011100000000001000000000000 +000000000000100000000011011001000000000000000000000010 +000000100100000101100110111011011100100000000000100101 +000000000000000000000010101011111101000000000000000100 +000000000000000000000011001000000000000010000000100000 +000000000000000000000000000001000000000000000000000000 +000000000110000000000000001000000000000000000110000000 +000000000000000000000000001001000000000010000001000000 .logic_tile 12 7 -000000000000010101000010101011000001000000000010000000 -000000000000100000100110111001001101000000010000000000 -111100001110000000000000011111000000000001000001000000 -000000001110001111000011110111000000000000000000000000 -110000001110000000000000001000000000000000000100000000 -000000000110000000000000000011000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000010100000000000000000001111000000000010000000100000 -000010000000001011100000000000000000000000000100000000 -000001000000000111000000000011000000000010000000100000 -000010000000001000000000000000000000000000000100000000 -000000000000001101000011110001000000000010000000000000 -000000000001000111100000000000000000000000000100100000 -000000000000100000000011011001000000000010000000000000 -010010100000101000000000000000000000000000000100000000 -010000001001010011000000001001000000000010000000100000 +000010000000000011100010100001100001001100110000000000 +000001000000000111100100000000101101110011000000000000 +111000000000000011100010011001111011101000110110000110 +000000000000000101010011010011101010111100110000000000 +000010000000001101100110101101011000101000110100000010 +000000000000000001000010100111101000111100110001100000 +000000000000000011100000000011001010011100000100000000 +000010000000000011000000001011101100111100000000000000 +000000000000001111100111010001000001000001100110000100 +000000000000001011100111101111101010000010100000000000 +000000000000100011100000011001111010101000110100100100 +000000000000000000100010000011001000111100110001000000 +110000000000000111100010110111001010011100000100000000 +000000000000000000100111100011101110111100000000000000 +000000000000000011100000000011001010011100000100000000 +000000000000000000100000001011111100111100000000000000 .io_tile 13 7 000000000000000010 000100000000000000 +000000000000000000 +000000000000000001 +000000000000100010 000000000000010000 -000011110000000001 -000000000000000010 -000000000000110000 001000000000000000 000000000000000000 -000000000000000000 +000000110000000000 000100000000000000 010000000000000000 000000000000000000 @@ -1888,219 +1888,218 @@ 000000000000000000 000000000000000000 .logic_tile 1 8 -000000000000000000000110000101100000000000001000000000 -000000000000000000000011010000000000000000000000001000 -111000000000011001100110010000000000000000001000000000 -000000000000000001000010000000001011000000000000000000 -010000000000000000000000000000001000001100111100000010 -010000000000000000000010110000001101110011000010000000 -000010000000000000000000000000001000001100111100000000 -000000000000001101000000000000001001110011000010000000 -000000000010000000000000010000001001001100111100000000 -000000000000000000000010000000001000110011000000000001 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100111100000000 -100000000000000000000000000000001001110011000000000001 -.logic_tile 2 8 -000000001000000001100110110001001111000100000000000000 -000010000000000000000011100101101000000000000000000000 -111000001010001101100110111001100001000010000000000000 -000000000000000101000010100001101001000000000000000000 -110001000000000111100000000000000000000000000000000000 -110000100000010000100000000000000000000000000000000000 -000000100001010000000000001011001100010000000000000000 -000000001110100000000000000111101000000000000000000010 -000000000000001000000000000000000000000010000010000001 -000001000000000001000010111001000000000000000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000011101101000000000010000001000010 +111000000000000101000000000000000000000000000000000000 +000000000000000000100010110000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000001010000000010100000000000000000000000000000 +000000000000100000000100000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000001001000000000010000000100000 000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000001000000000111001000000000000000000100000001 -000000000000001101000100001001000000000010000000000000 -110000000011010000000000001000000000000000000100000001 -010000000000001101000000000001000000000010000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000001000000000000000000100000010 +010000000000000000000000000001000000000010000000000000 +.logic_tile 2 8 +000000000000001000000000010000000001001100110000000000 +000010000000000001000011100000001001110011000000000000 +111000000000001000000000010001101110101001010100000000 +000000000000001011000011010111001111100101010000000010 +010000000000000000000000000000000000000000000100000000 +010000000100000000000000000011000000000010000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011011011000000000010000000000000 +000000000000000111000010010111100001000011000100000000 +000000000000000111100111011011101101000010010000000000 +000000000000000011000000010000000000000000000100000000 +000000000000000000110011000011000000000010000000000000 +000000000000001111000000010111111101001100110100000000 +000000000000001011000011011011101101101100100000000000 +010000000000000000000000001001001110101001010100000000 +100000000000000000000011101101001111100101010000000000 .ramt_tile 3 8 -000000001110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000110000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000010000000000011000111001000000000000000 +000001010000000000100000001101000000000000 +111000001000000000000000010000000000000000 +000000010000001001000010101101000000000000 +000000000000000000000110111000000000000000 +000000000000010000000010100101000000000000 +000000000000001101100110100000000000000000 +000000000000000101000000000001000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000001101000000000000 +000000100000000000000000001000000000000000 +000000000000000000000000000101000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000001001000000000000 +010000000000000000000000000000000000000000 +010000000000000000000000001001000000000000 .logic_tile 4 8 -000000000000001011000110001001000001000001010000000000 -000000000000000001100010010011101110000010010000000000 -111000000000001001100010011011000000000001000000000000 -000000000000001111000110001101100000000000000000000010 -010000000000101111000010011001111010010000000000000000 -110000000001000011000011001001001000000000000000000010 -000000100001000011100111011101011010111001110000000000 -000000000000000001000011011011011010110101110000000000 -000000000000100111000000000001101011111001110000000000 -000000000001010000100010001111111010110101110000000000 -000100000000001001000110101001101110010100000000000000 -000000000000000001100100000011001100011000000000000000 -000000001100000101100011100111001111010100000000000000 -000000000000001111000010011001011110011000000000000000 -000000001100000111000000000000000000000000000100100110 -000000000000000000100000000001000000000010000000000000 +000000000001001011100111000011000000000000000001000000 +000000000000001111000011110001100000000011000000000000 +111000000110001000000000000001100000000000000000000000 +000000100000001011000000000111001001000000010000000000 +010001000000000000000111001111000000000000010000000000 +010000100000000000000011000001001011000000000000000000 +000000000001001000000000001011000000000000000000000000 +000000000000001011000000000101001001000000010000000000 +000000000000000000000011101001000000000000100000000000 +000000000000000111000000000001101100000000000000000000 +000000000000010000000000010000000000000000000110000000 +000000000000100000000011000001000000000010000000100000 +000000000000000000000000001000000000000000000100000001 +000000000000000111000000001011000000000010000000000000 +010000000000000000000000000000000000000000000100000010 +100000000000000000000000001101000000000010000000000000 .logic_tile 5 8 -000010100000001001100000001001000000000001000000000001 -000001000000001111000000001011000000000000000000000000 -111000100000010111100111101001000000000000000000000000 -000000000000000000100100000001000000000001000000000000 -011000000000001000000010001001000000000001000000000100 -110000000000001111010000001101000000000000000000000000 -000000000000000111100111000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -000000000000000000000000001011100000000001000000100000 -000000000000000000000000001011000000000000000000000000 -000010100000000001100000011001000000000001000000000100 -000001000000000000000010010001000000000000000000100000 -000000000000100000000000001101000001000000100000000000 -000000000001000000000000000101001101000000000001000000 -000000000000000000000000001000000000000000000100000100 -000100000000000000010000001001000000000010000001000000 +000000000000000000000110111101000000000001010000100000 +000000000000001001010010101101101011000010010000000000 +111010000000001001100110011001011000010111110000000000 +000000000000000101100010000001011100100111110000100000 +110000000000000101100011000101000001000001100110000010 +110010000000000111000011111011001000000010100000000000 +000000000000000111000010100101100000000001100100000100 +000000000000000000000000001011001001000010100000000000 +000100000000000101000110011101000000000001100100000000 +000100000000000000100010000011001010000010100000000100 +000000000000001001110000001101100001000001100100000000 +000000000110000001000000000011001111000010100000000000 +000000000000000000000111011101000000000001100100000000 +000000000000000000000010101001001001000010100000000000 +010000000100000000000000011101100000000001100100000001 +100000000001010000000010101001001111000010100000000000 .logic_tile 6 8 -000010100000001000000000001101011001101100000000000000 -000001000100001111000000001011101000001100000000100000 -111000000000000101000111011001111010001100000000000000 -000000000000001111100010010011101100001000000000000000 -010010100000000000000011011111111000101100000000000000 -110001000000000000000111001101001000001100000000000000 -000000000000001101000111001111011110110001010000000000 -000001000010000111100000000101001011110000000000000000 -000000000000000101100000011001111000101100000000000100 -000000000000000000000010100111101101001100000000000000 -001000000000000101100110101001111011101100000000000000 -000001000000000000000010000111101101001100000000000000 -000000000000000101000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000100000101100000000000000000000000000100000000 -000000000000000001000000001111000000000010000000000100 +000000100000011000000111110111100001000010100000000000 +000000000000100011000111011011001000000001100001000000 +111000000000010011000011100011100001000010100000000000 +000000000000000011000000000101001101000001100000000010 +010000000000000000000000000001100000000000100000000000 +010000000000001001000010010111101111000000000000000000 +000000000001000000000011100111000000000000000001100100 +000000000000000011000000001101000000000001000000000000 +000000000000000000000011110001000001000001010010000000 +000000000000000000000110100001101000000010010000000000 +000000000000001000000010011011100001000001010001000000 +000000000000001011000010101111101010000010010000000000 +000000000000000001000011101011000000000000100000000000 +000000000010000000100100001101101110000000000000000000 +010000000100001000000000011000000000000000000100100000 +100000000000000101000010010001000000000010000000000000 .logic_tile 7 8 -000000000000000101000110010000000000000000000000000000 -000000001100001011100011010000000000000000000000000000 -000000000001001001100011100011001111000100000000000000 -000010001110101111000010010001111000001100000000000000 -000000000000000101000111110101000000000010000000000000 -000000000000001011100111000101100000000011000000000000 -000010000001000000000111010001101011010111110000000000 -000000000000001001000010111111111010011011110000000000 -000000000000001111100000011011001011000100000010000000 -000000000000000101000011100001001011000000000000000000 -000000100000000000000111000001000001000000100000000000 -000001000000000000000100000011001001000001000000000000 -000000100001010000000110110011100000000000000000000011 -000000000000000000000011101001100000000001000000000000 -000000000111010000000000000011000001000000010000000000 -000000001000000000000000001001001011000000000000000000 +000000000000000111000111100001100000000011000010000000 +000000000000000111100110111111001000000011010000000000 +111000101110000111100000001001100001000001100110000000 +000001001010001001110010011011001011000010101000000000 +110000000000000101100011101111000001000001100100000000 +010000000000001101000000001101101001000010101000000000 +000000000000000011100110000000000000000000000000000000 +000000000000000000100110010000000000000000000000000000 +000000000000001000000000000101000001000001100100000000 +000000000000001111000011100011001001000010101000000000 +000000000000100000000110100101000001000001100110000000 +000000000001110000000000001001001011000010101000000000 +000000000000000000000111010111100001000001100100000000 +000000000000000000000110111101001001000010101000000000 +010010000000000000000000000001000001000001100110000000 +110000000000001101000000000001101011000010101000000000 .logic_tile 8 8 -000000000000000111000010011011011101000110100000000000 -000000001000000011000011001001101110001111110000000000 -111000100000001111100010010101101111000110100000000000 -010000000000000111100111011101101000001111110000000000 -110010000000010001100010001111001001000110100000000000 -010001000000001001000010111011011110001111110000000000 -000000000001010001000110010101001010010000000000000000 -000000001010101001100010000011101111110000000000000000 -000011101100000101000010011001111110000110100000000000 -000010100000000000000011010011111000001111110000000000 -000010000000000001000000011001001110000110100000000100 -000100000000001001100010001101111010001111110000000000 -000000000000000011100111011101100001000000010000000000 -000000000010000011000110000001001010000000000000000000 -010000000000000111000000000000000000000000000101100000 -100000000000000000100011010001000000000010000000000000 +000000000000000111100011100111100001000000100000000000 +000000000000000000100010100101001000000000000000000100 +111000000001001111000011101111101101010000000000000000 +000000000000110001000000001111101011000000000000000000 +010000000000001011000000000000000000000000000000000000 +010010100000000001100010010000000000000000000000000000 +000010000000110000000111110011100000000000010001000000 +000001000000100000000010001011101100000000000000000000 +000000000000000001000011101001011001000010000000000000 +000000000000000111100000000011011011000000000000000100 +000000001010000011000000010111100000000011010000000010 +000000000000001001000011101001001010000011110000000000 +000000000000100011000111100101011100010000000000000000 +000000000001010000100000001111101110000000000000000000 +000100001010000011100110011000000000000000000100000000 +000000000000000111000010110001000000000010000010000000 .logic_tile 9 8 -000000000000100001000111111011011000000010000000000000 -000000000000010111000011110001101110000000000000000000 -111000000010000111000111111001001001110011000000000000 -000000000000001001000110110111011101000000000000000000 -110000000100001001100000000101111011100000000001100000 -010000000000101111000000000101011001000000000000000000 -000000000000001001100111110101100000000001000000000001 -000000000000010001000110000111000000000000000000000000 -000000000000000111100111110001011101110011000000000000 -000101000000000000000011001111001011000000000000000000 -000010100000000001000110001111111100000010000000000000 -000001000000001001000011001001111100000000000000000000 -000100000010101101100010001001001111110011000000000000 -000000000000010001100010000011111111000000000000000000 -010001000100000000000010100000000000000000000100000010 -100011100000001111000100000101000000000010000000000000 +000000000000000001100000001101100001000010000010000000 +000000000000000000000000001111101110000000000000100000 +000000001110011001100111110001100001000000000000000000 +000000000000000101000010001101001001000000010000000001 +001000000000001111000000000011100000000011000001000000 +000000001000000001000011010101000000000000000000000000 +000000000000000111000000010011011001010000000000000000 +000000000000000111000011111011111000000000000000000000 +000000000000101000000011100101100000000001000010000001 +000000000001000111000111100011000000000000000000000000 +000000100000000001000000001111000000000000100000000000 +000000000000000000100000000101101000000000000000000000 +000010100000000000000010001101000000000000000000000000 +000001000000000000000100000101000000000001000000000000 +000000000000000001000110000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 .ramt_tile 10 8 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -001000000100100000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 -000001000000000000000000000000000000000000 -000010101110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001001110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000110000000000000000000000000000000 +000001000000100000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000001010000000000000000000000000000 .logic_tile 11 8 -000000000010001011000111010001101100110011000000000000 -000000000110000011100011010011111000000000000000000100 -111000101001000101100110001001111001110011000001000000 -000101000000101101100010111111101010000000000000000000 -110000000000001000000000010111000000000000000000000000 -110100001100001011000010001001000000000001000001100000 -000000000000001011000000001101111100000110100001000000 -000100000000001011000000000001001010001111110000000000 -000000000000011000000011000111000000000000000010000000 -000000000000100011000000001001100000000001000001000000 -000000000010101000000000000111100000000000000000000000 -000000000001010101000000001001100000000001000000000100 -000001000000001000000111110001000000000000000000000011 -000010100000000011010111111001000000000001000000000010 -010001000000100000000010000000000000000000000100000000 -100000100001010000000010001101000000000010000001000000 +000000000000000001000111000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +111000001100101000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +010000000000000000000111010101000000000001000000000000 +010000000000000000000010011101100000000000000000000010 +000000000101000000000000000001100000000001000010000000 +000001000000000001000000001001000000000000000000000010 +000000000000000000000000011001000001000000100000000010 +000000000000000000000010000111001100000000000000000000 +000000000000001000000000000111100001000000000000100000 +000000000010011111000000000001001010000000010000000000 +000000000000000000000000000000000000000010000010000000 +000000000000000000000010001011000000000000000000000000 +001000000000000011100000000000000000000000000100000000 +000000000000000000000000001111000000000010000010000000 .logic_tile 12 8 -000100000000000000000000001011000000000000000000100000 -000100001110000000000011100001100000000001000000100000 -111000000000001000000000000011101101000100000000000010 -000000000000001111000011110011001101100000000000000000 -010000000000000000000011101011101110110000000000000010 -110000000000000000000000000011101110000000000000000000 -000000000000000000000000010011101111000100000000000010 -000000000100000000000011010111001101100000000000000000 -000001001000001101100011101011111011010000000000100000 -000000001100000111100000001111011001001000000001100000 -000000000000001111000111000000000000000000000110000000 -000000000000000011100000001011000000000010000000100000 -000000000000001101100000000000000000000000000100000010 -000000000000000111100000001001000000000010000000000000 -010100001100000000000111101000000000000000000100000010 -010000000000000111000100000111000000000010000000000000 +000100000000010000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +111000100000000000000000000000000000000000000000000000 +000000000000010111000000000000000000000000000000000000 +110000000000000000000011000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000000111100000000001000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000001000000000000000000100000000 +000100000000000000100000000011000000000010000000100000 +000000000001001000000000000000000000000000000000000000 +000000000000100011000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010010000110000000000000000000000000000000000000000000 .io_tile 13 8 -000001111000000010 -000000001000000001 -000000000000000000 -000000000001100001 -000000000010000101 -000000000011000000 -001101111000000000 -000000000000000000 +000000000000000010 +000000000000000001 000000000000000000 +000000000000000001 +000000000010001101 +000000000011001100 +001101010000000000 +000000001000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2108,6 +2107,7 @@ 000000000000000000 000000000000000000 000000000000000000 +000010110000000000 .io_tile 0 9 000001110000000000 000000000000000000 @@ -2126,224 +2126,224 @@ 000000000000000000 000000000000000000 .logic_tile 1 9 -000000000000001000000011100000001000001100110100000001 -000000000000000001000000000000001000110011000001010000 -111000100000001000000000000000000000000000000000000000 -000001000000000001000000000000000000000000000000000000 -010000000000000000000011111101000000000000000100000001 -110000000000000000010110000001100000000011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000001000001001100110100100000 -000000000000000000000000000000101000110011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000010000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000110000101100000000000001000000000 +000000000000000000000011110000100000000000000000001000 +111000000000001001100110010000000000000000001000000000 +000000000000000001000010000000001111000000000000000000 +010000000000000000000000000000001000001100111100000000 +010000000000000000000000000000001101110011000000000010 +000000000000000000000000000000001000001100111100000000 +000000000000000000000010110000001001110011000010000000 +001000000000000000000000010000001001001100111100000000 +000000000000000000000010000000001000110011000000000010 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000011010000001001110011000000000000 +010000000000000000000000000000001001001100111100000000 +100000000000000000000000000000001001110011000000000000 .logic_tile 2 9 -000001000000001000000000000101100000000000001000000000 -000010100000000011000000000000000000000000000000001000 -111000000000000011100110000000000000000000001000000000 -000000000000000000100000000000001010000000000000000000 -110000000000001000000000010000000001000000001000000000 -110000000000000011000011010000001010000000000000000000 -000000000001000111100000000000000000000000001000000000 -000000000000100101100000000000001011000000000000000000 -000000000000001111000000000000001000111100000010000000 -000000000000000111000000000000000000111100000000000000 -000000000000000101100000001011000001000010100000000100 -000000000000000000000000000011001001000010010000000000 -000000000000000000000111100011000000000000000010000001 -000000000000000000000100000001000000000011000000000000 -010000000000000000000000001000000000000000000100000000 -100000000000000000000000000001000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000001011000000000000000000000000000000001000 +111000000000000001100111000000000000000000001000000000 +000000001010001101000100000000001110000000000000000000 +110000000000001000000010100000001001001100110001000000 +110001000000000011000100000000001100110011000000000000 +000000000000001000000000001011100001000010100001000000 +000000000000000111000000001111101001000001100000000000 +000000000000000001000000001000000000000010000000000000 +000000000000000000100011100001000000000000000000100000 +000000000000001000000000000000000000000010000000100000 +000000000000000001010010000011000000000000000000000010 +000000000000000000000111000000000000000010000000000000 +000000000000001011000000001101000000000000000000000010 +000000000000000000000000010001001101000111110100000000 +000000000000000000000010100101011010000011110000100000 .ramb_tile 3 9 -000010100000000000000000010000000000000001 -000001000000000000000011000000000000000000 -000010000111010000000000000000000000000010 -000001000000100000000000000000000000000000 -010000001110000000000000000000000000000000 -010000001110000000000000000000000000000000 -000000100111010000000000000000000000000010 -000001000100100000000000000000000000000000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000100000100000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000010 +000000000000010000000010010101100000010000 +000000000000000001000011001001000000000000 +111010100000000000000011101000000000000000 +000001000000000111000000001001000000000000 +110000000000000000000000001001000000010000 +110000000000001011000010010001100000000000 +000000001100000000000000001000000000000000 +000000000000000001000011011011000000000000 +000000000000000000000111110011000000000010 +000000000000000000000111110001100000000000 +000010100000000000000010000000000000000000 +000001000000000001010100001101000000000000 +000000001100000000000011100101000000000000 +000000000000000000000000001011000000000000 +110000000000000000000000001000000000000000 +010000000000000000010000001101000000000000 .logic_tile 4 9 -000000000000000000000000000000011000001100110000000000 -000000000000000000000000000000011100110011000000100000 -111000000000000001000111010000000000000000000000000000 -000000000000100000000110100000000000000000000000000000 -010000000000000000000111101111100001000010100000100000 -110000000000000000000100001001001010000010010000000100 -000010100001000001100000001000000000000010000000000010 -000001001000000000000000000001000000000000000001000000 -110000000000000000000000001000000000000000000100000000 -100000000000000111000000000111000000000010000000000000 -000010100000100101000000001000000000000000000100000000 -000001000001000000000000000011000000000010000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -010000000000010101000000001000000000000000000100000000 -100000000000100111010000000011000000000010000000100000 +000000000000000111000110000101100000000010000001100000 +000000000000001011100011100011000000000000000000000000 +111000000001010111110010000111111001000100000000000001 +000000000000100111100110011101101000000000000000000011 +010000000000000001000010000011101010001001000000000000 +110000000000001011000110010101001111001010000001000000 +000000000000001011100000000000000001001111000000000000 +000000000000000001100011010000001011110000110000000000 +000000000000001011100111101001100001000000100000000000 +000000000000001011000100001111001001000000000000000000 +000101001110000001000000000101100000000010100000000000 +000000000000000000100000000011001000000001100010000010 +000000000000000000000110001111001000100100000000100000 +000000000000000111000000000111011000101000000000000000 +000000000000000111000111000001000001000001010100000000 +000001000000000000000000001011101001000010010000100000 .logic_tile 5 9 -000000000000000001000110000001100000000001000100000000 -000000000000000001000111001001100000000011000001000000 -111000000000000001100111101101000000000001010100000000 -000000000000001001100010010001001101000010010001000000 -110000000000001001100111111101000000000001010110000000 -100000000000001111100110010101101111000001100000000000 -000000000000000001000010001001000001000000010101000000 -000000000000000000100100000111001100000000110000000000 -000000000000001000000011101101011001111110000110000000 -000001000000001111000000001101011001111100000000000000 -000000000001010101100011101101000001000000010110000000 -000000000000010001000100001011101100000000110000000000 -000001000000000000000011101001001100101000110100000000 -000000000001000000000000000111111100000000110000000001 -010000000000000101100000000011111110101000000100000000 -010000000000010001000000000001001101100100000000000100 +001000000000001101100000000111000000000000100000000000 +000000000000000101000010000101101000000000110000000000 +111100000000111001000000000111011110010111110000000000 +000100000001010101100000001101101000100111110000000000 +010000000000001101100011001011100000000010100000000000 +000000000000000101000010000101101101000010010000000000 +000000000000000011100111001101101100101100000100000001 +000000000000001001100010011111001110001100000000000000 +000000000000001001100000011011100000000000100101000000 +000000000000000001000011111101001000000000110000000000 +001000000000001011000010000011101011000100000100100000 +000000001110001101000100000001011000001100000000000000 +000000000000000001010010011101111010111110000100100000 +000000000000000011000010000001101001111100000000000000 +110000000000000101100000000111000000000000100100000000 +000000000000000000100010000011001010000000110000000010 .logic_tile 6 9 -000010000000000011100010011111000000000010000000000100 -000011000000000000100011101011000000000011000000000010 -111000000000001000000010100000000000000000000000000000 -000000000000001111000110010000000000000000000000000000 -010001000000001000000110001001101100000000000000000000 -010010100000000011000000001111101011100000000000000100 -000100000000100111100010100001111010000100000000000000 -000000000000000000010000000101011001000000000000000000 -000000000000001111000011101001011100000100000010000000 -000000000000000101000000001001011100000000000000100000 -000000000000001000000010000011100000000010000000000000 -000000000000000101000110011111000000000000000000000000 -000000001110001000000000001000000000000010000000000000 -000000000000000101000011110101000000000000000000000101 -000000000000000000000110000000000000000000000100000001 -000000000000000000000000001011000000000010000001000000 +000000000000001011100000000001011101110000000000000010 +000000001000001111100000000001011001010100000000000001 +111000000000000001000110010000000000000000000000000000 +000000000000001111100010110000000000000000000000000000 +010000000001000000000010100000000000000000000000000000 +110000000000100000000100000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001100001000010100000000000 +000000000000000000000000001101001000000001100000000010 +000000000011010000000010001111100000000000000000100100 +000000000000000000000100001001100000000001000000000000 +000000000000000000000000001001100000000011000000000010 +000000000000010000000000001111100000000010000011000000 +000000000000000000000011100000000000000000000101000000 +000000000000000000000100000001000000000010000000000000 .logic_tile 7 9 -000000100000000000000011010101100001000011000000000000 -000001000000001001000010000001101011000001000000000000 -111010000010000101000010011111100000000000000000000000 -000000000000000101000111101011001011000000010000000000 -110010000000001000000011110001111000110010100000000000 -110001000000000001000111010111101101110011110000000000 -000000000000001000000110011001011001001010000001000000 -000000001010000001000010000011101010001001000000000000 -000000000000000011000000011111000000000000100000000000 -000000000000000000100011010011001011000000000001000000 -000001000010001001100011100011000001000000100000000000 -000000100000001011000000001001101110000000110000000000 -000110100000000101100011100000000000000000000100000000 -000101000010000111000000000001000000000010000000000000 -000000100000001001000000000001100000000010000110000010 -000000000000000101100000000011101010000011000001000000 +000000100110000111000000001001000001000010100000000000 +000001000000100001100000000001001011000001100000000010 +111001000000000000000000001101000000000000000000000000 +000000100110001011000000001011100000000001000000000010 +110000000000000111000010000011000001000010100000000000 +000000000000000000000100000101101100000001100000000010 +000010100000010000000110100000000000000000000000000000 +000001000000000000000100000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000011000000000000000111001000000000000000000100000000 +000010000000011111000100001111000000000010000000100000 +000000000000000000000000000000000000000000000100000010 +000010000000000000000000000001000000000010000000000000 +110100000001010111100000000000000000000000000000000000 +110101000000000000000010010000000000000000000000000000 .logic_tile 8 9 -000000000000001101100000010111000000000000000001100000 -000000000000001111000010000101100000000001000000000000 -111000100000000101100110001001100000000001000000000000 -000000001111010000100011000001100000000000000000000000 -110000000000000111010110101111000001000000100000000000 -010001000000000000000100000111001111000000000000000000 -000000000000000000000000000011001001000110100001000000 -000000000000000101000000000001011001001111110000000000 -000000101010000111000000010001111010010000000000000000 -000000000000000111000011011111101011110000000000000000 -000000001110000000000000000000000000000000000000000000 -000010000000000000010000000000000000000000000000000000 -000000000000000000000110111111100000000000000000000000 -000000000000000001000010100111001000000000010000100010 -010000000000000011100010000000000000000000000100000000 -100000000000001001100000000011000000000010000000000000 +000000000000100111100010000001111100000001000000000000 +000000000000000000000011100101101110010001000000000000 +111000000110001101100011001001100000000000000010000000 +000000000000001111100011011111000000000001000000000001 +010000000000000001100110010001000001000000000000000000 +010000001110000101100010001111101011000000010000000101 +000000000000001000000110000101001101110000000000000000 +000000000000001011000011011101001000111001010000000000 +000010100000001101100010100101011010011111110000000000 +000010000000010001000111010101001011001111110000000000 +000000000100001101100000000011111000001100000101000001 +000000000000000101100010111111111100001101010000000100 +000000000001010111000010000011011011001100000100000101 +000000000000100000100111011011111100001101010000000010 +000000000000000000010010110111111000001100000100000000 +000000000010000000000110111111111000001101010011000000 .logic_tile 9 9 -000000000000000001000011111011111001010000000000000000 -000000000000000000100011001001111100000000000001000000 -111011100000001011000010000101100000000000000001000000 -000011100001000001100111110101101111000000010000000000 -110000000000010000000000001111000001000000110000100001 -010000000000100111000000001001101000000000000000000001 -000000000000101000000011101011011100000100100000100011 -000000000010010001000000000101001101000000000000000000 -001000000001110111100011110011001000001100110000100000 -000000000001110111000110110000110000110011000000000000 -000000000000000000000011001001011110000000000000000101 -000000000000001001000000000001111000110000000000000000 -000000000000000000000011100000000000000000000100000010 -000000000000000000000010011111000000000010000010000000 -010000000000000000000010000000000000000000000100000010 -100001000000000000000100000011000000000010000001000000 +000000000000000111000010100001100000000000000010000000 +000000000000000000000000001111100000000001000000000000 +000000000001011111010111100001100001000010000000000000 +000000000000101011000000001101001100000011000000000000 +000000000000001111000111111101111000001100000010000000 +000000001000001011000111000101001100001101010000000000 +000000000111111001100000011101011111000010000001000100 +000000000111110001000010100111111101000000000000000100 +000000000000000000000000001001001011001111110000000000 +000000000000000001000011101011101000000110100000000000 +000000000001000001100110001101000000000000000000000000 +000000000000100000000110010111101001000000110000000000 +000000100000000000000111000001000000000000000001000001 +000010000001000000000011101011000000000001000000000000 +000010000000000000000111001111001010001100000010000000 +000100000110001001000000001101101111000100000000000000 .ramb_tile 10 9 +000000000000100000000000000000000000000000 +000000010000010000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010101000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 .logic_tile 11 9 -000000000000000101000111011001100000000000100001000000 -000000000000000000100010001101101001000000110000000000 -111000000000000000000010110011111001000110100001000000 -000000000000000000000011110001111011001111110000000000 -110000000000000011000110010101000000000010000000000000 -010000000000000000000011111101101110000011000000000000 -000011000000100101000011001111111100000100000000100001 -000000000001001011000011000101101001100000000001000000 -000001000000010000000011000000000000000000000100000100 -000010000000100000000010010101000000000010000000000000 -000000000000000011100000000000000000000000000110000000 -000100000000000001100010001011000000000010000000000000 -000000000000000101100000001000000000000000000100000101 -000000000000000000000000000101000000000010000000000000 -010001000000000000000011001000000000000000000100000001 -100000000000000000000100000011000000000010000000000001 +000000000000000111100011111001001000000011000000000000 +000000000000000011000111000101011001101011000001000001 +111000000000001000000000000111100000000000100001000000 +000000000000001011000000000011001011000000000000000000 +000000001100000000000111101000000000000010000010000010 +000010100000000000000111011011000000000000000000000000 +000000000000001001000000001000000000000010000001000100 +000000000000000001000000001011000000000000000001000000 +000000000000100111100000000000000000011010010000000000 +000000000001010111000000000000001100100101100000000000 +000000000000000000000010010001011000011100000100000000 +000000000000000000000011010111001001111100000000100000 +000001000000001000000000000101111110101000110110100000 +000010000000001111000000001101001100111100110000000000 +000000000000001111100000000000000000000000000000000000 +000000000000001101100000000000000000000000000000000000 .logic_tile 12 9 -000000000000001000000111100011111111010000000000000110 -000000000000001011000100000001111110001000000000000000 -000000000000000000000000000001100000000001000000000000 -000000000000000000000010101101100000000000000000000000 -000010100000000000000111111001011010010000000000100010 -000001000000000000000110001101101111001000000000000000 -000000000000001000000011001001011111010010000000000100 -000000000000001011000100001111001101000000000000000000 -000000000000000011100011100000000000000000000000000000 +000000000000000000000011101000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +111000000000000000000000000000000000000000000100000000 +000000000010000000000000001001000000000010000010000000 +110000000000010000000011100000000000000000000100000000 +010000000000100000000000001101000000000010000001000000 +000010000001010111100000000000000000000000000101000000 +000000000000000000100000001001000000000010000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000010011111101000000000010000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000111100000000000000010000000000000 -000010101000000000000100000011000000000000000000000000 -000000000000000000000011100011011011000011000000100000 -000000000000000000000000001101101011110000000000000000 -000000000000000111100000001001011111000100100000000010 -000000000000000000100011011111001101000000000000000000 +000010100001010000000000000000000000000000000110000000 +000001000000100000000000001101000000000010000000000000 +010000001010000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .io_tile 13 9 -000000000000000000 +000001110000000000 000100000000000000 000000000000000000 000000000000000000 -000000011000000100 -000000001000000100 +000000000000000100 +000000000000001000 000100000000000000 000000000000000000 000010000000000000 -000101010000000000 -000000000000100010 +000110010000000000 +000000000000000010 000000000000010000 000000000000000000 -000000000001100001 +000000000000000001 000000000000000010 000000000000000000 .io_tile 0 10 @@ -2364,212 +2364,212 @@ 000000000000000000 000000000000000000 .logic_tile 1 10 -000000000000000001100000000001111110010000000001000000 -000000000000000000000011101001011010000000000000000000 -111000000000000011110000000001111011000010000000000000 -000000000000000111100000001011011100000000000000000000 -010000000000000001100010000011011000000000000000000000 -110000000000000000000111101001001010100000000010000000 -001000000000000011100011101000000000000010000000000000 -000000000000000000100010111101000000000000000000000100 -000000000000000101100111001000000000000010000000000000 -000001000000000000000000001011000000000000000000000100 -000010000000000011100000001001000000000000000000000000 -000000000000000000100010010001101000000000010010000000 -000000000000100000000000001000000000000000000101000000 -000000000001010000010000000101000000000010000000000000 -010000000000000000000000010000000000000000000101000000 -100000000000000000000010111101000000000010000000000000 +000000000001001000000000010000001000001100110101100100 +000000000000000001000011000000001000110011000000110010 +111000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001011010010100000000 +000000000000000000000000000000001001100101100000100000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 2 10 -000000100000000011100011110001000000000010000001000000 -000000000000001111000011000001100000000000000000000000 -111010000001011001000000010001100000000000000001000000 -000000000000000111100011111011100000000001000000000000 -110000000000000011000000010001101010101001010100000000 -110000000000001001100011000011001010100101010000000000 -000000000001000000000000010001101111101001010100000000 -000000000000100000000011001011001111100101010000000000 -000000000000000000000111000101011010001100110100000000 -000000000000000111000100000101011001101100100000000000 -000000000000000000000000000000000000000000000100000000 -000000000110000000000000000001000000000010000000000000 -000000000000000011100111001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -010010100000000000000000001111000000000011000100000000 -100001000000000000000011111111001011000010010000000000 +000000000101000000000110000001100000000000001000000000 +000000000000000000000011100000000000000000000000001000 +111000000001001001100000000101100000000000001000000000 +000000000000100001000000000000101100000000000000000000 +010000000000000000000000010101101000111100001000000000 +010000000000000000000010000000101110111100000000000000 +000000000000000001000010110111101000000011110000000000 +000000000000001101000110000000100000111100000000000000 +000000000000000111100000000101101010000011110000000000 +000000000000000000000000000000110000111100000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010001000000000 +000000000000000111100000001000000000000000000100000000 +000000000010000000000000000001000000000010000000000001 +010000000001010000000110000000000000000000000100000000 +110000001010000000000000001001000000000010001000000001 .ramt_tile 3 10 -000000000100000000000000000000000000000000 -000000010000000000000011001101000000000000 -111000000000001000000110101000000000000000 -000000010000000101000000001101000000000000 -000000000000000000000110110000000000000000 -000000000000000011000010101001000000000000 -000000000000000101100000010000000000000000 -000000000000000000010010100101000000000000 -000000001001110000000000001000000000000000 -000010100000000000000000000101000000000000 -000000000010000000000000001000000000000000 -000000000000000000000000001001000000000000 +000000000000001001000011100011100000000000 +000000010000000111000010011101100000100000 +111000000000000111000111100000000000000000 +000000010110000000100100000111000000000000 +000000000001000011000011110001100000000000 +000000000000100000100111110101100000100000 000000000000000000000000001000000000000000 000000000000000000000000000001000000000000 -110000001110000111100000000000000000000000 -110000000000000000110000001101000000000000 +000000000000000000000000000101000000000000 +000000000000000000000000000001100000000000 +000000000000001000000010000000000000000000 +000000000000001111000100000001000000000000 +000000100000000000000000000111100000000000 +000001001010001001000000001001000000000000 +110000000000000000000000000000000000000000 +010000000000001001000000001001000000000000 .logic_tile 4 10 -000000000001010001100111111000000000000010000000000000 -000000000000100001000111011101000000000000000000000100 -111000100000000001100000010111011011101000000000000000 -000001001000000101000011000011001110011000000000000000 -110001000000000101000111000000000000001100110000000000 -110010100000000101100010110000001000110011000001000000 -000000000000001011100110000011100000000010100000000000 -000000000000000111000000001111001001000010010000000010 -000000000001000001000000001101100000000010100000000000 -000000000000100111100000001001001011000010010000000110 -000000100000110000000000000101011110001001000000000000 -000000000000001101000011101101001010000101000000000000 -000000000000000001000011110111100001000010100000000000 -000000000000000000100010011001101000000010010000000110 -000000000000000001000000001011011100000111110100000000 -000000000000000011100010110001011000000011110000000000 +000000000000001001000111110000000000000000000000000000 +000000000000001011100111110000000000000000000000000000 +111000000000001000000010010000000000000000000000000000 +000000000000000001000110100000000000000000000000000000 +010000000000000011100000001111000000000010100000000000 +000000000000000000100011101001101111000001100010100000 +000000000000001111000011001001000001000010100000000000 +000000000000000101000000000101001100000001100000100010 +000000000000000000000000000011101000000001110000000000 +000000000000000000000000000101111110000000110000000000 +000000000000000101100010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000001000110101001000000000011000000000000 +000000000000000000000011011101001001000011010001000001 +110010000000000000000000000001111011111111000100000000 +000010001010000000000000001101001001111110000000100000 .logic_tile 5 10 -000000000000001000000111100001100001000000100000000000 -000000001000000001000111010111001101000000000000000000 -111000000000000111000011010111011010000010110000000100 -000000000000000000000011010111001001000000110000000000 -110100001000001001100000001101100000000000000000000000 -110100100000000001000000001011101100000000010000000001 -000000000000001111000011000001101001110011110000000000 -000010100000000001000011011011111101110010100000000000 -001000001010001111100010001011001001000010110000000000 -000000000000000111000100001111011010000000110000000000 -000000000000010000000010010101011011010000000000000000 -000010001110000000000010000101011010001000000001000000 -000000001000001111100111100001100000000001000100000000 -000000000000000111000000000001100000000000000000000000 -010000000000000000000110001101100000000010000100100000 -100000000000100000000000000101100000000011001000000000 +000000000000001001100111011001011101101100000000000000 +000000000000000001000110000001111100001100000000000000 +111000000000001000000010101101011100000110100000000000 +000000000000001011000110111001101101100101010000000000 +110000000010001000000111010001011011010000000010000000 +110000000000000001000110000001011101001000000000000000 +000000000000000000000000000000000000000000000100000000 +000000001110000000000010111101000000000010000000000000 +000000000000000000010111101101100000000010000100000100 +000000000000000000000000001011100000000011001001000000 +000000000000001000000110010000000000000000000100000000 +000000000000000101000010101101000000000010000000000000 +000000000001000000000010000000000000000000000100000000 +000000000000000000000100001001000000000010000001000000 +010000000000010000000000000001100000000001000100000010 +100000000000100000000000000101100000000000000000000000 .logic_tile 6 10 -000000001110001101010110111101000000000000010000000000 -000000000000001101110010000111101000000000000000000000 -111000000001011111100111110101101001000100000000000000 -000001100000100001010111100101011011001100000000000100 -010000000000001000000110111001011001110011110000000000 -110000000000000001000111111001001000010011110000000000 -000000000000000001100010010001100001000000100010000000 -000000000000000111000110001011101001000000000000000000 -000000000000000111100111000011011011000011100000000000 -000000000000000000000010001111001111000011110000000000 -000010000000000000000111001101101110111100110000000000 -000000000000000000000100001011111001010100110000000000 -000000000000000111100111000001111000000100000000100000 -000000000000000000000000000111101101000000000000000000 -000000100000000011000111011000000000000000000100000010 -000001000000000000000111001111000000000010000000000000 +000000000000001001000010001111011000000100000000000000 +000000000000001111000110000011101110001100000000000010 +111000000000001101000000000001100000000011000000000000 +000000000000000101100011101001100000000010000001000110 +010000100000100111100111011011100001000010000000000000 +110000000000000000110010000101101000000011000000000000 +000000000000001011000000001001000000000000110000000000 +000000000000000001100010101101101000000001110000000100 +000000000000000001000010000011011100001000000000000000 +000000000000000000000110110001111010001100000000000000 +000000100010001000000010010011000001000000110000000000 +000000000000001111000010100011101000000000100010000000 +000000000000000011100010101101011011100101010000000000 +000000000000000000100010111011101111101001010000000000 +110000000100000000000110101111000000000000000100000001 +000000000000000000000011001111100000000001001000000000 .logic_tile 7 10 -000000000000001000000110011111011101001100000000000000 -000000000000000001000011011011011110000100000000000000 -000000100000001001100011000001001100010000000000000000 -000000000000000001000100001101001111000000000000000000 -000000000000000101000010011001000001000000010000000000 -000000000000000000100111011101101111000000000010100000 -000000000000000011000110011011101111110000000000000000 -000000000000000000100010001001011111100000000000000000 -000000000000001001000010100011000000000001000000000000 -000000000000001111100010100101000000000000000000000000 -000010100000000000000111010101101010000000000000000000 -000000001100001111000011101011011111100000000000000000 -000000000000000000000111011111101111110011110000000000 -000000000000000001000011100001011010010010100000000000 -000011100000100001000110111011001001010111000000000000 -000011100001001111000010101101111000010110000000000000 +000010000000001000000111001011001001010000000000000000 +000001000000001111000010011011011100110000000000000000 +000000000000001001100000011011000000000000100000000000 +000000000100001011000011111101001010000000110000000000 +000000000000001000000010010011000000000000110000000000 +000000001100000001000110001101101111000000010000000000 +000001000000101001000110001001000000000001000000100000 +000000100001001011000110000101100000000000000000000001 +000000000000000111000011100101011001010000000000000000 +000000000000001011000000000001101001000000000000000001 +000111100100101000000010011101111111010000000000000000 +000001100001001011000011111101011110000000000000000000 +000100000000000000000010101011100000000001000000000000 +000100000000000000000000000001100000000000000000000000 +000001000000001000000010001101100000000001000010000000 +000000000000011011000100000101100000000000000000000000 .logic_tile 8 10 -000001000000000101000110110101000000000011000000000000 -000010000000000000100110001101001001000001000001000000 -000000000000001101100011001101101011000011000010000000 -000000000000000001100111000101011011000011010000000000 -000000000000101111000110110001001000000011000000000000 -000000000000001001000110000111011010000011010010000000 -000000000000001001100000010011100001000010000001000000 -000000000001010001000011010011101001000000000000000000 -000001000000000111000000001001100000000000000000000000 -000010000000000000100000001111000000000001000000000000 -000101000000000101000011110111000000000000000000000000 -000000100000000000000111110011100000000001000000000000 -000000000010000111000010001011100000000001000001000000 -000000000000000000100100001001000000000000000000000000 -000000000000000000000111001001100000000000110000000100 -000000000000000000000000001011001011000000100010000000 +000001000000000000000111001111111101110011110000000000 +000010001100001001000011001011001010111011110000000000 +111000001011010001100011100101001101100000000001000000 +000000000000000101000010111101001010000000000010000000 +010010000000001101100110110001101001010011110000000000 +010001001100101011000110000111111000110011110000000000 +000001000000001001100011100001001100010000000000000000 +000010101110001011000100000011011110000000000000000000 +000001001110001011000010001001100000000000000000100100 +000010100010000001100110001111000000000001000000000000 +000100000000000001000011110101100000000001000000000000 +000000000000000000100010000101100000000000000000000000 +000000001000000111010110001011100001000010000000000000 +000000000000000101000000001001101011000000000000000000 +000000000000010000000011111101001110111100010100000001 +000000000000011111000011011111111101111100000001000000 .logic_tile 9 10 -000000000000001000000000001101011110000110100000000000 -000000000000001111000011000001001101001111110000000000 -111001001000001000000111101101100001000011000001000000 -000000100000001101000100000101001100000011010000000000 -010000100001000111100000010011000000000001000010000000 -010000000000000101100010101111100000000000000000000000 -000000000000011101100000000101100001000011000010000000 -000000000000100001000000001101001100000011010000000000 -000000100000000000000011110011100000000011000001000000 -000000000000000000000010000001100000000000000000000100 -000010000000000001000110001011000000000011000010100000 -000000000000000000000000000111100000000010000001000100 -000000000000001000000010000111000000000000000000000000 -000000000110000001000000000101000000000001000000000100 -010000000000001000000000001000000000000000000101000000 -100000000000000111000000001001000000000010000000000000 +000000000000001000000111100111100000000001000000000000 +000000000010001111000100000011100000000000000000000000 +111000100000000011100111001000000000000010000000000010 +000000000000001001100100000001000000000000000001000000 +010000000000001000000110011101101000000000000000000000 +110000000000000001000010000011011000100000000000000000 +000000000000001000000000000111100000000001000000000000 +000000000000010001000000001101000000000000000000000000 +000000000000001111000000000101011001001000010000000000 +000000000000100011000010111011011000000100010000000000 +000010100010000000000000001000000000000000000100000010 +000001000000000111000000000111000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000001110000000000000001111000000000010000010000000 +000000001010001011100011110000000000000000000100000000 +000000000000001101100010010011000000000010000000000010 .ramt_tile 10 10 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000100000010000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 11 10 -000000000000001000000000011001100001000000100001000000 -000000000000001111000011011001001000000000000000000000 -111000000000001111100000001011000001000010000000000000 -010000000000101101100011010111001010000000000001000000 -010000000000000111100000000111000000000000000010000001 -010000000000000000100000000101000000000001000000000001 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000001000000111110111101110001100110010000100 -000000000000001101000110100000111101110011000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000010011100000000000000000100000 -000000001110001111000010100001000000000001000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000001011000011011011000000000010000000000000 +000000000000000000000000010001100001000000000001000000 +000000000000000001000011111001101110000000010001000000 +111000000000001000000011000001101110000011110100000000 +000000000000001011000100000000010000111100000000100000 +110000000000000111100011100101111110000011110110000000 +010000000000000000000000000000000000111100000000000000 +000000000000001000000111100111001010000011110100000001 +000000000000000111000010100000000000111100000000000000 +000000000000000000000000000101100000001111000110000000 +000000000000000000000010010000100000110000110000000000 +000000000000000000000000010111111100000011110100000001 +000000000000000000000010100000110000111100000000000000 +000000000000000001000110100000000000000000000100000000 +000000000000000000100000000001000000000010000000000010 +010000000000001011000111000101011010000011110100000000 +100000001000000101100000000000110000111100000000000001 .logic_tile 12 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000100000000000000010100000000000000010000000000110 -000001000000000000000000000000000000000000000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000001000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000001111011000000000000111100000000000001000000000 +000000000000001011000000000000100000000000000000001000 +111000000000000000000111000101100000000000001000000000 +000000001000000000000000000000001110000000000000000000 +110000000000000000000000000011101000111100001010000000 +010000000000000000000000000000101100111100000000000000 +000000000000100011100000000111101000111100001000000000 +000000000000000000000000000000001110111100000000000000 +000010100000001000000011110001101000111100001000000000 +000001000000001011000011000000101100111100000000000000 +000000000000000000000011000001001000111100001010000000 +000000000000000000000000000000001110111100000000000000 +000000000000001011000000000011001000111100001000000000 +000000000000001011100000000000101100111100000000000000 +010000000000000011000000010111001000000011110100000000 +100000000000000000000010010000000000111100000000000100 .io_tile 13 10 000000000000000000 -000100000000000000 +000100000001100000 000000000000000000 000000000000000000 000000000000000000 @@ -2585,9 +2585,9 @@ 000000000000000000 000000000000000000 .io_tile 0 11 -000000000000100000 000000000000000000 -000000000000100000 +000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 @@ -2602,233 +2602,233 @@ 000000000000000000 000000000000000000 .logic_tile 1 11 -000000001110001111000000010001100000000000001000000000 -000000000000000011100011000000100000000000000000001000 -111000000000000000000111000111100000000000001000000000 -000000000000001111000100000000001101000000000000000000 -010000001110000011100000000001001000001100111110000001 -010000000000000000100000000000001111110011000000000000 -000000000000000000000000010001001000001100111100000000 -000000000000000000000011010000001101110011000000100000 -000000000000000000000011100101101000001100111100000000 -000000000000000000000000000000101111110011000000000000 -000000000000000000000000000101001000001100111100000001 -000000000000000000000000000000001101110011000000000000 -000000000000001000000011100111001000001100111100000000 -000000000000000011000000000000001111110011000000000000 -010000000000000101100000000001101000001100110101000010 -100000000000000000100000000000001101110011000000000000 +000000000000000011100000011011100000000000000000000100 +000000000000000111000010111111000000000001000000000000 +111000000000000011100110000011011100000100000000000000 +000000000000000000000000000101111011000000000000000010 +010000000000001111000000000000000000000000000000000000 +010000000000000001000010110000000000000000000000000000 +000000000000000000000000001101000000000010000000100000 +000000000000000000000010001101000000000011000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000011000000011001011100000011110001000000 +000000000000000000000011110001011000100011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000110101000000000000010000100000010 +100000000000000000000100001001000000000000000000000000 .logic_tile 2 11 -000000000000100000010000001111100001000010100000000001 -000000000000000000000011011101101111000010010000000000 -111000001000001000000000001101101011000010000000000001 -000000001010000101000000000101001011000000000000000000 -010100000000100011000010001000000000000010000000000000 -110000000000000101000100000001000000000000000000000000 -000000000000001101100010011000000000000000000101000000 -000000000100000101000010100011000000000010000000000000 -000000100000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000001 -000010000110000011100000001000000000000000000100000000 -000000001110000000100000000011000000000010000010000000 -000000000000000000000000000101000000000010000100000000 -000000000000000000000000001001000000000011001000100011 -010000000000000011100110001000000000000000000100000000 -100000000000000000100010100011000000000010000000000000 +000000000000000001100010001000000000000010000001000000 +000000000000000000000100001001000000000000000000000000 +111000000000010101000110011101111110000100000000000000 +000000000000000000000011110001101001000000000000000000 +010000000000000000000111000001000000000000000000000000 +110000000010001001000000001011000000000001000000000000 +000000100000000101000110011000000000000010000000000000 +000001000000000000000010000011000000000000000000000001 +000000000010000000000000000001100000001111000010000000 +000000000000000000000010010000000000110000110000000010 +000010100011010101000000000000000000000010000000100000 +000001000000101001100000000101000000000000000000000000 +000000000000000000000000001001100000000000000000000010 +000000000000100000000000000101100000000011000000000010 +110000000000000101000000001000000000000010000100000000 +010000000000010000100000001101000000000000000000000000 .ramb_tile 3 11 -000000000000000111100010010001000000100000 -000000000000000001000011101101100000000000 -111000000000000000000011100000000000000000 -000000000000000000000100000001000000000000 -010000000000000000000010001111000000001000 -010000000000000000000011110101100000000000 -000000000000000111100000001000000000000000 -000000000000000000000000001001000000000000 -000000000000000111100111100011000000000000 -000000000000001111000000000001100000000000 -000010100000000000000000000000000000000000 -000001000000000000000000001101000000000000 -000000000000101000000000010111000000000000 -001000000001010011000011000011000000000000 -110000000000000001000000000000000000000000 -110000000000000000100000000011000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 .logic_tile 4 11 -000001000100001011100010100111000001000010100000000100 -000000100000000011100011100101001010000010010000000000 -111000000001001000000000011011000001000001010000000000 -000000000000001011000011110101101011000001100000000000 -010000000000001011100110001111100001000001010000000000 -010001000000000001000000001101101001000001100000000000 -000000100000001111100110010111100000000010100000100000 -000001000000000001100011110001001111000010010000000000 -000000000000000111000010010011100000000001100100000000 -000000000000000000100011111101001110000001011000000000 -001000000000000011100000010011100000000001100100000000 -000000000000001001100010111001101100000001011001000000 -000000000000100111000000011011000000000001100100000000 -000000000001001101100011100001101110000001011000000000 -110000000000000111100000010001000000000001100100000000 -010000000000000000100010000101001100000001011000000000 +000010100001000000000000000101100000000000001000000000 +000001000000100000000000000000100000000000000000001000 +111000000000000001100000000000000001000000001000000000 +000000000000000000000011010000001010000000000000000000 +010000000000000000000011100011000001000000001000000000 +110000000000000000000011100000101001000000000000000000 +000000000000000000000000010000000001000000001000000000 +000000000000000011000010000000001000000000000000000000 +000010100001000000000110100000001000111100000001000000 +000001001100000000000100000000000000111100000000000000 +000001000000001000000000001000000000000010000000000000 +000000100000000111000000001111000000000000000000000000 +000001000000000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +010010100000000000000000000000000000000000000100000000 +100001000000000000000010011101000000000010000000000010 .logic_tile 5 11 -000000000000000000000011110101100000000010000000000000 -000000000000000011000111000011000000000000000010100000 -111001000000001011100010110101111000110011110000000000 -000010000000000001100110110011011010010010100000000000 -010000100000000111000011111001101001111100000000000000 -010000000000001101000110001101111100011100000000000000 -000000000000001111000110011011111011101100000000000000 -000000000000000011100010111011001001111100000001000000 -000000000000000011000010100011011110000011010000000000 -000000000000101111000100001101111000000011110000000000 -000000000000001000000110001101011000000100000000100000 -000100000000001111000011101101001011001100000000000000 -000000000000000000000000000001101110001100110100000100 -000000000000000000000011100000010000110011000001000000 -010001000000000000000000011011100000000011000100000000 -100010000000010000000011100001100000000000000000000010 +000000000000000101000010011111000000000000000000000001 +000000001100000000000111011101000000000001000000000000 +111000000110001000000110011111000000000000000000000001 +000000000000001111000010001101100000000001000000000010 +010000000000001001100000001101000000000000000001000001 +010000001110000111000000000011001010000000010000000000 +000000000000000011100110110111000000000010000010000000 +000000000000000000000011100101100000000011000000000110 +000000000000000111000111111011001100000010000010000000 +000000000000000000000011110101101101000000000000000000 +000000000000001011000000001111100001000000000010100010 +000000000000000001000000000011001001000000010000000000 +000100000000000000000111001001000000000010000000000000 +000000000010000001000100000001100000000000000000000000 +010000000010000011100000000001100000000010000100000000 +100000000001010000000000000001100000000011001001000000 .logic_tile 6 11 -000000000000010001100010101101000001000000110000000000 -000000000000100000100010011101101111000000100000000000 -000001001100001111100110010101011000101011000000000000 -000010100000000001000011010001011010000011000000000000 -000001000000001101100010110011001001000110100000000000 -000010100000001111000010001011011010001111110000000000 -000010000110000001100110010011011111111000000001000000 -000001000000000000010010001011111001110000000000000000 -000000000000000111000111110011101100000010000000000000 -000000000000000000100011011001001111000000000000000000 -000000000000000011000000000011111001010000000000000000 -000000000000000111000000000111001000000000000000000100 -000010100100000000000111100001100001000000010000000000 -000000000000001111000000001101001000000000000000000000 -000000000000000000000111000011100001000000000000000000 -000000000010000000000000000111001011000000110000000000 +000000000000001011000111010101100001000000100010000010 +000000000000000011100010111011001111000000110000000000 +000000000001110111000011110111011010000000000000000100 +000010000000110000000010001111101001100000000000000000 +000000000000100101100010111101000000000001000000000000 +000000000011011001000010110111100000000000000000100000 +000100000000001111000111010101100001000011000000000000 +000100001110001111100010000001101000000001000000000000 +000001000000000000000000000111000001000010000001000000 +000010100000000000000010010101001001000011000010000000 +000000000000000011000000000101100001000011000000000000 +000000000000000000000000000001101001000001000000000000 +000000000111001000000110010011100000000000110000000000 +000000000000000101000010001001001000000000010000000000 +000000000000000011000110000101001111000100000000000000 +000000000000000000000000000101111011000000000000000000 .logic_tile 7 11 -000000000000000011000010110111111111010000000000000000 -000000000000000000100110000111101001000000000001000000 -111000000000100011100110000101100001000000000001000000 -000010000001010000100011010011101111000000010001100000 -110001000000001000000010111001100000000001000000000000 -110010100000000101000110001001100000000000000000000000 -000010100000000000000110001111000000000000100000000000 -000001000000000000000011010111001011000000000000000100 -000010000000000011000000000001100001000000000000000010 -000000000000000000100000000111001101000000010000000000 -000000001110000000000000010011111011010000000000000000 -000000000000000000000010000011111011000000000000000001 -000000000000000011000010000111101011111100010100000001 -000000000000000000100000001101101001111100000000000000 -000001001100000000000000010001000000000001110100000010 -000000100000000001000010001001101000000000110000000000 +000010000001111000000111101001000000000000000000000000 +000001000011110011000111111001100000000001000001100100 +111000100000000001100110011011100001000011000000000000 +000001000000101101000011010001101011000001000000000010 +010000000000000000000111101001100000000000110000000010 +010000000000001011000100000101101000000000000000000000 +000000000000000011100110011101100000000000000010000100 +000000000000000111100010101001000000000001000001000000 +000000000000000001100000000101111011000011100000000000 +000000001010000000000000001101011011000001110000100000 +000000000000000000000000011101100000000000000000100000 +000000000001000000010011110101100000000001000000000000 +000000000000000000000000001101001101000100000000000000 +000000000000000000000000000001001111000000000000000000 +010000000000100000000011001000000000000000000100000000 +100000000000000000000000000101000000000010000000000000 .logic_tile 8 11 -000000000000000111000000001000000000000010000000000000 -000000000000000000110000001011000000000000000000000000 -111000100000010000000110100001100000000000000000100000 -000000001100000000000010010001100000000001000000000000 -111000000111010000000000001011100000000000000010000000 -010000000000100000000000000101000000000001000000000001 -000001000000000001100110111011111111000100000000000010 -000000100000001111110010101001101101000000000001000000 -000000000000010000000000011011111011010000000000000000 -000100000000000000000010011101111101000000000001000000 -000000001100000101100010101000000000000010000000000000 -000000001110000000000110111101000000000000000000000000 -000000000000010000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000011100000001000000000000000000101000000 -000000000000000000000000000101000000000010000000000000 +000010000000000001000010010001000001000000100000000000 +000000000110000111000010000111101010000000110000000000 +000000001011001001000000000111011101000100000000000000 +000000000000101011100000000111111100000000000000000000 +000000000110000001100010011101011001000100000000000000 +000000000000000011000111001101101010000000000000000000 +000010100000001001000010111001100000000000000000000010 +000000000010001011000010001011000000000001000000000000 +000000000000000000000110100001011010011100000000000000 +000000000100000000000000001101011000111100000000000000 +000000000000000001000111101101000001000011000000000000 +000000000000000000100110000101001110000001000000100000 +000000000000011101100111001101011001010000000000000000 +000000000000100001100000001101101010000000000000000000 +000000100000001000000000000001000000000000100000000000 +000101000000000001000000001011101010000000000000000000 .logic_tile 9 11 -000000000000000000000000000101000000000000001000000000 -000000000000000001000000000000000000000000000000001000 -111000000000000101000000000000000001000000001000000000 -000000000000000000100000000000001011000000000000000000 -110000000000001000000000010011000001000000001000000000 -110000000000000101000011100000101010000000000000000000 -000000000000000101100000010000000000000000001000000000 -000000000000000101000010100000001011000000000000000000 -000000000000000011100011100000001000111100000000100000 -000000000000000000100000000000000000111100000000000000 -000001000000000000000000001001000001000000010000000000 -000010100000000000000000000001001010000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000001000000000000000000000000000000000000000101000000 -000000100000000000000000000011000000000010000000000000 +000000000000000001100000011001011111000100000000000000 +000000000000000101000010100101011100000000000001000000 +111000000000001111100110101111001000111100000000000000 +000000000000001101000011000001111010011100000000000000 +110000000000001111100110110001111010101100000000000000 +010000000000001111100011111111011010111100000001000000 +000001000000001001100111111001100000000000000000000000 +000000000000000001000110101011001011000000010000000000 +000000000000000011000011111001111100010000000000000000 +000000000000000000000011001101011111000000000000000000 +000000000000001000000011100101001011111100000000000000 +000000000000000111010100001011011000011100000000000000 +000000000000000000000011101101111000000011010000000000 +000000000000000000000000001001011000000011000000000000 +010001000010000000000000010000000000000000000101000000 +100010100000000000000010000011000000000010001000000000 .ramb_tile 10 11 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -001000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 .logic_tile 11 11 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000100000000011000000000000000000000010000000000000 -000000000000000000000000000001000000000000000001000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000011000000000000000000000000000000 -000000000000000000000010101000000000000000000101000000 -000000000000000000000000000001000000000010001000000000 -000000000000000000010000001000000000000000000110000000 -000000000000000000000000001101000000000010001000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001101000000000010000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000101000010100101100001000000100001000000 +000000000000000000010000001101001001000000000000000000 +000000000000000101000000000000000000000010000000000000 +000000000000000000000010100001000000000000000000000000 +000000000000000001000010100000000000000010000000000000 +000000000000000000100010101011000000000000000000000000 +000000000000000001100111000000000000000010000000000000 +000000000000000101000010101001000000000000000000000000 +000000000000000000000000001001000001000000000000000000 +000000000000000000000010011101101010000000010001000000 +000000000100000000000000000011001111000010000000100000 +000000001010000000000000001101111000000000000001000000 +000000000000000000000010000000000000000010000000000000 +000000000000000000000000000101000000000000000000000100 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 .logic_tile 12 11 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010001000000000 +111001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -111000000010000000000000001001000000000000000100000000 -000000000000001011000000000111100000000001000000000000 -010000000000000000000000001101100000000000000100000000 -000000000000000000000000000001000000000001000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000001101100010110000000000000000000000000000 -000000000000000000000000001101100000000000000100000000 -000000000000000000000000000001100000000001000000000000 +010011000000000000000011100000000000000000000000000000 +110010100000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000001000000000001101100000000000000100000000 -100000000000001111000000000111000000000001000000000000 +010000000100001000000000000000000000000000000000000000 +100000000000001011000000000000000000000000000000000000 .io_tile 13 11 000000000000000010 -000100000000010000 +000100000000000000 000000000000000000 000000000000000001 -000001011000100010 +000000011000110010 000000001000010000 -001100000000100000 +001100000000000000 000000000000000000 -000000110000000000 -000100001000000000 +000000000000000000 +000100000000000000 000000000000010010 000000000000010000 -000000000000000000 +000000110000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 0 12 -000010000000000010 -000110110000000000 -000000000000000000 -000000000000000001 000000000000000010 +000100000000000000 000000000000010000 +000000000000000001 +000010000000010010 +000010010000010000 000100000000000000 000000000000000000 000000000000000000 @@ -2840,165 +2840,165 @@ 000000000000000000 000000000000000000 .logic_tile 1 12 -000000000000001111000000000011100000000000001000000000 -000000000000000011100000000000000000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000010000000001000000001000000000 -001000000000000000000010010000001100000000000000000000 -000000000000000000000000010000000000000000001000000000 -000000000000000000000011010000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000001101100000000000000001000000001000000000 -000000000000000101000000000000001011000000000000000000 -000000000000100000000000000000000001000000001000000000 -001000000001000000000000000000001110000000000000000000 -000000000000000111000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000010 -.logic_tile 2 12 -000000000000000000000000000011100000000000001000000000 -000000000000000111000011000000000000000000000000001000 -111000000000000011100011110000000000000000001000000000 -000000000000000111000111110000001111000000000000000000 -010000000000000000000000000000001000001100110000000000 -011000000000000101000000000000001000110011000000000010 -000000000000000000000111001000000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000001001000010000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000011101100001000011000000100000 +011000000000000000000010011101101010000010000000000000 +001100000000000001100110000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 000000000000000000000000000001000000000000000000000000 -000000000000100000000011101000000000000010000000000000 -000000000001000000000011101001000000000000000000000000 -000000000000000011100000001000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000001000010010011111000000000001100110000000 -001000000000001011000111001101001101000001011000000000 -010000000000000000000000000111000000000001100101000000 -010000000000000000000000000101101101000001011000000000 +000000000000001000000000000011100000000010000100000010 +000000000000001101000000001101001111000011000001000000 +000000000000000000000000010000000000000000000000000000 +001000000000000000000010000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +.logic_tile 2 12 +000000000000000111000111110001100000000001000000000001 +001000000000000000100010001001100000000000000000000000 +111000000000001000000011100011000000000000000000000000 +000000000000000001000100000000100000111111110000000000 +010000000000000001100110001001011010000011010000000000 +000010101000001111000000000001011111000011000000000000 +000000000000000001100000000101000000000000000000000000 +000000000000000000000011010011000000000001000000000000 +000000000000000011000000000111100000000010000000000000 +000000001100000000000011010111100000000000000000000000 +000000000000000000000000010011100000001100110000000000 +000000001100000000000011010000100000110011000000000000 +000000000000000011100010000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000101101010101101010100000000 +000000000000000000000011111001011010001100000000000010 .ramt_tile 3 12 -000000000000001111000011101011100000000000 -000000010000001011000000001111100000000000 -111000000000000000000011100000000000000000 -000000010000000000000000001101000000000000 -000000000000000000000000000001000000000010 -000000000000000000000010000001000000000000 -000000000000001001000000000000000000000000 -000000000000000111100000001111000000000000 -000001000001010000000000000001000000000000 -000000100000000111000000000011100000000001 -000000000000000000000010001000000000000000 -000000000000000000000011100111000000000000 -000000001100000000000000001101100000000000 -000000000000000000000011101011000000000000 -010000000000000000000010101000000000000000 -110000000000000111000000001001000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 12 -000000000001011000000111111011100000000001010000000001 -000000000000000011000111110011001010000001100000000000 -111000000000001000000110011101111101010000000000000000 -000000000000001011000110011011111011000000000000000010 -010000000000000111000111001000000000000010000000000000 -011000000000000000000011001111000000000000000001000000 -000000000000001001100110010101100000000000000000000000 -001000000000000011000110011011001001000000010000000000 -000000000000000000000010000101000000000001010000000000 -000000000010001111000011101101101010000001100000000010 -000000000000101111100111011001100001000000100000000000 -000000001110001111000111111011101001000000000000000000 -000000000000000000000000000111100000000001100100000000 -001000001110000000000011100001101101000001011001000000 -010000000000000000000111011011000000000001100101000000 -010000000000000000000110110101001111000001011000000000 +000100000000010101000000000011100001000000001000000000 +001100000010000001100010110000001011000000000000000000 +111000000000000001000000000001001000001100111000000000 +000000000000000000100000000000001101110011000000000000 +010000000000001001100000010101001000001100111000000000 +001010100000001111000010000000000000110011000000000000 +000100000000001001100010000000001000001100110000000000 +000000000000000001000100000000000000110011000000000100 +000000100000000000000010100101011111101011110000000000 +001000001100001111000000001001111100100111110000000000 +000000000000000001000000000101011101101011110000000000 +000000000000000111000010000001011110100111110000000000 +000001100000000000000000000001111011110000000100000000 +001010000000001111010000000011001101111000000000100000 +000000000000000011000000000111111011110000000100000100 +000000000000000111100010000111001111111000000000000000 .logic_tile 5 12 -000000000000000001100111011101000000000000100000000000 -000010100000001011000011000001101010000000000000000000 -000000000000001001000110011011011011000100000000000000 -000000000000000001100010000001101011000000000000000000 -000000000000000001100000011001011011010111000000100000 -001000000000001111000011001011101001111111000000000000 -000100000010001011100010100001001100010000000000000100 -000100000000001111000010010011101000000000000000100000 -000000000000001011000000010011000000000000100000000000 -000000000000001011110011100011001000000000110000000000 -000000000000000000000000000101001000000000000000000000 -000000000000000000000011110111011100100000000000000010 -000100000000011011100000000001100001000000000000000000 -001000000000101011110000000111101011000000010000000000 -000000000000000111100000000111100001000000000000000000 -001000000000000000100011110101001111000000010000000000 +000000000000000111000110001101000000000011000000000000 +001000000000010101000011101011100000000000000000000010 +111000000000100001100011101111101111010000000000000000 +000000000000000000000110010101001101110000000000000010 +010000000000100000000010011001001110000011110001000000 +111000000000011111000011001101101100100011110000000000 +000010000000000001100110001001100000000000000000000000 +000001000000000001000000001001101100000000010000000000 +000000000000001011000000001001000000000010000010000000 +001000000000000001000000000101000000000000000000000000 +000000000000000101100111110011101000110011110000000000 +000000000000000000000111101101111010110111110000000000 +000000000000000101100000010011101111001100000000000000 +001000001000000001000011110001011111000100000010000000 +010000000000000101100011101000000000000000000100100000 +100000000000000000000100000001000000000010000000000000 .logic_tile 6 12 -000010000000001001000010001011111011010010100000000000 -000001000000000111000110011101011111110011110000000000 -111000000010000000000011111111100000000001000000000000 -000010000000000000000011100111000000000000000000000000 -110000000000001111000110110001000000000000000001000001 -010000000000000011000111010101101011000000010000000001 -000000000000001101100011101111000000000000010000000000 -000000000000000001100010101001101010000000000000000000 -000000000000000000000010010011000000000001000000000100 -000000000000010000000011001001100000000000000000000000 -000000000011011001000000000101111001110000000010100000 -000000000000100111000000001111011010100000000001000000 -000000000000000001100010110101101010000000000010000000 -001010000000000000000110000111011000100000000000000000 -110000000000000101100111100000000000000000000100000000 -010000000000001101100100000001000000000010001000000001 +000000001110000101000110001101101011000100000000000000 +000000000000000000000010010101111101000000000000000001 +111001000100001111110111001011100001000010000000000000 +000000100000000101000000001111101010000000000000000000 +010000000000001001100000011011001011000100000000000000 +011000000000001111000011011101101111000000000000000000 +000000000000000001110111011111000000000000000010000001 +000000000000001011000011011001000000000001000001000000 +000000000000000000000011111001000000000000000000000000 +001000000000000000000110000101001010000000010000000001 +000010101100000000000000000001100000000001000000000100 +000000000000000000000010001001000000000000000000000000 +000100001110000101100000000111100000000000010000100000 +001100000000010000000000000111001101000000000000000000 +010000000100000000000110011000000000000000000100000000 +100000000000010101010010100001000000000010000000000000 .logic_tile 7 12 -000000000000001000000110010111111011010000000000100000 -000000000000000101000010100011101110000000000000000000 -111000000010001101100000011101011000000011110001000000 -000000000000001111100010001111001111100011110000000000 -110000000000001001000110100101101111001100000000000000 -010000000000010001000011000011101111001000000000000000 -000000000000001101100011110101100000000010000000000000 -000010000000001111100010000011000000000000000000000000 -000000000000000101000111100101001011010000000000100000 -000000000000000111100110001001011011000000000000000000 -000010100001000000000000000001100000000001000000000000 -000001000000000000000000001011100000000000000000000000 -000000000010100000000000011001011000101101010100100000 -001000000001010001000010000111101000001100000001000001 -000010100000000001110110010111101010111100010100000010 -000001000110000000000011001001111101111100000000000000 +000000000000000111100010110101100000000000010000000000 +000000000000001011000010001111001101000000000000000001 +111000000000001001100111110111001100000000000000100000 +000000000000000111000111000001111010100000000000100000 +010010000000000101000000001011111010010000000000000000 +011000000000000111100010101101011000000000000000000000 +000000000000101011100011101011101101010000000000000000 +000000000001010111000110101001001000000000000000000000 +000011100000001000000110000011000001000000000000000000 +000011000000000011000011110111101001000000010000000000 +000000101110001011100000001111000000000000000000000000 +001000000000001111100000000101100000000001000000000000 +000001000110000001000011001101001111100000000000000010 +001010100000000000100111110101111000000000000000000000 +000000000000000000000000000101000000000000110100100000 +000000000000000000000000001001001010000000100000000000 .logic_tile 8 12 -000001000000001011000110000011100000000000001000000000 -000000100000001111000010100000000000000000000000001000 -111000000000001001100000010101100000000000001000000000 -000000000000001011000010000000000000000000000000000000 -010010100000000011000111000101001000001100110000000100 -111000000001001011000110010000101110110011000000000010 -000010000000000111000010101011001001000011000000000000 -000000000000110000100111100001111101000001000001000000 -000000000000010101100000011001000000000001000000000000 -000000000000100000000011111001100000000000000010000000 -000010100000000001000010000101000000000000000000000000 -000011000001010000000000001111001100000000010000000000 -000010000000010011100000011101011011111100000000000000 -001001001110100000100011001101011000011100000000000000 -010000000000100000000000000001000000000011000100000100 -100000000010010000000000000001000000000010000010000000 +000000000000100011000111101111100001000011000000000000 +000000000001000111100110010101101001000011010000000001 +000000000001011101100110110111011011111100000011000000 +000000000000000001110010100001011111011100000000000000 +000000000000001000000000000011100000000010000000000000 +000000000000000001000000000001100000000000000000000000 +000000001110000001000111100011001001010000000000100000 +000000000000000000100100001001111000000000000000000000 +000000000000001011100111111011000000000000000000000000 +000000000000001001100010001011100000000001000010000000 +000010100000100000000010111001011000110000000000000000 +000000000001000000000111110111001010100000000000100000 +000000000001010101100000000011000000000000000000000001 +001100000000000000100000000111001100000000010000000010 +000000000000000000000000001000000000000010000000000010 +000000000000000111000011011001000000000000000000000100 .logic_tile 9 12 -000000000000001111000000010101100000000000000001000001 -000000000000000011000011001001101000000000010000000000 -111000000000000001100000000111111001000000000000000000 -000000000000000000000011111111011011100000000000000000 -010000100010000011000010000111100001001100110001000000 -111001000000000111000000000000101101110011000000000000 -000000000000000111100110010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000001000000000001100000000001001101000100000010000000 -000010100000000000000000001001001111100000000000000000 -000000000011010000000000000101101110000010000000000001 -000000000000100000000011110101011110000000000000000000 -000000000000000000000111100001100000000000000000000000 -001000000000000011000010000101000000000001000000000000 -010000000000000111000010110101100000000010000100000000 -100000001010000000100111001111100000000000000010000000 +000000000000000001000010000000000000000010000000000001 +000000000000000000010000001101000000000000000000000000 +111001000000000000000011100000000000000010000001000000 +000010100000000000000000000011000000000000000000000000 +110000000000000000000010000000000000000010000000000001 +001000000000000000000000000111000000000000000000000000 +000010000000000011000000001000000000000010000010000000 +000000000000000000100000001001000000000000000000000000 +000000000000001001000000010000000000000010000010000000 +000000000000001101100011000011000000000000000000000000 +000000000010000000000000000000000000000000000100000000 +001000000000000000000000001001000000000010000000000010 +000000000000000111000000000000000000000000000100000010 +001000000000000000100000001011000000000010000000000000 +010000000110000000000000000000000000000000000100100000 +110000000000000000000000000001000000000010000000000000 .ramt_tile 10 12 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3010,48 +3010,48 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 12 -000000000000000000000000000000000000000000000000000000 -001100000000001011000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -001000001110000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000001000000 -000000000000000000000000001111000000000001000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100000001001000000000000000110000000 -000010100000000000100000000011000000000001000010000000 -000000000000000000000000001011000000000000000100000000 -001000000000000000000010000111100000000001000000000000 -010000000000000011100110101111100000000000000100000000 -100000000000000000100000000011100000000001000010000000 +000000000000000000000010100001100000000000001000000000 +000000000000000000000010100000100000000000000000001000 +000000000100000000000010100000000000000000001000000000 +000001000000000000000000000000001001000000000000000000 +000000000000000101000010000000000000000000001000000000 +001000000000000000000100000000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000011000000001011000000000000000000 +000000000000000000000110100000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000100000000000000000000000000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +001000000000000000000000000000001011000000000000000000 +000000000000000000000000000000001000111100000000100000 +000000000000000000000000000000000000111100000000000000 .logic_tile 12 12 -000000000000000011100000000001100000000000001000000000 -001000000000000000100010100000000000000000000000001000 -000000000000000101100010100000000000000000001000000000 -000000000000000101000000000000001000000000000000000000 -000000000000000101000000000000001000001100111000000000 -001000000000000000000000000000001010110011000000000000 -000000000000000000000000010000001001001100111000000000 -000000000100000000000010100000001011110011000000000000 -000010100000000000000011100000001000001100111000000000 -000001000000000000000100000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -001000000000000000000000000000001001110011000000000000 -000010100000000000000000000000001001001100111000000000 -001001000000000000000000000000001000110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000000 +000000000001010000000111010000000000000000000000000000 +000000000000100000000010000000000000000000000000000000 +111000000000000000000000010000000000000000000000000000 +000000000000100000000011010000000000000000000000000000 +010000000000000000010010101101100001000011000000000000 +011000000000000000000110110101001001000011010000000000 +000000000000000001100000000000000000000010000000000000 +000000000110001101000000001001000000000000000000000000 +000000000000000000000000000000000000000010000000100000 +000000000000000000000000000000000000000000000000100000 +000000000000000000000000011011101010100000000000000000 +000000000000000000000010000101111011000000000001000000 +000000000000000000000000001000000000000010000100000000 +001000000000000000000000001011000000000000000000000000 +010000100000000000000000000000000000000000000000000000 +100001000000100000000000000000000000000000000000000000 .io_tile 13 12 -000001111000000010 -000000001000000000 +000000110000000010 +000001010000000000 000000000000000000 000000000000000001 -000000000000010010 -000000000000110000 -000110000000000000 -000001010000000000 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 000000000000000000 000100000000000000 000000000000000010 @@ -3062,7 +3062,7 @@ 000000000000000000 .io_tile 0 13 000010000000000010 -000101010000000000 +000101110000000000 000000000000000000 000000000000000001 000000000000000010 @@ -3078,211 +3078,211 @@ 000000000000000000 000000000000000000 .logic_tile 1 13 -000100000000000111000110000001100000000000001000000000 -000100000000001001100100000000100000000000000000001000 -000000000000000011000011000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000011000000000001000000001000000000 -001000000000000000000000000000001101000000000000000000 -001000000000010000000000000000000001000000001000000000 -000000000000100000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000010000000000000000000000000000000000000001000000000 -000001001110000000000010000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -001000000000000000000000000000001011000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000111100000000000000000000000000000 +111000000000000000000100000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +001000001110000000000011001101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000111100000000010000100000000 +001100000000000000000000001001100000000000000000000100 +010000000000000000000000010000000000000000000000000000 +100000000000000000000010000000000000000000000000000000 .logic_tile 2 13 -000000000000000001000000000101100000000001000000000000 -000000000000000000000011101101000000000000000000000010 -111000000000000111000011111001111010000011010010000000 -000000000000000111100111101001001000000011000000000000 -010000000000000001000110100111000000000000000000000010 -010000000000000000100100001011100000000001000010000000 -001000000000001111000110111001100000000011000000000000 -000000000000000001100011110001101000000001000010000000 -000000000000100011100000000001100000000000110000000000 -000000000001010011000011000101101100000000010001000000 -000000000000001000000010101101111001110000000000000000 -000000000000000011000100001101101101010000000010000000 -000000000000000000000000001000000000000000000100000000 -001001000000000000000011110011000000000010000000000010 -110000000000000111100000000000000000000000000100100000 -110000000000000000110000000011000000000010000000000000 +000000000000000111100110000001000000000000000000000000 +000000000000000000100010101101100000000001000001000000 +000000000000001001100011101101111001101000100001000000 +000000000100001111000100000101001100110100100000000000 +000000000000000001100111100001111101010010000000000001 +001010000000001011000000000011001000001000010000000000 +000000000000001001100000000011000000000000010001000000 +001000000000000001000000000101101100000000000000000000 +000000000000001111000010010011001101000000000000000000 +000000000000001011000111001011011101110000000000000000 +000000000000000001000000000011000001000010000000000000 +000000000001000000000010000011101010000011000000000000 +000000000000000000000000000001100000000011000000000000 +001000000000000000000011100101100000000000000010000000 +000100000000000000000000000001000000000000000010000000 +000100000000000000000000000001101111000000010000000000 .ramb_tile 3 13 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000 -000100000000010000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000001011010000000000000000000000000000 -000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 13 -000000100000000000000011110000000000000010000000000000 -000000001000000111000011110111000000000000000000000010 -111000000000001111100110011011000000000001000000000000 -000000000001011011100011110101100000000000000000000001 -010000000000000011100110000001100000000000010000000000 -011000000000000000100000000101001011000000000000000000 -000000000000000000000110000101100000000001000000000000 -000000000000001111000000001001101111000011000000000000 -000000000000000000000111011000000000000010000000100000 -000000000000000000000011111001000000000000000001000000 -000000000000000000000000000000000000000010000000100000 -000000000000000000000000001011000000000000000000000000 -000000100000000011100000001000000000000010000000100000 -001000000000000000000011100111000000000000000000000010 -010000000001000101100000000001000001001100110100000000 -010000000000000000100000000000001001110011000000000010 +000000000000001111100111110011100001000000001000000000 +001000000000001111100010110000001001000000000000000000 +111000000000000000000000000101001000001100111000000000 +000000000000001101000011000000001001110011000000000000 +010000000000000111100010010011001001001100111000000000 +001000000000100000000010110000101010110011000000000000 +000000000000001001100111110000001000001100110000000000 +000000000000000001000011110000000000110011000000000000 +000000000000000011100111101111001100100101010000000000 +000000000000000000100010010011001101100110100000000000 +000000000000001101100000001101101000101000000000000000 +000000000000000111000000001101111101110000000000000000 +000000000000101000000000000101000000000000000000100000 +001000000000010101000000000001100000000011000001000000 +000000000000000000000000000001101011001100000100000000 +000000000000000000000000000001011010001101010000000100 .logic_tile 5 13 -000000000000000111100010001001000000000000000001000000 -000000000000000000100010001111000000000001000000000000 -111000000100000000000110011011011110101000000001000000 -000000000000000000000010001101111001100100000000000000 -010000000000000001000011001011100000000000110000000000 -011000000000000001100000000111001011000000010000000000 -000000000000000000000000011111000000000000000000000010 -000000000000000000000010001001000000000001000000000010 -000000000000000111100000011011000001000000010000000000 -000000000000000000100010001111001001000000000010000001 -000000000000000111100000000101000000000001000000000000 -000000001100000000100000000101000000000000000001000000 -000000000000000011000000000001100000000011000000000000 -001000000000000000000000000111100000000010000011100000 -000000000000100111100011011000000000000000000100000000 -000000000000000000000111011111000000000010000000000000 +000000001110000001000000000011000000000000000000100000 +001000000000001001000010011111000000000011000000000000 +111000000001000011000010001111100000000001000000000000 +000000000000100000100100000011100000000000000000000000 +110010000000000001100000001111011101010100010010000000 +010000001110000000000000000111111100001100010000000000 +000000001010000000000000000111000000000001000000000000 +000000000000000000000000001011000000000000000000000000 +000000000000000101000010111001100000000000000000000000 +000000000000000000000011101011100000000001000010000000 +000000000000000111000110111101011100101100100000000000 +000000000000000101100011100001001111110101000001000000 +000001000001010101000010100001000000000010000000000010 +001010100000000000000011110001001001000000000000000001 +000000001000000111000110111000000000000000000100100000 +000000000000000000100011011111000000000010000000000000 .logic_tile 6 13 -000000000100000111100010000011111010000011000000000000 -000000000001010001010011010001001011000001000000000000 -111001100000000000000110011011000000000000000000000000 -000001000000001111000010101001100000000001000000000000 -010001000000001001100010000101100000000000000001000001 -011010001100000001010110100101100000000001000000000001 -000000000000001000000000010001100000000011000000000000 -000000000001010101000010100001101011000001000000000100 -000000000110000000000000011101100001000000100000000010 -000000100000000000000011010001101110000000110000000000 -000000000000000111000000000111000001000000000000100000 -000100000000001111000000001001101110000000010000000000 -000110000000000000000110011101101011110000000000000000 -001000001100000000000011010001101100100000000001000000 -010000000000000011100000000000000000000000000110000000 -100000000000001111000000001111000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +111000000000000101000000000000000000000000001000000000 +010000000000000000100000000000001100000000000000000000 +110000000000000000000000000000001001001100111000000000 +011000000000100000010010110000001011110011000000000000 +000000000000000000000110010000001001001100111000000000 +000000000000001101000010010000001010110011000000000000 +000000000000000111000011110000001000001100110000000000 +000000000000000011000011000000000000110011000000000000 +000000000000100000000000001011000000000000100000000100 +000000000000000000000000001101001101000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000101000000 +100000000001010000000000001101000000000010000000000010 .logic_tile 7 13 -000000000000101101100111100001001010000000000000000000 -000000000001010111000111100101101001100000000010000000 -111000000000001001100110011001100000000000110000000000 -000000000000000001000111100101001101000000100000000000 -010010100000001001100111001001100000000000000000000010 -111001000000000111000011101011001000000000010000000000 -000001000000001011100111100101100000000000000000100000 -000010001000000111100000001111101011000000010000100000 -000000000000000111100011101001111101010000000000000000 -000000000000000000100000000101101001000000000000000000 -000000000000000111000000010101100000000001000000000000 -000000001010000111100010000011100000000000000000100000 -000000000000000000000011111011100000000000010000000000 -001000000001010000000010010011001111000000110000000000 -010000000000000000000000000000000000000000000100000000 -101000000000000000000000000001000000000010000000000010 +000000000000001001100010000001100001000000000010100000 +000000000000001011000010001001001000000000010000000000 +111000000000101101000111000111100000000000000001000000 +000000000001010001000000001001100000000001000001000000 +010000000000000000000110000001100001000000010000100000 +110000000000000000000000000001001110000000000000000100 +000000001100000001000010001011000001000000010001000000 +000000000000000000000110101101101101000000000000000000 +000000000000001111100111111011000000000000110000000000 +000000000000000001000011001101101110000000000000000000 +000000000000000000000010000111000000000000010000000000 +000000000000000000000000000001001100000000000000000000 +000000001110000111000000000001100000000011000000000001 +001000000000000000100000000111101100000010000000000000 +010000001000100001100110100000000001011010010110000010 +110000000000000000000011110000001111100101100000100000 .logic_tile 8 13 -000000000000000101000010100001000000000000001000000000 -000000000000000000100100000000101100000000000000000000 -111000000000000101100011100011001000001100111000000000 -000000000000000000100100000000101000110011000000000100 -010000000000001000000010000001101000001100111000000000 -111000000000000011010000000000000000110011000000000100 -000000000010001101100111100000001000001100110000000000 -000000000000001001000000000000000000110011000000000000 -000000000110000000000000011101011101000011100000000000 -000000000000001101000010111101001111000001110000000000 -000000001100000111100000001111011101010000000000000000 -000000000000000000100011110101111001110000000000000010 -000000000000000000000111001111100000000001000000000000 -001000000000000000000100000101000000000000000001000000 -010000000000001000000110000000000000000000000100000000 -101000000000000001000000000101000000000010000010000000 +000000000000000000000000011000000000000010000000000000 +000000000100000000000010001111000000000000000010000000 +111000000001010111000110000000000000000000000000000000 +000000000000100000010011100000000000000000000000000000 +010000000000000111000000001101100000000000000000000000 +011000000000000000000000001011101010000000010000000000 +000000000000100000000000011001101111010000000000000000 +000000000001010000000010100011101000001000000000000100 +000000000000000000000000001001101111110000000000000000 +000000000000000000000000001001011110000000000000000100 +000000000001000101100000011111000000000000000000000011 +001000000000100000100010011001100000000001000000000011 +000000000000000001000111000000000000000000000000000000 +001000000000000000000010000000000000000000000000000000 +010000000000000101100110101000000000000000000100000000 +100000000000000000100111001111000000000010000001000000 .logic_tile 9 13 -000000000001011000000010000101000000000001100000000000 -000000000000100001000011011001001101000001010001000000 -111001000001010101110000010101000000000001000000000010 -000000000000000000000010000111100000000000000000000000 -110010100000011000000111001001100000000000000000000000 -111001000000000111000100001001001000000000010000000001 -000000000001100111100110000000000000000000000000000000 -000000000001010101000000000000000000000000000000000000 -000000100000000000000000011000000000000010000000000001 -000001000000000000000011111101000000000000000000000000 -000000000010000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001011000111110011111011001100000000000000 -001000000000000001100011110111001111000100000000000000 -000000000000000000000110000101100000000000110100000100 -000000000000000000000100001111001001000000010000000000 +000000000000001000000010100001000000000000001000000000 +000000000000001011000010100000100000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000100000000000000010010000000001000000001000000000 +000000000000000101000011100000001100000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000001000000101000000000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +001000000000000011000000000000000001000000001000000000 +001000000000001111000000000000001100000000000000000000 +000000001110000000000000000000000000000000001000000000 +001000000000000000000000000000001011000000000000000000 +000000000000000000000000011001001000011100000000000000 +000000000000000000000011011111001011111100000000000010 .ramb_tile 10 13 -000001001110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000100000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 .logic_tile 11 13 -000000000000001011100000001001011000111111110000000000 -001000000000001111100000001101011000001111110001000000 -111000000000000111100011001011000000000000000100000000 -000000000000000000000100000001100000000001000000000000 -010000000000000000000000001011100000000000000100000000 -001000000000000000000000000101000000000001000000000000 -000000000000000111100010001111000000000000000100000000 -000000000000000000000000000001100000000001000000000000 -000000000000000101100000011011000000000000000100000000 -000000000000000000000010100101000000000001000000000010 -000000000000001101100000011011100000000000000100000000 -000000000000000101000010100001000000000001000000000000 -000010100000001000000110101111000000000000000100000000 -001001000000000101000000000101000000000001000000000000 -010000000000000000000000001011100000000000000100000000 -100000000000000000000000000001100000000001000000000000 +000000000000000111100000000111100000000000001000000000 +000000000000000000100000000000100000000000000000001000 +000000000000001000000011100111000001000000001000000000 +000000000000000011000000000000001100000000000000000000 +000000000000000000000000000000000001000000001000000000 +001000000000000000000000000000001000000000000000000000 +000000000100000111100000000000000000000000001000000000 +000000000000000000100000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000011100000000000000001000000001000000000 +000000000000000011100000000000001001000000000000000000 +000000000000000001000000000000000001000000001000000000 +001000000000000000100000000000001100000000000000000000 +000000000000000000000000000000001000111100000000000010 +000000000000000000000010010000000000111100000000000000 .logic_tile 12 13 -000000000000000011110110100000001001001100111000000000 -001000000000000000100000000000001001110011000000010000 -000001000000000000000000010000001000001100111000000000 -000000000000000000000010100000001000110011000000000000 -000000000000000101100000010000001000001100111000000000 -001000000000000000000010100000001010110011000000000000 -000000000000000101110110100000001000001100111000000000 -000000000000001101000000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -001000001110000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -001000000000000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 +000000000000000000000110010101100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +111000000000001000000000000000000001000000001000000000 +000000000110000001000000000000001011000000000000000000 +010001000000000000000011100000001000001100111100000000 +111010000000000000000100000000001001110011000000000000 +000000000000001000000000000000001000001100110100000000 +000000000000000001000010100000001001110011000000000000 +000000000000000000000000000000000001011010010100000000 +000000000000000000000000000000001000100101100000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .io_tile 13 13 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3299,67 +3299,67 @@ 000000000000000000 000000000000000000 .io_tile 0 14 -000000000000000010 -000100000000000000 +000000111000000010 +000100001000000000 000000000000000000 000000000000000001 -000001010000010010 -000000111000010000 +000000000000100010 +000000000000110000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000010010 +000001010000000010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .logic_tile 1 14 -000000000000001001000000000001100000000000001000000000 -000000000000001111000000000000000000000000000000001000 -000000000000000111000000000001000000000000001000000000 -000000000000000000100000000000001111000000000000000000 -000000000000000000000010000000000000000000001000000000 -000000000000000000000000000000001011000000000000000000 -000000000000000101000000000000000000000000001000000000 -000000000000000000100000000000001101000000000000000000 -000000000000000000000011100000000001000000001000000000 -000000000000000111000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000011100000001100000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000001100110000001000000000000010000000000 +000000000000000000000010111101001101000000000000000010 +111000000000000101000010100011111101100000000000000000 +000000000000001101100110111001011100000000000000000000 +010000001110000000000010001101101101100000000000000000 +100000000000000000000100001111111011000000000000000000 +000000000000000000000110000001100000000000000100000000 +000000000000000000000010100011000000000001000000000000 +000000000000000111000010110001100000000000000100000000 +000000000000000000000010000111000000000001000000000100 +000000000000001001100000010001100000000000000100000000 +000000000000000001000010000011100000000001000000000000 +000000000000000111000000000001100000000000000100000000 +000000000000000000000000000111100000000001000000000000 +010000000000000000000010000001000000000000000100000000 +100000000000000000000100000011100000000001000000000000 .logic_tile 2 14 -000000000000000000000010100001100000000000001000000000 -000000000000000000000110110000100000000000000000001000 -000000100000110000010111100000000000000000001000000000 -000001000001100000000010110000001011000000000000000000 -000000100000000000000000000000001001001100111000000000 -000000000000000000010011010000001001110011000000000000 -000000000000000101000000000000001000001100111000000000 -000000000000001101100000000000001001110011000000000000 -000000000001010011000000000000001000001100111000000000 -000000000000100000100000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000001000000000000000000000000001001001100111000000000 -000000100000000000000000000000001010110011000000000001 -000000000000000000000000000000001000001100110000000000 -000000000000000000000000000000001100110011000000000000 +000000000000000111100000010001011001000100100000000000 +000000000000000000100011011001011100000000000010000000 +111000000000000001100110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000110001101100000000000000110000000 +000000000000001011000000000001100000000011000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000001011010010100000010 +100000000000000000000000000000001101100101100010000000 .ramt_tile 3 14 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000001000011000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3367,115 +3367,114 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000010010100000000000000000000000000000 -111000000000000111100000001000000000000010000000000000 -000000000110000000100000000011000000000000000001000000 -010000000000010000000000000000000000000000000000000000 -010000000000100000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111000000010000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -000000000000000000000000001000000000000010000000100000 -000000000000000000000000001011000000000000000000000000 -000000000000000000000000001101100000000010010100100000 -000000000000000000000000000001101100000010100000000100 +000000000000000001000010100101111101101011110000000000 +000000000000000000100110111101111000100111110000000000 +111000000000000101000000001101011010000010110000000000 +000000000000100111100010110101001010000011010000000000 +010000001110000011000010100011111000000011110000000000 +000000000000000011000000000000000000111100000000000010 +000000000000000111100000010101000000000001000000000001 +000000000000000111100011010101000000000000000000000010 +000000000000001001100000000111001000000011110000000000 +000000000000000001000000000000010000111100000000000000 +000000000000000001000010000001011100001100000100000000 +000000000000000000100110010001111100001101010000000100 +000001000000001000000000000000000000000000000000000000 +000000100000001011000000000000000000000000000000000000 +000001000000000000000000000001101101110000000100000010 +000010000000000000000000000001101101111000000000000000 .logic_tile 5 14 -000000000000000101000000010111100000000000001000000000 -000000000000000000100010000000100000000000000000001000 -111000000000101000000000000000000001000000001000000000 -000000000000001111000000000000001110000000000000000000 -110000000000000000000000000000001001001100111000000000 -010000000000000000000000000000001110110011000000000000 -000010100000000000000000000000001001001100111000000000 -000001000000010000000000000000001101110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000000000000000011100000000000110011000000000000 -000000000000000101100110101001000000000001010000100000 -000000000100000000000000000011101000000001100000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000001101100000010000000000000000000000000000 -000000000000000101000010100000000000000000000000000000 +000000100000000011000011001001000000000000000000000000 +000000000000000001100111000001000000000011000000000000 +111000000000001011000000000001011101010111110000000000 +000000000000001111100000000111111000011011110000000000 +010000001000000001100110001001001000100101100000000000 +000000000000001001000000000001011110001100110000000000 +000010000000001011000000000111111101000001110000000000 +000000000000000001100000000101111100000011100000000000 +000000000000000000000110110011011111010100000000000000 +000000000000000000000010001011111010011000000000000000 +000000000001000011100111111001001011000111110110000000 +000000000000000000000111011011001111000011110000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000111111101000000000010000110000000 +000000000000000000000111010101100000000000000000000000 .logic_tile 6 14 -000000000000001001000110000101000000000000000000000000 -000000000000000001110011101011000000000001000000000010 -111000000000000101110110001001000000000011010000000000 -000000000000010000000000000011001000000011000000100000 -010000000000001000000110100000000000000000000000000000 -010000000000000011000000000000000000000000000000000000 -000000000000100000000010101101000000000001010001000010 -000000001010000000000100001001101100000001100000000000 -001000000000000101000000011000000000000000000100100001 -000000000000000000100010111101000000000010000000000000 -000000000000000101100000001000000000000000000100100000 -000000000000000000100010110001000000000010000000000100 -000000001100000000000000001000000000000000000100000100 -000000000010000000000000001011000000000010000001000000 -010000000000000000000000000000000000000000000101000100 -100000000000000000000000001001000000000010000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +111000000000001000000010100001100001000001010000000000 +000000000000000001000000001001001111000010010000000001 +111001000000000001100110000001100001000001010001000000 +110010001110000000000000001001101101000010010000000000 +000000000000000001100000011101100001000000110000000000 +000000000000000000000010001111001011000001100000000000 +000000000000000000000110100000000000000000000100100100 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000010000000000000000000100100000 +000000001010000000000010101011000000000010000001000010 +000000000000000000000011000000000000000000000100100000 +000000000000000000000100001101000000000010000000000101 +010000000000000000000000010000000000000000000000000000 +100000001000000000000010100000000000000000000000000000 .logic_tile 7 14 -000000000000001111000110011001000000000001000000000001 -000000000000001111000010001001000000000000000000000000 -111000000000001000000010000001111011101000100001000100 -000000000000000001000111011001011011110100100000000000 -110000000000000001000110001001001111100110100000000000 -100000000000000000010000000101011001100101010000000000 -000000000000001001100111111111001101000000000000000000 -000000000000000001000110101011011011110000000000000000 -000000000000000011100000001011000000000010000000000000 -000000000000000000010010011111001011000011000000000000 -000000000000000000000011101001000001000011000000000000 -000000000000000000000100000101001011000000110000000000 -000000000000000011100000000111111000001100000100000000 -000000000000000000000010000101011001001101010000100000 -000000000000000000000011100000000000000000000000000000 -000001000000001001000100000000000000000000000000000000 +000000000000000000000111011101011001110000000000100000 +000000000000000000000110001011101000000000000000000010 +000000000000001001100000001001001101110011000000000000 +000000000000000001000000001011111111000000000000000000 +000000000001010001100011010000000001011010010000000000 +000000000000000000000111000000001010100101100000000000 +000000000000001101100110011101101000110100000001000000 +000000001010000001000010100101111001111100010000000000 +000000000001000000000110011101100000000011000000000000 +000000000000100000000110010011100000000000000000000000 +000000000000000101000010101101101011110011000000000000 +000100000000000101000010101011011100000000000000000000 +000000000000000000000000001001000001000000000000000000 +000000000000000000000000000001101011000000110000000000 +000000000000001101000000001111101010000100000000000000 +000000000000001001000010100111001101100000000000000000 .logic_tile 8 14 -000001000000001000000011100111000000001100110000000000 -000010000010000111000011010000000000110011000000000000 -111000000001011011100111000001000000000001000000000000 -000000000000101011100100001001100000000000000000000000 -110000000000001111000010110011011101101011110000000000 -100000000000000111000010001101111000011011110000000000 -000000000000001000000010000001000000000000000001000000 -000000000000000001000111001001100000000011000000000000 -000000000000001000000010101101111000100101010000000000 -000100000000000001000000000111101010100110100000000000 -000010000000000001000010000101111101001100000100000100 -000001000000000000100110010101111010001101010000000000 -000010000000000101100000000011101011110000000100000000 -000001000000001001000000000001011101111000000000000010 -000001000000000101100000000111001101110000000100000000 -000010000000000000000000000101001001111001010000100000 +000000000000001000000110001001000000000001000000000000 +000010100000001111000000001001100000000000000000000000 +111010000000101001000010011111000000000001010000000000 +000001001010010001100110000001001010000010010000000000 +110000000000101011100110000000000000000000000000000000 +010000000001010101000000000000000000000000000000000000 +000000000000000111000011111101100000000001010000000000 +000000001000000000010010001001101010000010010000000000 +000000000001010000000011110011000000000001010000000000 +000000000000100000000011000001101010000010010000000000 +000001000000000111000110001101000000000000000000000000 +000000000000000000000000001101100000000011000000100000 +000001000000000011100000000011000001000000110000000000 +000010100000000000000000000101101010000001100000000000 +000000000000000000000000001001100001000010010100000100 +000000000000000000000000001011001100000001010000000000 .logic_tile 9 14 -000000000000001101100111101101011001101100100000000000 -000000000000000001100100001001101011110101000000000000 -111000000000001011000110011101000000000000000000000000 -000000000000000001000010001111100000000001000000000000 -110000000000000011000110011001000000000001000000000000 -010000000000000000000010001111000000000000000000000000 -000000000000000111100011000011111010001100110000000000 -000000000000000000000111000000001001110011000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000011101111000000000011000001000000 -000010000011001001000000001001000000000011000000000000 -000001000000100111100000001101000000000000000000000000 -000000000000000000000000001111100001000000010000000000 -000100000000000000000000000001001011000000000000000000 -010100000000001000000010011101000001000001000101000110 -100101000000001101000011011001001100000011000000000000 +000000000000001000000000000101111001000000000000000000 +000000000000001111000000000001111000110000000000000010 +000000000000001000000011111001011001010000000000100000 +000000000000001111000111111001001011001000000000000000 +000000000000001000000000001101011011000100000000000100 +000000001100001111000000001101001001100000000000000001 +000000000000001000000011110000000000000000000000000000 +000000000000001111000111110000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000001001011011000100000001000000 +000000000000000000000000000101011011100000000000000100 +001000000000000000000000001001111010010010000001000000 +000000000000000000000000000001111000000000000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 14 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3484,41 +3483,42 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 14 -000000000000000000000000011000000000000010000000000000 -000000000000000000000011001001000000000000000000000000 -111000000000010000000110011000000000000010000000000000 -000000000000000000000010001001000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -010000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 +000000000000000000000000000000000001011010010000100000 +000000000000000000000011100000001000100101100000000000 +111000000000000000000010100000000000000010000000000000 +000100000000000111000100000011000000000000000000000010 +010000000000000000000000001000000000000000000100000000 +010000000000000000000000000101000000000010000000000000 +000000000000000101000000001000000000000000000100000000 +000000000000010000100010111101000000000010000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101100001001100110100000000 -000000000000000000000000000000101001110011000010100100 -010000000000000000000000001001100000000000000100000000 -100000000000000000000000001001100000000011000000000100 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 12 14 -000000000000001000000000000000001001001100111000000000 -000000000000000001000000000000001010110011000000010000 -111000000000000011000010110000001001001100111000000000 -000000000000000000000010000000001101110011000000000000 -010000000000000001100000010000001001001100111000000000 -000000000000000000000010000000001001110011000000000000 -000000000000000001100000000000001001001100110001000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000011101011100000000001000000000000 -000001000000100000000110000001000000000000000100000000 -000000000001000000000000001111000000000001000000000000 -000000000000000000000000000101000000000000000100000000 -000000000000000000000011101011100000000001000000000000 -010000000000000000000000000101000000000000000100000000 -100000000000000000000000001111000000000001000000000000 +000000000000000011000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +000000000000000000000000000001000000000010000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000100000000 +010000000000000000000000001001000000000010000000100000 .io_tile 13 14 000000000000000000 000000000000000000 @@ -3537,7 +3537,7 @@ 000000000000000000 000000000000000000 .io_tile 0 15 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3554,50 +3554,50 @@ 000000000000000000 000000000000000000 .logic_tile 1 15 -000000000000000011000000000101100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000100000000110010000000000000000001000000000 -000000000000010000000010000000001011000000000000000000 -010000000000000000000111100000001001001100111001000000 -110000000000000000000010110000001001110011000000000000 -000000000000000101100000000000001001001100110001000000 -000000000000000000100010110000001001110011000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001011000000000000000000100000 -000000000000000000000010011000000000000010000000000000 -000000000010000000000110111011000000000000000000000010 -000000000000000000000000001000000000000000000101000000 -000000000000000000000000000101000000000010001000000000 -010000000000000000000000000000000000000000000101000000 -100000000000000000000000000001000000000010001000000000 +000000000000000000000000000011000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000011100000000000000001000000001000000000 +000000000000000000100000000000001010000000000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000001101000010100000001000001100111000000000 +000000000000000011000010100000001101110011000000000000 +000000000000000101100110100000001000001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 .logic_tile 2 15 -000000000010000111000010101101100000000001000000000000 -000000000000000000010010010101100000000000000000000000 -111000000000000101000011101011100000000000110000000000 -000000000000000101000110011001101111000001100000000000 -010000000000001001100000001101011101011001010100000000 -010000000000000001000010100001001100011010100000000010 -000000000000000101000010110101111111011001010100000000 -000000000000000000100010111001001011011010100000000010 -000000000000000011000000001001100001000001010100000000 -000000000000001001000000001001001110000001100000000010 -000000000000000011100000000011000001000001010100000000 -000000001100001001100010110001001100000001100000000010 -000000000000000000000000000101000001000001010100000000 -000000000000000000000010110101101110000001100000000010 -000000000000000011100000000101100001000001010100000011 -000000000000000000100000000011101100000001100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001101100000000000000101000000 +000000000000000000010000000011000000000001000000000100 +010000000000000000000110100000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000011000000001101000000000000000100000000 +000000000000000000000000000011100000000001000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .ramb_tile 3 15 -001000000000000000000000000000000000000000 -000000010010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3605,107 +3605,107 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 15 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000011000000000101000001000001010001000001 -000000000000000000000000001001101110000001100000000000 -110000000000000000000011000000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000011000000001101100001000001010001000001 -000000000000000000100000000001001110000001100000000000 -000000000000000000000111000000000000000000000000000000 -000000001110000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001011000000000010001100000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000001000000000000000000000000000000000000000 -100000000000000101000000000000000000000000000000000000 +000000000000000000000010100011100000000000001000000000 +000000000000000000000110110000100000000000000000001000 +000000000000001101000010100001000000000000001000000000 +000000000000000001100110110000101101000000000000000000 +000000000000000000000000000001001000001100111000000000 +000000000000000000000000000000100000110011000000000000 +000000000000000111000011100101101000111100001000000000 +000000000000000000000000000000001101111100000000000000 +000000000000000000000011000001101000111100001000000000 +000000000000000000000100000000001111111100000000000000 +000000000000000000000111000001101000111100001000000000 +000000000000000000000100000000101101111100000000000000 +000000000000000000000011000001101000000011110000000000 +000000000000000000000100000000100000111100000000000000 +000000000000000000000000000101111000000011110000000010 +000000000000000000000000000000100000111100000000000000 .logic_tile 5 15 -000000000000000111000110001101101000000100000000000000 -000000000000000001000010100001111011100000000000000000 -000000000000000001100110001001000000000000000000000000 -000000000000000101010011001001001010000000110000000000 -000000000000001000000010111011001101110011000000000000 -000000000000000001000010000011011001000000000000000000 -000000000000000001100110001101101001110011000000000000 -000000000000000011000011000111011010000000000000000000 -000000000000001001000010000011101011110000000000100001 -000000000000001111100111100011111011000000000000000000 -000000000000001000000010010011000000001100110000000000 -000000000000000111000011010000101100110011000000000000 -000000000000000000000000001101011000110100000011000000 -000000000000000000000000001101001000111100010000000000 -000000000000101000000000000011100000000011000000000000 -000000000000000111000000000001100000000000000000000000 +000000000000001011000000000111101101000100000000000000 +000000000000000001100000001011011101000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000000000111101000000000010000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000000000010101000000000000010000000000000 +000000000000000000010110111011000000000000000000000000 +000000000000000111000000000000000000011010010000100000 +000000000000001101000010110000001000100101100000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000010010011000000000000000000000000 +000000000000000111000000000000000000000010000010000000 +000000000000001101000000001011000000000000000000000000 .logic_tile 6 15 -000000000000000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000100100000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100001000000110010000000 -000000000000000000000000000001101010000001100000000000 +000000000000000101100110100001000000000000001000000000 +000000001110000001000000000000100000000000000000001000 +000000000000001000000010110111000000000000001000000000 +000000000000000101000110100000101000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000111000000000000001000000000 +000000000000001101000000000000101101000000000000000000 +000000000000000000000111100000000001000000001000000000 +000000000000000000000100000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000100001000000000010000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 .logic_tile 7 15 -000000000000000001100000010001101110010111110000000000 -000000000000000011000010000001011010100111110000000000 -111000000000000001100011111001111101000001110000000000 -000000000000000000000111011011111001000011100000000000 -110000000000000001000000001101101110010100000000000000 -100000001100000000010000001101011010100100000000000000 -000000000000001111000110101011000000000000000000100000 -000000000000000001000000000011100000000001000000100000 -000000000001001000000000010111111111100101100000000000 -000000000000000001000010111111101010001100110000000000 -000000000000000101100010000011100000000000000000000000 -000000000000010111100110000111000000000011000000000000 -000100000000000000000010000001000000000010000100000000 -000100000000000001000010001001000000000000000010000000 -000000000000001000000000010001101101000111110100000010 -000000000000001001000010011111101101000011110000000000 -.logic_tile 8 15 -000000000000000000000010000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000101000111001011001001101011110000000000 -000000000000001101100000000101111001011011110000000000 -110000000000000000000110010000000000000000000000000000 -100000000000000000000011010000000000000000000000000000 -000000000000001000000000000111001001101011110000000000 -000000000000001011000000001101011001011011110000000000 -000010000000000001100000011001101100110000000100000000 -000001000000000000000010101111001001111000000000000010 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 +000000000000000001100010001001000000000001000000000000 +000000000000000000100110000001000000000000000000000000 +111000000000001000000010111111000000000001010100000000 +010000000000001001000110011001101001000010010010000000 +010000000000001001100010101011000000000001010100000001 +010000000000000001100110111101001100000010010000000000 +001000000000001111000011101011000000000001010100000001 +000000000000001001000010111101101001000010010000000000 +000000000000000101100000011101001001011001010100000100 +000000000000001001000010100101011100011010100000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111001001101010110000000100000000 -000000000000000000000100001011011001111000000010000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000110100101101000011001010100000100 +000000000000000000000000001101011010011010100000000000 +000000001010000000000000000001100000000001010100000010 +000000000000000000000000001101101001000010010000000000 +.logic_tile 8 15 +000000000000001000000010100001100000000000001000000000 +000000000000000101000000000000001000000000000000000000 +111000000000000101000000000101001000001100111000000000 +000000000000000000000010100000001010110011000000000000 +010000000000000101000011100001001000001100111000000000 +110000000000000101000000000000100000110011000000000000 +000000000000000000000000000000001000001100110000000000 +000000000000000101000000000000000000110011000000000000 +000001000000000000000000001000000000000000000100000000 +000010100000000000000000001001000000000010001000100000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010001000100000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010001000100000 +010000000000000000000000001000000000000000000100000000 +100000000000000000000000000101000000000010001010000100 .logic_tile 9 15 -000000000000000001010000000101000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000010001101001001100111000000000 -000000000000000000000010000000101010110011000000000000 -000000000000000000000000000011001001001100111000000000 -000000000000000101000000000000101110110011000000000000 -000000000000001101100000000000001000001100110000000000 -000000000000000001100010100000000000110011000000000000 -000000000000000000000011100101100000000000000000000000 -000000000000000000000010001111100000000001000000000000 -000000000000000000000000000011111100101000000000000000 -000000000000000111000011010001011101110000000000000000 -000000000000000000000011100111111110010100010000000000 -000000000000000000000000001011011111001100010000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000111000011010000000000000000000000000000 +000100000000000000000000000000000000011010010001000000 +000100000000001111000000000000001000100101100000000000 +111000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +110000000000000101000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001111000000000010000000000001 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000001101000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000001 +000000000000000000000000000101000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000010010000000000000000000000000000 .ramb_tile 10 15 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 @@ -3719,44 +3719,44 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 -000000000000000000000010100001100001000000000000000000 -000000000000000001000110110101101001000000010000000000 -000000000000000101000010110101100001000000010001000000 -000000000000001101100010000101101000000000000000000000 -000000000000001011100000000000000000000010000000000000 -000000000000000001000010011001000000000000000000000000 -000000000000000000000010100000000000000010000000000000 -000000000000001101000110111101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 -000000001110000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000000000000000000101101001000100000000000000 -000000000000000000000000001001111000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 +000000000000000000000000000011100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000101000000000000000001000000001000000000 +000001000000000000000000000000001111000000000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000010100000001110110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001101110011000000000000 +000000001100000000000110100000001001001100111000000000 +000000000000000000000000000000001100110011000000000000 +000000000000001000000110100000001000001100111000100000 +000000000100000101000000000000001011110011000000000000 +000010100000000000000000000000001000001100111001000000 +000001000000000000000000000000001011110011000000000000 +000000000000000101100000010000001001001100111000100000 +000000000000000000000010100000001000110011000000000000 .logic_tile 12 15 -000000000000000000000110110111000000000000001000000000 -000000000000000000000010100000000000000000000000001000 -000000000000001000000110100111000000000000001000000000 -000000000000000101000000000000101100000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000101100000000111000001000000001000000000 -000000000000000000000000000000101110000000000000000000 -000000000000000001100000000000000001000000001000000000 -000000000000000000100000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000001000000011000000000001000000001000000000 -000000000000001001000000000000001000000000000000000000 -000000000000001000000000000000000000000000001000000000 -000000000000001001000000000000001001000000000000000000 +000000000000001001100110111011100000000000000000000000 +000000000000000001000011111001100000000011000000000000 +111000000000001000000011011101101010000010000000000000 +000000000000000101000010000101111011000000000000000000 +010000000000000000000110110001000000000000000000000000 +010000000000000000000010100001000000000001000000000100 +000000000000001000000011000000000000000000000100000000 +000000000000000101000000001001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000100000000000000000000000001000000000010000000000000 +000000000000000000000110000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +010000000000000000000000001000000000000000000101000000 +100000000000000000000000001001000000000010000000000000 .io_tile 13 15 000000000000000000 000000000000000000 @@ -3792,39 +3792,39 @@ 000000000000000000 000000000000000000 .logic_tile 1 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000001100000000000000000000000000100000000 -010000000000000000100000000101000000000010001010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010001000000011 -010000000000000101100000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000001111000000000000001001001100111000000000 +000000000000000001000000000000001011110011000000010000 +111000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001110110011000000000000 +010000000000000000000000000000001001001100111000000000 +100000000000000000000000000000001110110011000000000000 +000000000000000000000110000000001001001100111000000000 +000000000000000000000000000000001101110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001111110011000000000000 +000000000000000000000110110000001000001100111000000000 +000000000000000000000010100000001111110011000000000000 +000000000000000000000110110000001001001100110000000000 +000000000000000000000010100000001101110011000000000000 +010000000000001101100000000001000000000000000100000000 +100000000000000101000000000001000000000001000000000000 .logic_tile 2 16 -000000000000000101000000000101100000000000001000000000 -000000000000000101000000000000001000000000000000000000 -000000000000000000000111110001101001001100111000000001 -000000000000000000000111110000101101110011000000000000 -000000000000000101000000000001101000001100111000100000 -000000000000000000000000000000100000110011000000000000 -000000000000001011100111110000001000001100110000000100 -000000000000000001100110100000000000110011000000000000 -000000000000000111100000000001100000000000000000000001 -000000000000000000000000000101100000000011000000000000 -000000000000000000000110011011100000000001010000000001 -000000000000000000000010001101101111000001100000000000 -000000000000000000000000000011000001001100110000000000 -000000000000000000000011100000001010110011000000000000 -000000000000000000000000000101100000000001000000000000 -000000000000000000000000000001100000000000000000000000 +000000000000000101100000011101111001100000000000000000 +000000000000000000000010101101011010000000000000000000 +111000000000001101100110010111011000100000000000000100 +000000000000000101000010000101111011000000000000000000 +010000000000001000000110110001100000000000000100000000 +100000001000000001000010001011000000000001000000000000 +000000000000001001100110110001100000000000000100000000 +000000000000000001000010101111000000000001000000000000 +000100000000000000000000000001000000000000000100000000 +000100000000000000000011011011000000000001000000000000 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000001111100000000001000000000000 +000000000000000000000110000101100000000000000100000000 +000000000000000000000011011011100000000001000000000000 +010000000000000000000000000101000000000000000100000000 +100000000000000000000000001111100000000001000000000000 .ramt_tile 3 16 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3843,110 +3843,109 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 16 -000000000000000000000000000111100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000000000000110010111100000000000001000000000 -000000000000000000000010000000101100000000000000000000 -110000000000000000000110010001101000001100110000000000 -010000000000000000000010000000101110110011000000000000 -000000000000000000000000011111100001000010000000000000 -000000000000000000000010001111101001000000000000000000 -000000000000000011100000001111000000000000000000000010 -000000000000000000000011111001000000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000001011100000000101000000000001000000000000 -000100100000000101000000001101100000000011000000000000 -010001000000000000000110111000000000000000000100000000 -100010000000000000000010101101000000000010000100000000 +000000000000000000000010010001000000000000001000000000 +000000000000000000000110000000100000000000000000001000 +111000000000001001100110010000000000000000001000000000 +000000000000000001000010000000001111000000000000000000 +010000000000000000000010000000001000001100111101000000 +110000000000000000000100000000001001110011000000000010 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001101110011000000000010 +000000000000000000000110000000001001001100111100000000 +000000000000000000000000000000001000110011000000100000 +000000000000000000000000000000001001001100111100100000 +000000000000000000000000000000001000110011000000100000 +000000000000000000000000010000001001001100111100000000 +000000000000000000000011000000001001110011000000000010 +010000000000000000000000000000001001001100110111000000 +100000000000000000000000000000001001110011000000000000 .logic_tile 5 16 -000000000000100000000110001001011011000100100000000000 -000000000001010000000011110101101110000000000000000000 -111000000000001001100000010000000000000000000000000000 -000000000000001111000010000000000000000000000000000000 -010000000000000000000110100000000000000000000000000000 -010000001100000000000000000000000000000000000000000000 -000000000000000001100110010001111000001100110000000000 -000000000000001001000010000000100000110011000000000000 -000000000000000000000000001101100000000011000000000000 -000000000000000000000000000111001011000011110000100010 -000000000000000000000000001101100000000011000000000000 -000000000000000000000000001101100000000000000000000000 -000000000000000000000000000001000000000001000100000000 -000000000000000000000000001101100000000011000100000000 -010000000100000001100000001000000000000000000100000000 -100000000000010000100000000001000000000010000100000000 +000000000000000001000111010001000000000000001000000000 +000000000000000000100010100000000000000000000000001000 +000000000000001101100111010001000000000000001000000000 +000000000000000101000010100000101001000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000111100001100000000000001000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000101001000000000000000000 +000000000000000000000000000011000000000000001000000000 +000000000010000000000000000000100000000000000000000000 +000000000000000000000000000000001000111100000001000010 +000000000000000000000000000000000000111100000000100000 .logic_tile 6 16 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000000011000000000111100001000000001000000000 -000000000000010000000000000000001111000000000000000000 -110000000000000000000110000101101001001100111000000000 -110000000000000000000100000000101101110011000000000000 -000000000000000000000110010101101001001100110000000000 -000000000000000000000010000000101111110011000000000000 -000100000000000000000010000000000000000010000000000000 -000100000000000000000000000111000000000000000000000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000011110111101001000000000000000000 -000000000000001001000011000011000000000011000100000000 -000000000000000101000000001001100000000010000000000000 -010000000000000101100000000101000000000011000100000000 -100000000000000000000011111001100000000010000000000000 -.logic_tile 7 16 -000000000000000000000110000001011010001100110000000000 -000000000000000000000011100000110000110011000000000000 -111000000000000101100000001111000001000000000000000100 -000000000000000000000000000011101000000000110000000000 -011010100000001000000010100000000000000000000000000000 -010001000000000001000000000000000000000000000000000000 -000000000000000000000000000101000000000011000101000000 -000000000000000000000010010101100000000010000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000001100000001111000000000000110100000000 -000000000000000000000000000011101100000000000000000000 -000000000000000000000110000000000000000000000000000000 +000000000000000000000000000101101000101100000010100001 +000000000000001011000011001001101101111100000000010000 +000000000000000000000110100001000001000000010000000100 +000000000000000000000000001111101011000000000000000010 000000000000000000000000000000000000000000000000000000 -010001000000000000000000000000000000000000000000000000 -100010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000100000000000010000000000000010000000000000 +000000000001000000000010011001000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000010000000000000010000000000000 +000000000000000000000010010001000000000000000000000010 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +.logic_tile 7 16 +000000000000000101000000000011000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000101000000000000001111000000000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000010100000001011110011000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000010100000001011110011000000000000 +000000000000000011000000000000001001001100111000000000 +000000000000000000000000000000001000110011000000100000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001011110011000000100000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000000000001000001100110000000000 +000000000000000000000010000000001000110011000000000000 .logic_tile 8 16 -000000000000000101100111100001000000000000001000000000 -000000000000000000100100000000100000000000000000001000 -000000000000000011000000000101000001000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000001111000011000101001000001100111000000000 -000000000000001011100000000000000000110011000000100000 -000000000000000000000011000101001001001100111000000000 -000000000000000000000000000000101111110011000000000010 -000000000001000000000000000001101001001100111000000000 -000000100010000000000000000000001101110011000000000000 -000001000000000000000000010101101001001100111000000000 -000000100000000000000011000000101111110011000000000000 -000000000000000000000000000001001001001100110000000000 -000000000000000000000000000000001101110011000000000010 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 +000001000000000000000111000001100000000000001000000000 +000000000000000000010000000000100000000000000000001000 +111000000000000101000010100000000001000000001000000000 +000000000000000000000010100000001010000000000000000000 +110000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001001110011000000000010 +000000000000000000000000000000001001001100110000000000 +000000000000000101000000000000001000110011000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000100000000 +000000000000000011000000001001000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .logic_tile 9 16 -000000000000001000000111100111100000000000001000000000 -000000000000001111000100000000100000000000000000001000 -000000000000001111100000000001100000000000001000000000 -000000000000000111000000000000001001000000000000000000 -000000001110000000000000010101100000000000001000000000 -000000000000000000000011110000100000000000000000000000 -000000000001010000000000010001000000000000001000000000 -000000000000100000010011100000001001000000000000000000 -000000000000000000000000010101000000000000001000000000 -000000000000000000000011110000100000000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000000000000000000000000101001000000000000000000 -000000000000000000010000000001100000000000001000000000 +000000000000000001000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111000000000000000000000000000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000001000111100000001000000 -000000000000000000000011110000000000111100000000100000 +000000000000000001000000001000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000001001000000000010000000000000 +010000000000000000000000001000000000000000000100000000 +110000000000000000000000000101000000000010000000000000 .ramt_tile 10 16 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3955,46 +3954,47 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 16 -000000000000000000000110010101100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000001001100110010000000001000000001000000000 -000000000000000001000010000000001011000000000000000000 -110000000000000000000000000000001000001100111100000000 -010000000000000000000000000000001001110011000001000100 -000000000000001000000000010000001000001100111100000000 -000000000000000011000011000000001001110011000001000000 -000000000000000000000000010000001001001100111100100000 -000000000000000000000011000000001000110011000001000000 -000001000000000000000000000000001001001100111100100000 -000000000000000000000000000000001000110011000001000000 -000000000000000000000000000000001001001100111100100000 -000000000000000000000000000000001001110011000000000010 -010000000000000000000000000000001001001100110101000000 -100000000000000000000000000000001001110011000000000010 +000000000000000001100000000000001001001100111000000000 +000000000000000000000000000000001011110011000000010000 +111000000000001001100000010000001001001100111000000000 +000000000000000001000010000000001000110011000000000010 +110000000000001000000000000000001001001100111000000000 +010000000000000001000000000000001101110011000000000010 +000000000000000000000110000000001001001100111000000000 +000000001000000000000000000000001101110011000000000010 +000100000000000000000000000000001001001100110000000000 +000100000000000000000011100000001001110011000000000000 +000000000000000000000110110000000000000000000100000000 +000000000000000000000010100001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000000101000000000010000000000000 .logic_tile 12 16 -000000000000000011000000001001101000101100000000000000 -000000000000000000100000000101101100111100000000010100 -111000000000001000000110100000000000000000000100000000 -000000000000000011000000000001000000000010000000000000 -110010000000000000000000000000000000000000000100000000 -000001000000000000000000001111000000000010000000000100 -000000000000000101100000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 -000000000000001111100000010000000000000000000110000000 -000000000000001001100010110111000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -000000000000000101100110100000000000000000000100000000 -000000000000000000100100001011000000000010000000000000 -010000000000001000000000011000000000000000000100000000 -010000000000001101000010111111000000000010000001000000 +000100000000000101100110110000000000000000000000000000 +000100000000000000000011000000000000000000000000000000 +111000000000001000000000000101101101000100000000000000 +000000000000000101000000000101101010000000000000000000 +010000000000001001100111010000000000000000000000000000 +010000000000000101000010100000000000000000000000000000 +000000000000001011100111000101011000100000000000000000 +000000000000000101000000000101101011000000000000000000 +000000000000001011100110000011000001000011100001000000 +000000000000000111000000000111101011000011110000000000 +000000000000000000000000000101101010010000000001000000 +000000001000000000000000000001001100000000000000000000 +000000000000000011100000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +010000000000000000000000000000000000000000000100000000 +100000001000000000000000001001000000000010000000000000 .io_tile 13 16 000000000000000000 000000000000000000 @@ -4102,25 +4102,25 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000100 -000000000000001100 +000000011000000100 +000000001000000100 000100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000010010000000000 000000000000000000 000000000000000000 -.io_tile 7 17 000000000000000000 000000000000000000 +.io_tile 7 17 +000001110000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001100 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 @@ -4128,7 +4128,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000110000000000 +000000000000000000 000000000000000000 000000000000000000 .io_tile 8 17 @@ -4139,84 +4139,84 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000000000 +000000000001100000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 +000000000000000000 000000000000000000 000000000000000000 .io_tile 9 17 -000010000000000010 -000111110000000000 +000000000000000010 +000100000000000000 000000000000000000 000000000000000001 -000001110010000001 -000000000001000000 -001100000000000000 -000000000000000000 +000000000010000001 +000000000011000000 +001100011000000000 +000000001000000000 000000000000000000 000100000000000000 -000000000000000010 +000000000011000010 000000000001000000 -000000000000000000 -000000000000000001 +000000011000000000 +000000001000000001 000000000000000001 000000000000000000 .io_tile 10 17 -000010000000000010 -000101010000000000 -000001111000000000 -000000001000000001 +000000000000000010 +000100000000000000 +000000000000000000 000000000000000001 +000000000010000001 000000000011000000 -001100000000000000 -000000000000000000 +001100111000000000 +000000001000000000 000000000000000000 000100000000000000 -000000000000000010 +000000000011000010 000000000001000000 -000000000000000000 +000000111000000000 000000000000000001 000000000000000001 000000000000000000 .io_tile 11 17 -000000000000000010 -000100000000000000 +000001111000000010 +000111111000000000 000000000000000000 000000000000000001 -000000000011000001 -000000000011000000 +000000000000000001 +000000000001000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000001010001000010 -000000000011000000 -000000000000000000 -000000000000000001 -000000000000000001 -000001010000000000 -.io_tile 12 17 -000000011000100010 -000100001000000000 +000000000000000010 +000000000001000000 000000000000000000 000000000000000001 000000000000000001 -000000000001000000 -001100000000000000 000000000000000000 +.io_tile 12 17 +000000000000000010 +000100000000000000 +000010000000000000 +000011110000000001 +000000000010000001 +000000000011000000 +001101111000000000 +000000001000000000 000000000000000000 000100000000000000 -000000000011000010 -000000000001000000 -000000011000000000 -000000001000000001 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 000000000000000001 000000000000000000 -.ram_data 3 9 +.ram_data 3 7 000000040000000a00000003000000020000003f000100000000001e00000000 000000000000000000010000000100010004009f000000060000000500000003 0000001500e7002900e0001900070005000100a1000600090001000500000000 @@ -4267,7 +4267,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 11 +.ram_data 3 9 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4284,655 +4284,570 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.sym 1 $abc$56607$n33$2 -.sym 2 $abc$56607$n901$2 -.sym 3 $abc$56607$n35$2 -.sym 4 $abc$56607$n1490$2 -.sym 5 $abc$56607$n31$2 -.sym 6 $abc$56607$n1471$2 +.sym 1 $abc$51270$n33$2 +.sym 2 $abc$51270$n950$2 +.sym 3 $abc$51270$n35$2 +.sym 4 $abc$51270$n871$2 +.sym 5 $abc$51270$n23$2 +.sym 6 $abc$51270$n1404$2 .sym 7 CLK$2$2 -.sym 8 $abc$56607$n1018$2 -.sym 54 $abc$56607$n2583 -.sym 177 $abc$56607$n2528 -.sym 178 $abc$56607$n2624 -.sym 179 $abc$56607$n2626 -.sym 181 $abc$56607$n2625 -.sym 182 $abc$56607$n2628 -.sym 183 $abc$56607$n2623 -.sym 184 $abc$56607$n2627 -.sym 293 $abc$56607$n2212 -.sym 294 $abc$56607$n2213 -.sym 295 $abc$56607$n2214 -.sym 296 $abc$56607$n2215 -.sym 297 $abc$56607$n2216 -.sym 298 $abc$56607$n2217 -.sym 405 $abc$56607$n1524 -.sym 406 $abc$56607$n1526 -.sym 407 $abc$56607$n1530 -.sym 408 I2C.byte_counter[4] -.sym 409 I2C.byte_counter[3] -.sym 410 I2C.byte_counter[7] -.sym 411 I2C.byte_counter[2] -.sym 412 I2C.byte_counter[5] -.sym 519 $abc$56607$n2210 -.sym 520 $abc$56607$n1521 -.sym 521 $abc$56607$n1520 -.sym 522 $abc$56607$n1277 -.sym 523 $abc$56607$n1306 -.sym 524 I2C.byte_counter[0] -.sym 525 I2C.byte_counter[6] -.sym 526 I2C.byte_counter[1] -.sym 633 $abc$56607$n1523 -.sym 634 $abc$56607$n1302_1 -.sym 635 $abc$56607$n1301 -.sym 637 $abc$56607$n1303 -.sym 638 $abc$56607$n1529 -.sym 639 $abc$56607$n1305 -.sym 640 I2C.i2c_start_latency -.sym 748 KEYBOARD.init_ram_cnt[2] -.sym 749 KEYBOARD.init_ram_cnt[3] -.sym 750 KEYBOARD.init_ram_cnt[4] -.sym 751 KEYBOARD.init_ram_cnt[5] -.sym 752 KEYBOARD.init_ram_cnt[6] -.sym 753 KEYBOARD.init_ram_cnt[7] +.sym 8 $abc$51270$n1418$2 +.sym 40 $abc$51270$n2212 +.sym 42 KEYBOARD.row_counter[3] +.sym 43 KEYBOARD.is_pressed +.sym 49 $abc$51270$n2199 +.sym 50 $abc$51270$n30 +.sym 51 $abc$51270$n2210 +.sym 52 KEYBOARD.row_counter[2] +.sym 53 KEYBOARD.row_counter[1] +.sym 54 KEYBOARD.row_counter[0] +.sym 179 $abc$51270$n260 +.sym 182 KEYBOARD.report[3][3] +.sym 184 KEYBOARD.report[3][2] +.sym 407 $auto$alumacc.cc:474:replace_alu$9647.C[2] +.sym 408 $abc$51270$n1518 +.sym 412 $abc$51270$n1517 +.sym 519 $abc$51270$n889 +.sym 521 $abc$51270$n890 +.sym 523 UART.tx_clk_counter[1] +.sym 524 UART.tx_clk_counter[2] +.sym 525 UART.tx_clk_counter[0] +.sym 526 UART.tx_clk_counter[3] +.sym 635 KEYBOARD.ram_adr[1] +.sym 637 KEYBOARD.ram_adr[0] +.sym 638 KEYBOARD.ram_adr[2] +.sym 746 KEYBOARD.ram_adr[7] +.sym 750 KEYBOARD.ram_adr[6] +.sym 753 KEYBOARD.ram_adr[5] .sym 830 CLK$2 .sym 836 CLK$2 -.sym 860 KEYBOARD.init_ram_cnt[8] -.sym 862 KEYBOARD.init_ram_cnt[1] -.sym 864 KEYBOARD.init_ram_cnt[0] -.sym 944 $abc$56607$n1490 -.sym 974 $abc$56607$n673 -.sym 975 $abc$56607$n674 -.sym 976 $abc$56607$n805 -.sym 977 $abc$56607$n2612 -.sym 978 $abc$56607$n2611 -.sym 979 $abc$56607$n807 -.sym 980 I2C_HID_DESC.real_adress[3] -.sym 981 I2C_HID_DESC.real_adress[2] -.sym 1090 I2C_INPUT_LEN[2] -.sym 1091 I2C_INPUT_LEN[3] -.sym 1092 I2C_INPUT_LEN[4] -.sym 1093 I2C_INPUT_LEN[5] -.sym 1094 I2C_INPUT_LEN[6] -.sym 1095 I2C_INPUT_LEN[7] -.sym 1209 $abc$56607$n2569 +.sym 862 KEYBOARD.init_ram_cnt[2] +.sym 863 KEYBOARD.init_ram_cnt[3] +.sym 864 KEYBOARD.init_ram_cnt[4] +.sym 865 KEYBOARD.init_ram_cnt[5] +.sym 866 KEYBOARD.init_ram_cnt[6] +.sym 867 KEYBOARD.init_ram_cnt[7] +.sym 944 $abc$51270$n871 +.sym 974 KEYBOARD.init_ram_cnt[8] +.sym 980 KEYBOARD.init_ram_cnt[0] +.sym 1088 $abc$51270$n888 +.sym 1089 $abc$51270$n1231 +.sym 1091 $abc$51270$n1238 +.sym 1093 $abc$51270$n1387 +.sym 1095 KEYBOARD.init_ram_cnt[1] +.sym 1204 $abc$51270$n893 +.sym 1206 COM_TX$2 +.sym 1207 UART.tx_activity +.sym 1209 UART.TX_sig_last .sym 1289 COM_TX$2 -.sym 1323 $abc$56607$n2580 +.sym 1319 COM_DSR$2 +.sym 1322 int_tmr[1] .sym 1403 I2C.is_read -.sym 1437 $abc$56607$n2570 +.sym 1430 $abc$51270$n748 +.sym 1431 $abc$51270$n751 +.sym 1432 $abc$51270$n752 +.sym 1433 int_tmr[4] +.sym 1434 int_tmr[5] +.sym 1435 int_tmr[6] +.sym 1436 int_tmr[7] +.sym 1437 int_tmr[2] .sym 1517 COM_DSR$2 .sym 1522 COM_DCD$2 -.sym 1546 $abc$56607$n1817 -.sym 1547 $abc$56607$n1820 -.sym 1548 $abc$56607$n2613 -.sym 1549 $abc$56607$n276 -.sym 1550 ring_rd[2] -.sym 1551 ring_rd[3] -.sym 1660 ring_rd[1] -.sym 1664 ring_rd[0] +.sym 1546 $13\int_tmr[14:0][2] +.sym 1547 $13\int_tmr[14:0][3] +.sym 1548 $13\int_tmr[14:0][4] +.sym 1549 $13\int_tmr[14:0][5] +.sym 1550 $13\int_tmr[14:0][6] +.sym 1551 $13\int_tmr[14:0][7] +.sym 1658 $13\int_tmr[14:0][8] +.sym 1659 $13\int_tmr[14:0][9] +.sym 1660 $13\int_tmr[14:0][10] +.sym 1661 $13\int_tmr[14:0][11] +.sym 1662 $13\int_tmr[14:0][12] +.sym 1663 $13\int_tmr[14:0][13] +.sym 1664 $13\int_tmr[14:0][14] +.sym 1665 int_tmr[8] .sym 1851 $false .sym 1853 KEYBOARD.ROWS_EN[13] .sym 1856 $false .sym 1858 KEYBOARD.ROWS_EN[14] -.sym 1880 $abc$56607$n1979 -.sym 1881 $abc$56607$n1981 -.sym 1882 $abc$56607$n1983 -.sym 1883 I2C.FLT_SCL.RESET -.sym 1884 rststate[1] -.sym 1885 rststate[3] -.sym 1886 rststate[2] -.sym 2065 $abc$56607$n360 -.sym 2066 $abc$56607$n361 -.sym 2067 $abc$56607$n2529 -.sym 2068 $abc$56607$n2051 -.sym 2069 KEYBOARD.row_counter[2] +.sym 1879 $abc$51270$n27 +.sym 1880 $abc$51270$n2274 +.sym 1883 $abc$51270$n2265 +.sym 1884 KEYBOARD.kbd_code[0] +.sym 1885 KEYBOARD.kbd_code[6] +.sym 1886 KEYBOARD.kbd_code[7] +.sym 2008 KEYBOARD.row_counter[3] +.sym 2009 $abc$51270$n30 +.sym 2010 $abc$51270$n1001 +.sym 2011 $false +.sym 2020 $abc$51270$n2212 +.sym 2021 $false +.sym 2022 $false +.sym 2023 $false +.sym 2026 $abc$51270$n27 +.sym 2027 $false +.sym 2028 $false +.sym 2029 $false +.sym 2048 $abc$51270$n1418$2 +.sym 2049 CLK$2$2 +.sym 2050 $false +.sym 2065 $abc$51270$n1632 +.sym 2066 KEYBOARD.kbd_code[3] +.sym 2067 KEYBOARD.kbd_code[1] +.sym 2068 KEYBOARD.kbd_code[2] +.sym 2069 KEYBOARD.kbd_code[5] .sym 2070 KEYBOARD.kbd_code[4] .sym 2147 $true -.sym 2184 $abc$56607$n2528$2 +.sym 2184 KEYBOARD.row_counter[0]$2 .sym 2185 $false -.sym 2186 $abc$56607$n2528 +.sym 2186 KEYBOARD.row_counter[0] .sym 2187 $false .sym 2188 $false -.sym 2190 $auto$alumacc.cc:470:replace_alu$12147.C[3] +.sym 2190 $auto$alumacc.cc:474:replace_alu$9644.C[2] .sym 2192 $false -.sym 2193 $abc$56607$n2627 -.sym 2196 $auto$alumacc.cc:470:replace_alu$12147.C[4] +.sym 2193 KEYBOARD.row_counter[1] +.sym 2196 $auto$alumacc.cc:474:replace_alu$9644.C[3] +.sym 2197 $false .sym 2198 $false -.sym 2199 $abc$56607$n2623 -.sym 2202 $auto$alumacc.cc:470:replace_alu$12147.C[5] +.sym 2199 KEYBOARD.row_counter[2] +.sym 2200 $auto$alumacc.cc:474:replace_alu$9644.C[2] +.sym 2203 $false .sym 2204 $false -.sym 2205 $abc$56607$n2628 -.sym 2208 $auto$alumacc.cc:470:replace_alu$12147.C[6] -.sym 2210 $false -.sym 2211 $abc$56607$n2624 -.sym 2214 $auto$alumacc.cc:470:replace_alu$12147.C[7] +.sym 2205 KEYBOARD.row_counter[3] +.sym 2206 $auto$alumacc.cc:474:replace_alu$9644.C[3] +.sym 2209 KEYBOARD.row_counter[2] +.sym 2210 $abc$51270$n2199 +.sym 2211 $abc$51270$n1001 +.sym 2212 $false +.sym 2215 $abc$51270$n2210 .sym 2216 $false -.sym 2217 $abc$56607$n2625 -.sym 2220 $abc$56607$n2583$2 +.sym 2217 $false +.sym 2218 $false +.sym 2221 $abc$51270$n2208 .sym 2222 $false -.sym 2223 $abc$56607$n2626 -.sym 2230 $abc$56607$n2583$2 -.sym 2234 $abc$56607$n2615 -.sym 2235 $abc$56607$n2530 -.sym 2236 $abc$56607$n879 -.sym 2237 $abc$56607$n372 -.sym 2238 $abc$56607$n2617 -.sym 2239 $abc$56607$n1977 -.sym 2240 rststate[0] -.sym 2241 I2C.i2c_bit_counter[3] -.sym 2320 $abc$56607$n1521 -.sym 2321 $false +.sym 2223 $false +.sym 2224 $false +.sym 2227 $abc$51270$n2206 +.sym 2228 $false +.sym 2229 $false +.sym 2230 $false +.sym 2231 $abc$51270$n1418$2 +.sym 2232 CLK$2$2 +.sym 2233 $false +.sym 2234 $abc$51270$n721 +.sym 2235 $abc$51270$n701 +.sym 2236 $abc$51270$n719 +.sym 2237 $abc$51270$n1445_1 +.sym 2238 $abc$51270$n705 +.sym 2239 $abc$51270$n1335 +.sym 2240 $abc$51270$n1447 +.sym 2241 KEYBOARD.temp[2] +.sym 2282 $true +.sym 2319 wr_cnt[0]$3 +.sym 2320 $false +.sym 2321 wr_cnt[0] .sym 2322 $false .sym 2323 $false -.sym 2326 $abc$56607$n1527 -.sym 2327 $false +.sym 2325 $auto$alumacc.cc:474:replace_alu$9603.C[2] +.sym 2327 wr_cnt[1] .sym 2328 $false -.sym 2329 $false -.sym 2332 $abc$56607$n1530 -.sym 2333 $false +.sym 2332 $false +.sym 2333 wr_cnt[2] .sym 2334 $false -.sym 2335 $false -.sym 2344 $abc$56607$n1529 -.sym 2345 $false -.sym 2346 $false -.sym 2347 $false -.sym 2350 $abc$56607$n1526 +.sym 2335 $auto$alumacc.cc:474:replace_alu$9603.C[2] +.sym 2350 $abc$51270$n2314 .sym 2351 $false .sym 2352 $false .sym 2353 $false -.sym 2356 $abc$56607$n1524 -.sym 2357 $false -.sym 2358 $false -.sym 2359 $false -.sym 2362 $abc$56607$n1523 +.sym 2362 $abc$51270$n2313 .sym 2363 $false .sym 2364 $false .sym 2365 $false -.sym 2369 $abc$56607$n873_1 -.sym 2370 $abc$56607$n2047 -.sym 2371 $abc$56607$n2248 -.sym 2372 $abc$56607$n2045 -.sym 2373 $abc$56607$n358 -.sym 2374 $abc$56607$n880 -.sym 2375 I2C.i2c_bit_counter[1] -.sym 2376 I2C.i2c_bit_counter[0] -.sym 2417 $true -.sym 2454 $abc$56607$n1520$2 -.sym 2455 $false -.sym 2456 $abc$56607$n1520 -.sym 2457 $false -.sym 2458 $false -.sym 2460 $auto$alumacc.cc:470:replace_alu$12152.C[2] -.sym 2462 $false -.sym 2463 $abc$56607$n1521 -.sym 2466 $auto$alumacc.cc:470:replace_alu$12152.C[3] -.sym 2467 $false -.sym 2468 $false -.sym 2469 $abc$56607$n1523 -.sym 2470 $auto$alumacc.cc:470:replace_alu$12152.C[2] -.sym 2472 $auto$alumacc.cc:470:replace_alu$12152.C[4] -.sym 2473 $false -.sym 2474 $false -.sym 2475 $abc$56607$n1524 -.sym 2476 $auto$alumacc.cc:470:replace_alu$12152.C[3] -.sym 2478 $auto$alumacc.cc:470:replace_alu$12152.C[5] -.sym 2479 $false -.sym 2480 $false -.sym 2481 $abc$56607$n1526 -.sym 2482 $auto$alumacc.cc:470:replace_alu$12152.C[4] -.sym 2484 $auto$alumacc.cc:470:replace_alu$12152.C[6] -.sym 2485 $false -.sym 2486 $false -.sym 2487 $abc$56607$n1527 -.sym 2488 $auto$alumacc.cc:470:replace_alu$12152.C[5] -.sym 2490 $auto$alumacc.cc:470:replace_alu$12152.C[7] -.sym 2491 $false -.sym 2492 $false -.sym 2493 $abc$56607$n1529 -.sym 2494 $auto$alumacc.cc:470:replace_alu$12152.C[6] -.sym 2497 $false -.sym 2498 $false -.sym 2499 $abc$56607$n1530 -.sym 2500 $auto$alumacc.cc:470:replace_alu$12152.C[7] -.sym 2504 $abc$56607$n2043 -.sym 2505 $abc$56607$n1321 -.sym 2506 $abc$56607$n1315_1 -.sym 2507 $abc$56607$n1527 -.sym 2508 $abc$56607$n1492 -.sym 2509 $false$2 -.sym 2510 I2C_HID_DESC.last_rd_request -.sym 2590 $abc$56607$n2043 -.sym 2591 I2C.byte_counter[3] +.sym 2366 $abc$51270$n1354 +.sym 2367 CLK$2$2 +.sym 2368 $abc$51270$n35$2 +.sym 2369 $abc$51270$n2316 +.sym 2370 $abc$51270$n1337 +.sym 2371 KEYBOARD.report[5][4] +.sym 2372 KEYBOARD.report[5][3] +.sym 2373 KEYBOARD.report[5][6] +.sym 2374 KEYBOARD.report[5][5] +.sym 2375 KEYBOARD.report[5][0] +.sym 2376 KEYBOARD.report[5][2] +.sym 2504 $abc$51270$n1119_1 +.sym 2505 $abc$51270$n1164 +.sym 2506 $abc$51270$n963 +.sym 2507 $abc$51270$n2313 +.sym 2508 $abc$51270$n253 +.sym 2509 KEYBOARD.report[4][0] +.sym 2511 KEYBOARD.report[4][4] +.sym 2552 $true +.sym 2589 UART.tx_clk_counter[0]$2 +.sym 2590 $false +.sym 2591 UART.tx_clk_counter[0] .sym 2592 $false .sym 2593 $false -.sym 2596 $abc$56607$n2043 -.sym 2597 I2C.byte_counter[4] -.sym 2598 $false -.sym 2599 $false -.sym 2602 $abc$56607$n2043 -.sym 2603 I2C.byte_counter[7] -.sym 2604 $false -.sym 2605 $false -.sym 2608 $abc$56607$n2214 -.sym 2609 $abc$56607$n1301 -.sym 2610 $abc$56607$n1526 -.sym 2611 $abc$56607$n1304 -.sym 2614 $abc$56607$n2213 -.sym 2615 $abc$56607$n1524 -.sym 2616 $abc$56607$n1306 -.sym 2617 $false -.sym 2620 $abc$56607$n2217 -.sym 2621 $abc$56607$n1301 -.sym 2622 $abc$56607$n1530 -.sym 2623 $abc$56607$n1304 -.sym 2626 $abc$56607$n2212 -.sym 2627 $abc$56607$n1301 -.sym 2628 $abc$56607$n1523 -.sym 2629 $abc$56607$n1304 -.sym 2632 $abc$56607$n2215 -.sym 2633 $abc$56607$n1527 -.sym 2634 $abc$56607$n1301 -.sym 2635 $abc$56607$n1304 -.sym 2636 $true -.sym 2637 CLK$2$2 -.sym 2638 $false -.sym 2639 $abc$56607$n874 -.sym 2640 $abc$56607$n1487 -.sym 2641 $abc$56607$n1304 -.sym 2642 $abc$56607$n877 -.sym 2643 $abc$56607$n1276 -.sym 2644 $abc$56607$n1248 -.sym 2645 $abc$56607$n1300 -.sym 2646 I2C.received_byte[0] -.sym 2725 $false -.sym 2726 $true$2 -.sym 2727 $abc$56607$n1520 +.sym 2595 $auto$alumacc.cc:474:replace_alu$9647.C[2]$2 +.sym 2597 UART.tx_clk_counter[1] +.sym 2598 $true$2 +.sym 2601 $auto$alumacc.cc:474:replace_alu$9647.C[3] +.sym 2603 UART.tx_clk_counter[2] +.sym 2604 $true$2 +.sym 2605 $auto$alumacc.cc:474:replace_alu$9647.C[2]$2 +.sym 2608 $false +.sym 2609 UART.tx_clk_counter[3] +.sym 2610 $false +.sym 2611 $auto$alumacc.cc:474:replace_alu$9647.C[3] +.sym 2632 $false +.sym 2633 UART.tx_clk_counter[2] +.sym 2634 $false +.sym 2635 $auto$alumacc.cc:474:replace_alu$9647.C[2] +.sym 2641 $abc$51270$n2330 +.sym 2642 $abc$51270$n1118 +.sym 2643 $abc$51270$n2206 +.sym 2644 KEYBOARD.report[1][6] +.sym 2645 KEYBOARD.report[1][4] +.sym 2646 KEYBOARD.report[1][2] +.sym 2725 UART.tx_clk_counter[1] +.sym 2726 UART.tx_clk_counter[0] +.sym 2727 $abc$51270$n890 .sym 2728 $false -.sym 2731 $abc$56607$n2043 -.sym 2732 I2C.byte_counter[1] -.sym 2733 $false -.sym 2734 $false -.sym 2737 $abc$56607$n2043 -.sym 2738 I2C.byte_counter[0] -.sym 2739 $false +.sym 2737 $abc$51270$n1514 +.sym 2738 $abc$51270$n1517 +.sym 2739 $abc$51270$n1518 .sym 2740 $false -.sym 2743 I2C.byte_counter[2] -.sym 2744 I2C.byte_counter[3] -.sym 2745 I2C.byte_counter[0] -.sym 2746 I2C.byte_counter[1] -.sym 2749 $abc$56607$n1301 -.sym 2750 $abc$56607$n1304 -.sym 2751 $false +.sym 2749 UART.tx_clk_counter[1] +.sym 2750 UART.tx_clk_counter[0] +.sym 2751 UART.tx_activity .sym 2752 $false -.sym 2755 $abc$56607$n2210 -.sym 2756 $abc$56607$n1520 -.sym 2757 $abc$56607$n1301 -.sym 2758 $abc$56607$n1304 -.sym 2761 $abc$56607$n2216 -.sym 2762 $abc$56607$n1301 -.sym 2763 $abc$56607$n1529 -.sym 2764 $abc$56607$n1304 -.sym 2767 $abc$56607$n1306 -.sym 2768 I2C.byte_counter[0] -.sym 2769 I2C.byte_counter[1] -.sym 2770 $abc$56607$n2043 -.sym 2771 $true +.sym 2755 $abc$51270$n1517 +.sym 2756 $abc$51270$n888 +.sym 2757 $false +.sym 2758 $false +.sym 2761 $abc$51270$n1514 +.sym 2762 $abc$51270$n888 +.sym 2763 $false +.sym 2764 $false +.sym 2767 $abc$51270$n1518 +.sym 2768 $abc$51270$n888 +.sym 2769 $false +.sym 2770 $false +.sym 2771 $abc$51270$n1238 .sym 2772 CLK$2$2 -.sym 2773 $false -.sym 2775 $abc$56607$n2606 -.sym 2776 $abc$56607$n1283 -.sym 2777 I2C_TRANS -.sym 2778 $abc$56607$n2518 -.sym 2779 $abc$56607$n875 -.sym 2781 I2C.received_byte[4] -.sym 2860 $abc$56607$n2043 -.sym 2861 I2C.byte_counter[2] -.sym 2862 $false -.sym 2863 $false -.sym 2866 $abc$56607$n1523 -.sym 2867 $abc$56607$n1303 -.sym 2868 I2C.byte_counter[0] -.sym 2869 I2C.byte_counter[1] -.sym 2872 $abc$56607$n1302_1 -.sym 2873 $abc$56607$n1529 -.sym 2874 $false -.sym 2875 $false -.sym 2884 I2C.byte_counter[3] -.sym 2885 I2C.byte_counter[4] -.sym 2886 I2C.byte_counter[5] -.sym 2887 I2C.byte_counter[7] -.sym 2890 $abc$56607$n2043 -.sym 2891 I2C.byte_counter[6] -.sym 2892 $false +.sym 2773 $abc$51270$n35$2 +.sym 2778 $abc$51270$n2192 +.sym 2779 $false$2 +.sym 2780 KEYBOARD.ram_adr[8] +.sym 2781 KEYBOARD.ram_adr[4] +.sym 2872 KEYBOARD.init_ram_cnt[1] +.sym 2873 KEYBOARD.row_counter[0] +.sym 2874 KEYBOARD.row_counter[1] +.sym 2875 KEYBOARD.init_ram_cnt[8] +.sym 2884 KEYBOARD.init_ram_cnt[0] +.sym 2885 $abc$51270$n2193 +.sym 2886 KEYBOARD.init_ram_cnt[8] +.sym 2887 $false +.sym 2890 KEYBOARD.init_ram_cnt[2] +.sym 2891 $abc$51270$n2199 +.sym 2892 KEYBOARD.init_ram_cnt[8] .sym 2893 $false -.sym 2896 I2C.i2c_start_latency -.sym 2897 I2C.i2c_state_machine -.sym 2898 $abc$56607$n2043 -.sym 2899 $false -.sym 2902 $abc$56607$n2043 -.sym 2903 $false -.sym 2904 $false -.sym 2905 $false -.sym 2906 $abc$56607$n1305 +.sym 2906 $abc$51270$n1398 .sym 2907 CLK$2$2 .sym 2908 $false -.sym 2909 $abc$56607$n925 -.sym 2910 $abc$56607$n924 -.sym 2912 $abc$56607$n923 -.sym 2913 $abc$56607$n2621 -.sym 2915 KEYBOARD.ram_adr[6] -.sym 2916 KEYBOARD.ram_adr[5] -.sym 2957 $true -.sym 2994 KEYBOARD.init_ram_cnt[0]$2 -.sym 2995 $false -.sym 2996 KEYBOARD.init_ram_cnt[0] +.sym 2909 $abc$51270$n2324 +.sym 2910 I2C_HID_DESC.real_adress[7] +.sym 2911 I2C_HID_DESC.real_adress[1] +.sym 2912 I2C_HID_DESC.real_adress[4] +.sym 2913 I2C_HID_DESC.real_adress[5] +.sym 2914 I2C_HID_DESC.real_adress[2] +.sym 2915 I2C_HID_DESC.real_adress[6] +.sym 2916 I2C_HID_DESC.real_adress[0] +.sym 2995 KEYBOARD.init_ram_cnt[7] +.sym 2996 $false .sym 2997 $false .sym 2998 $false -.sym 3000 $auto$alumacc.cc:470:replace_alu$12188.C[2] -.sym 3002 $false -.sym 3003 KEYBOARD.init_ram_cnt[1] -.sym 3006 $auto$alumacc.cc:470:replace_alu$12188.C[3] -.sym 3007 $false -.sym 3008 $false -.sym 3009 KEYBOARD.init_ram_cnt[2] -.sym 3010 $auto$alumacc.cc:470:replace_alu$12188.C[2] -.sym 3012 $auto$alumacc.cc:470:replace_alu$12188.C[4] -.sym 3013 $false -.sym 3014 $false -.sym 3015 KEYBOARD.init_ram_cnt[3] -.sym 3016 $auto$alumacc.cc:470:replace_alu$12188.C[3] -.sym 3018 $auto$alumacc.cc:470:replace_alu$12188.C[5] -.sym 3019 $false +.sym 3019 KEYBOARD.init_ram_cnt[6] .sym 3020 $false -.sym 3021 KEYBOARD.init_ram_cnt[4] -.sym 3022 $auto$alumacc.cc:470:replace_alu$12188.C[4] -.sym 3024 $auto$alumacc.cc:470:replace_alu$12188.C[6] -.sym 3025 $false -.sym 3026 $false -.sym 3027 KEYBOARD.init_ram_cnt[5] -.sym 3028 $auto$alumacc.cc:470:replace_alu$12188.C[5] -.sym 3030 $auto$alumacc.cc:470:replace_alu$12188.C[7] -.sym 3031 $false -.sym 3032 $false -.sym 3033 KEYBOARD.init_ram_cnt[6] -.sym 3034 $auto$alumacc.cc:470:replace_alu$12188.C[6] -.sym 3036 $auto$alumacc.cc:470:replace_alu$12188.C[8] -.sym 3037 $false +.sym 3021 $false +.sym 3022 $false +.sym 3037 KEYBOARD.init_ram_cnt[5] .sym 3038 $false -.sym 3039 KEYBOARD.init_ram_cnt[7] -.sym 3040 $auto$alumacc.cc:470:replace_alu$12188.C[7] -.sym 3041 $abc$56607$n1459 +.sym 3039 $false +.sym 3040 $false +.sym 3041 $abc$51270$n1398 .sym 3042 CLK$2$2 -.sym 3043 $abc$56607$n35$2 -.sym 3048 $abc$56607$n2229 -.sym 3049 $abc$56607$n1052 -.sym 3050 $abc$56607$n660 -.sym 3051 I2C_OUT_DESC_MASK[5] +.sym 3043 KEYBOARD.init_ram_cnt[8] +.sym 3046 $abc$51270$n2348 +.sym 3047 $abc$51270$n1050 +.sym 3048 $abc$51270$n2466 +.sym 3049 $abc$51270$n2451 +.sym 3050 $abc$51270$n2468 +.sym 3051 $abc$51270$n10 +.sym 3092 $true +.sym 3129 KEYBOARD.init_ram_cnt[0]$2 .sym 3130 $false -.sym 3131 $false -.sym 3132 KEYBOARD.init_ram_cnt[8] -.sym 3133 $auto$alumacc.cc:470:replace_alu$12188.C[8] -.sym 3142 KEYBOARD.init_ram_cnt[0] -.sym 3143 KEYBOARD.init_ram_cnt[1] -.sym 3144 $false -.sym 3145 $false +.sym 3131 KEYBOARD.init_ram_cnt[0] +.sym 3132 $false +.sym 3133 $false +.sym 3135 $auto$alumacc.cc:474:replace_alu$9638.C[2] +.sym 3137 $false +.sym 3138 KEYBOARD.init_ram_cnt[1] +.sym 3141 $auto$alumacc.cc:474:replace_alu$9638.C[3] +.sym 3142 $false +.sym 3143 $false +.sym 3144 KEYBOARD.init_ram_cnt[2] +.sym 3145 $auto$alumacc.cc:474:replace_alu$9638.C[2] +.sym 3147 $auto$alumacc.cc:474:replace_alu$9638.C[4] +.sym 3148 $false +.sym 3149 $false +.sym 3150 KEYBOARD.init_ram_cnt[3] +.sym 3151 $auto$alumacc.cc:474:replace_alu$9638.C[3] +.sym 3153 $auto$alumacc.cc:474:replace_alu$9638.C[5] .sym 3154 $false -.sym 3155 $true$2 -.sym 3156 KEYBOARD.init_ram_cnt[0] -.sym 3157 $false -.sym 3176 $abc$56607$n1459 +.sym 3155 $false +.sym 3156 KEYBOARD.init_ram_cnt[4] +.sym 3157 $auto$alumacc.cc:474:replace_alu$9638.C[4] +.sym 3159 $auto$alumacc.cc:474:replace_alu$9638.C[6] +.sym 3160 $false +.sym 3161 $false +.sym 3162 KEYBOARD.init_ram_cnt[5] +.sym 3163 $auto$alumacc.cc:474:replace_alu$9638.C[5] +.sym 3165 $auto$alumacc.cc:474:replace_alu$9638.C[7] +.sym 3166 $false +.sym 3167 $false +.sym 3168 KEYBOARD.init_ram_cnt[6] +.sym 3169 $auto$alumacc.cc:474:replace_alu$9638.C[6] +.sym 3171 $auto$alumacc.cc:474:replace_alu$9638.C[8] +.sym 3172 $false +.sym 3173 $false +.sym 3174 KEYBOARD.init_ram_cnt[7] +.sym 3175 $auto$alumacc.cc:474:replace_alu$9638.C[7] +.sym 3176 $abc$51270$n1388 .sym 3177 CLK$2$2 -.sym 3178 $abc$56607$n35$2 -.sym 3179 $abc$56607$n1099_1 -.sym 3180 $abc$56607$n806 -.sym 3181 I2C_HID_DESC.real_adress[7] -.sym 3182 I2C_HID_DESC.real_adress[0] -.sym 3183 I2C_HID_DESC.real_adress[6] -.sym 3184 I2C_HID_DESC.real_adress[4] -.sym 3185 I2C_HID_DESC.real_adress[1] -.sym 3186 I2C_HID_DESC.real_adress[5] -.sym 3265 I2C.byte_counter[2] -.sym 3266 I2C.byte_counter[3] -.sym 3267 $abc$56607$n674 -.sym 3268 I2C.byte_counter[1] -.sym 3271 I2C.byte_counter[4] -.sym 3272 I2C.byte_counter[5] -.sym 3273 I2C.byte_counter[6] -.sym 3274 I2C.byte_counter[7] -.sym 3277 I2C.byte_counter[2] -.sym 3278 $abc$56607$n806 -.sym 3279 $abc$56607$n674 -.sym 3280 I2C.byte_counter[3] -.sym 3283 I2C_INPUT_LEN[6] -.sym 3284 $false -.sym 3285 $false -.sym 3286 $false -.sym 3289 I2C_INPUT_LEN[5] -.sym 3290 $false -.sym 3291 $false -.sym 3292 $false -.sym 3295 I2C.byte_counter[3] -.sym 3296 I2C.byte_counter[2] -.sym 3297 $abc$56607$n674 -.sym 3298 $false -.sym 3301 I2C.byte_counter[3] +.sym 3178 $abc$51270$n35$2 +.sym 3181 $auto$alumacc.cc:474:replace_alu$9650.C[2] +.sym 3182 $abc$51270$n2467 +.sym 3183 $abc$51270$n2465 +.sym 3184 UART.tx_bit_counter[0] +.sym 3185 UART.tx_bit_counter[2] +.sym 3186 UART.tx_bit_counter[3] +.sym 3265 $false +.sym 3266 $false +.sym 3267 KEYBOARD.init_ram_cnt[8] +.sym 3268 $auto$alumacc.cc:474:replace_alu$9638.C[8] +.sym 3301 $false .sym 3302 $false -.sym 3303 $false +.sym 3303 KEYBOARD.init_ram_cnt[0] .sym 3304 $false -.sym 3307 I2C.byte_counter[2] -.sym 3308 $false -.sym 3309 $false -.sym 3310 $false -.sym 3311 $abc$56607$n1492 +.sym 3311 $abc$51270$n1388 .sym 3312 CLK$2$2 -.sym 3313 $abc$56607$n35$2 -.sym 3314 $abc$56607$n1056 -.sym 3315 $abc$56607$n855 -.sym 3316 $abc$56607$n2610 -.sym 3317 I2C_OUT_DESC_MASK[4] -.sym 3318 I2C_OUT_DESC_MASK[2] -.sym 3319 I2C_OUT_DESC_MASK[0] -.sym 3320 I2C_OUTPUT_TYPE[0] -.sym 3321 I2C_OUT_DESC_MASK[7] -.sym 3362 $true -.sym 3399 I2C.byte_counter[0]$2 -.sym 3400 $false -.sym 3401 I2C.byte_counter[0] +.sym 3313 $abc$51270$n35$2 +.sym 3314 $abc$51270$n217 +.sym 3315 $abc$51270$n892 +.sym 3316 $abc$51270$n891 +.sym 3317 $abc$51270$n25 +.sym 3318 $abc$51270$n2384 +.sym 3319 $abc$51270$n2463 +.sym 3320 $abc$51270$n2383 +.sym 3321 UART.tx_bit_counter[1] +.sym 3400 $abc$51270$n889 +.sym 3401 UART.tx_activity .sym 3402 $false .sym 3403 $false -.sym 3405 $auto$alumacc.cc:470:replace_alu$12141.C[2] -.sym 3407 I2C.byte_counter[1] -.sym 3408 $true$2 -.sym 3411 $auto$alumacc.cc:470:replace_alu$12141.C[3] -.sym 3412 $false -.sym 3413 I2C.byte_counter[2] -.sym 3414 $true$2 -.sym 3415 $auto$alumacc.cc:470:replace_alu$12141.C[2] -.sym 3417 $auto$alumacc.cc:470:replace_alu$12141.C[4] -.sym 3418 $false -.sym 3419 I2C.byte_counter[3] -.sym 3420 $true$2 -.sym 3421 $auto$alumacc.cc:470:replace_alu$12141.C[3] -.sym 3423 $auto$alumacc.cc:470:replace_alu$12141.C[5] -.sym 3424 $false -.sym 3425 I2C.byte_counter[4] -.sym 3426 $true$2 -.sym 3427 $auto$alumacc.cc:470:replace_alu$12141.C[4] -.sym 3429 $auto$alumacc.cc:470:replace_alu$12141.C[6] -.sym 3430 $false -.sym 3431 I2C.byte_counter[5] -.sym 3432 $true$2 -.sym 3433 $auto$alumacc.cc:470:replace_alu$12141.C[5] -.sym 3435 $auto$alumacc.cc:470:replace_alu$12141.C[7] -.sym 3436 $false -.sym 3437 I2C.byte_counter[6] -.sym 3438 $true$2 -.sym 3439 $auto$alumacc.cc:470:replace_alu$12141.C[6] -.sym 3442 $false -.sym 3443 I2C.byte_counter[7] -.sym 3444 $true$2 -.sym 3445 $auto$alumacc.cc:470:replace_alu$12141.C[7] -.sym 3446 $abc$56607$n1180 +.sym 3406 $abc$51270$n888 +.sym 3407 $abc$51270$n891 +.sym 3408 $abc$51270$n893 +.sym 3409 I2C.FLT_SCL.RESET +.sym 3418 I2C.FLT_SCL.RESET +.sym 3419 $abc$51270$n893 +.sym 3420 $false +.sym 3421 $false +.sym 3430 KEYBOARD.init_ram_cnt[8] +.sym 3431 $abc$51270$n896_1 +.sym 3432 KEYBOARD.init_ram_cnt[0] +.sym 3433 I2C.FLT_SCL.RESET +.sym 3442 KEYBOARD.init_ram_cnt[1] +.sym 3443 $false +.sym 3444 $false +.sym 3445 $false +.sym 3446 $abc$51270$n1387 .sym 3447 CLK$2$2 -.sym 3448 $abc$56607$n35$2 -.sym 3451 $abc$56607$n2477 -.sym 3452 $abc$56607$n274 -.sym 3453 $abc$56607$n2609 -.sym 3454 $abc$56607$n2607 -.sym 3455 UART_TX_DATA[5] -.sym 3456 UART_TX_DATA[7] -.sym 3497 $true -.sym 3534 $abc$56607$n2607$2 -.sym 3535 $false -.sym 3536 $abc$56607$n2607 -.sym 3537 $false -.sym 3538 $false -.sym 3540 $auto$alumacc.cc:470:replace_alu$12031.C[3] -.sym 3542 $false -.sym 3543 $abc$56607$n2608 -.sym 3546 $auto$alumacc.cc:470:replace_alu$12031.C[4] -.sym 3548 $false -.sym 3549 $abc$56607$n2609 -.sym 3552 $auto$alumacc.cc:470:replace_alu$12031.C[5] -.sym 3554 $false -.sym 3555 $abc$56607$n2610 -.sym 3558 $auto$alumacc.cc:470:replace_alu$12031.C[6] +.sym 3448 $abc$51270$n35$2 +.sym 3449 $abc$51270$n871 +.sym 3450 $abc$51270$n1916 +.sym 3451 $abc$51270$n782 +.sym 3452 $abc$51270$n1198 +.sym 3453 $abc$51270$n783 +.sym 3454 $6\report_data_wadr[7:0][0] +.sym 3456 report_data_wadr[0] +.sym 3547 UART.TX_sig_last +.sym 3548 UART_WR +.sym 3549 UART.tx_activity +.sym 3550 $false +.sym 3559 $abc$51270$n10 .sym 3560 $false -.sym 3561 $abc$56607$n2611 -.sym 3564 $auto$alumacc.cc:470:replace_alu$12031.C[7] -.sym 3566 $false -.sym 3567 $abc$56607$n2612 -.sym 3570 $abc$56607$n2569$2 -.sym 3572 $false -.sym 3573 $abc$56607$n2613 -.sym 3580 $abc$56607$n2569$2 -.sym 3584 $abc$56607$n1050 -.sym 3585 $abc$56607$n787 -.sym 3586 $abc$56607$n667 -.sym 3587 $abc$56607$n753 -.sym 3588 $abc$56607$n795 -.sym 3589 $abc$56607$n1073 -.sym 3590 KEYBOARD.ram_adr[4] -.sym 3591 KEYBOARD.ram_adr[7] -.sym 3632 $true -.sym 3669 $abc$56607$n282$3 -.sym 3670 $false -.sym 3671 $abc$56607$n282 -.sym 3672 $false -.sym 3673 $false -.sym 3675 $auto$alumacc.cc:470:replace_alu$12098.C[3] -.sym 3677 $false -.sym 3678 $abc$56607$n280 -.sym 3681 $auto$alumacc.cc:470:replace_alu$12098.C[4] -.sym 3683 $false -.sym 3684 $abc$56607$n279 -.sym 3687 $auto$alumacc.cc:470:replace_alu$12098.C[5] +.sym 3561 $false +.sym 3562 $false +.sym 3565 $abc$51270$n891 +.sym 3566 $abc$51270$n889 +.sym 3567 $abc$51270$n893 +.sym 3568 $false +.sym 3577 UART_WR +.sym 3578 $false +.sym 3579 $false +.sym 3580 $false +.sym 3581 I2C.FLT_SCL.RESET +.sym 3582 CLK$2$2 +.sym 3583 $false +.sym 3584 $abc$51270$n2521 +.sym 3585 $abc$51270$n2416 +.sym 3586 $abc$51270$n1208 +.sym 3587 $abc$51270$n1219 +.sym 3588 $abc$51270$n1210_1 +.sym 3589 $abc$51270$n1209 +.sym 3590 $abc$51270$n1216 +.sym 3591 $abc$51270$n867 +.sym 3688 $abc$51270$n8 .sym 3689 $false -.sym 3690 $abc$56607$n277 -.sym 3693 $auto$alumacc.cc:470:replace_alu$12098.C[6] -.sym 3695 $false -.sym 3696 $abc$56607$n276 -.sym 3699 $auto$alumacc.cc:470:replace_alu$12098.C[7] -.sym 3701 $false -.sym 3702 $abc$56607$n274 -.sym 3705 $abc$56607$n2580$2 -.sym 3707 $false -.sym 3708 $abc$56607$n273 -.sym 3715 $abc$56607$n2580$2 -.sym 3721 $abc$56607$n1824 -.sym 3722 $abc$56607$n1827 -.sym 3723 $abc$56607$n1830 -.sym 3724 $abc$56607$n1833 -.sym 3725 $abc$56607$n1836 -.sym 3726 $abc$56607$n1839 -.sym 3767 $true -.sym 3804 $abc$56607$n282$2 -.sym 3805 $false -.sym 3806 $abc$56607$n282 -.sym 3807 $false +.sym 3690 $false +.sym 3691 $false +.sym 3706 $abc$51270$n687 +.sym 3707 int_tmr[1] +.sym 3708 $false +.sym 3709 $false +.sym 3716 $abc$51270$n867 +.sym 3717 CLK$2$2 +.sym 3718 $abc$51270$n35$2 +.sym 3719 $abc$51270$n2577 +.sym 3724 init_ram_cnt[1] +.sym 3726 init_ram_cnt[0] +.sym 3805 $abc$51270$n749 +.sym 3806 $abc$51270$n751 +.sym 3807 $abc$51270$n752 .sym 3808 $false -.sym 3810 $auto$alumacc.cc:470:replace_alu$12036.C[3] -.sym 3812 $true$2 -.sym 3813 $abc$56607$n280 -.sym 3816 $auto$alumacc.cc:470:replace_alu$12036.C[4] -.sym 3818 $false -.sym 3819 $abc$56607$n279 -.sym 3822 $auto$alumacc.cc:470:replace_alu$12036.C[5] -.sym 3824 $false -.sym 3825 $abc$56607$n277 -.sym 3828 $auto$alumacc.cc:470:replace_alu$12036.C[6] -.sym 3830 $false -.sym 3831 $abc$56607$n276 -.sym 3834 $auto$alumacc.cc:470:replace_alu$12036.C[7] -.sym 3836 $false -.sym 3837 $abc$56607$n274 -.sym 3840 $abc$56607$n2570$2 -.sym 3842 $false -.sym 3843 $abc$56607$n273 -.sym 3850 $abc$56607$n2570$2 -.sym 3854 $abc$56607$n2545 -.sym 3855 $10\ring_rd[3:0][1] -.sym 3856 report_data_radr[4] -.sym 3857 report_data_radr[3] -.sym 3858 report_data_radr[5] -.sym 3859 report_data_radr[6] -.sym 3860 report_data_radr[2] -.sym 3861 report_data_radr[7] +.sym 3811 int_tmr[4] +.sym 3812 int_tmr[5] +.sym 3813 int_tmr[6] +.sym 3814 int_tmr[7] +.sym 3817 int_tmr[0] +.sym 3818 int_tmr[1] +.sym 3819 int_tmr[2] +.sym 3820 int_tmr[3] +.sym 3823 $abc$51270$n687 +.sym 3824 $13\int_tmr[14:0][4] +.sym 3825 $false +.sym 3826 $false +.sym 3829 $abc$51270$n687 +.sym 3830 $13\int_tmr[14:0][5] +.sym 3831 $false +.sym 3832 $false +.sym 3835 $abc$51270$n687 +.sym 3836 $13\int_tmr[14:0][6] +.sym 3837 $false +.sym 3838 $false +.sym 3841 $abc$51270$n687 +.sym 3842 $13\int_tmr[14:0][7] +.sym 3843 $false +.sym 3844 $false +.sym 3847 $abc$51270$n687 +.sym 3848 $13\int_tmr[14:0][2] +.sym 3849 $false +.sym 3850 $false +.sym 3851 $abc$51270$n871$2 +.sym 3852 CLK$2$2 +.sym 3853 $abc$51270$n35$2 +.sym 3855 int_tmr[0] +.sym 3857 int_tmr[3] .sym 3902 $true -.sym 3939 ring_rd[0]$2 +.sym 3939 int_tmr[0]$2 .sym 3940 $false -.sym 3941 ring_rd[0] +.sym 3941 int_tmr[0] .sym 3942 $false .sym 3943 $false -.sym 3945 $auto$alumacc.cc:470:replace_alu$12117.C[2] +.sym 3945 $auto$alumacc.cc:474:replace_alu$9591.C[2] .sym 3947 $false -.sym 3948 ring_rd[1] -.sym 3951 $auto$alumacc.cc:470:replace_alu$12117.C[3] +.sym 3948 int_tmr[1] +.sym 3951 $auto$alumacc.cc:474:replace_alu$9591.C[3] .sym 3952 $false .sym 3953 $false -.sym 3954 ring_rd[2] -.sym 3955 $auto$alumacc.cc:470:replace_alu$12117.C[2] +.sym 3954 int_tmr[2] +.sym 3955 $auto$alumacc.cc:474:replace_alu$9591.C[2] +.sym 3957 $auto$alumacc.cc:474:replace_alu$9591.C[4] .sym 3958 $false .sym 3959 $false -.sym 3960 ring_rd[3] -.sym 3961 $auto$alumacc.cc:470:replace_alu$12117.C[3] -.sym 3964 I2C_INPUT_LEN[7] +.sym 3960 int_tmr[3] +.sym 3961 $auto$alumacc.cc:474:replace_alu$9591.C[3] +.sym 3963 $auto$alumacc.cc:474:replace_alu$9591.C[5] +.sym 3964 $false .sym 3965 $false -.sym 3966 $false -.sym 3967 $false -.sym 3970 I2C.received_byte[5] +.sym 3966 int_tmr[4] +.sym 3967 $auto$alumacc.cc:474:replace_alu$9591.C[4] +.sym 3969 $auto$alumacc.cc:474:replace_alu$9591.C[6] +.sym 3970 $false .sym 3971 $false -.sym 3972 $false -.sym 3973 $false -.sym 3976 $10\ring_rd[3:0][2] +.sym 3972 int_tmr[5] +.sym 3973 $auto$alumacc.cc:474:replace_alu$9591.C[5] +.sym 3975 $auto$alumacc.cc:474:replace_alu$9591.C[7] +.sym 3976 $false .sym 3977 $false -.sym 3978 $false -.sym 3979 $false -.sym 3982 $10\ring_rd[3:0][3] +.sym 3978 int_tmr[6] +.sym 3979 $auto$alumacc.cc:474:replace_alu$9591.C[6] +.sym 3981 $auto$alumacc.cc:474:replace_alu$9591.C[8] +.sym 3982 $false .sym 3983 $false -.sym 3984 $false -.sym 3985 $false -.sym 3986 $abc$56607$n999 -.sym 3987 CLK$2$2 -.sym 3988 $abc$56607$n35$2 -.sym 3990 $abc$56607$n1832 -.sym 3991 $abc$56607$n1835 -.sym 3992 $abc$56607$n1838 -.sym 3993 $abc$56607$n2543 -.sym 3994 $10\ring_rd[3:0][0] -.sym 3995 $abc$56607$n1811 -.sym 3996 $abc$56607$n2691 -.sym 4087 $10\ring_rd[3:0][1] +.sym 3984 int_tmr[7] +.sym 3985 $auto$alumacc.cc:474:replace_alu$9591.C[7] +.sym 3989 $abc$51270$n750 +.sym 3990 $abc$51270$n749 +.sym 3991 int_tmr[13] +.sym 3992 int_tmr[12] +.sym 3993 int_tmr[9] +.sym 3994 int_tmr[10] +.sym 3995 int_tmr[14] +.sym 3996 int_tmr[11] +.sym 4037 $auto$alumacc.cc:474:replace_alu$9591.C[8] +.sym 4074 $auto$alumacc.cc:474:replace_alu$9591.C[9] +.sym 4075 $false +.sym 4076 $false +.sym 4077 int_tmr[8] +.sym 4078 $auto$alumacc.cc:474:replace_alu$9591.C[8] +.sym 4080 $auto$alumacc.cc:474:replace_alu$9591.C[10] +.sym 4081 $false +.sym 4082 $false +.sym 4083 int_tmr[9] +.sym 4084 $auto$alumacc.cc:474:replace_alu$9591.C[9] +.sym 4086 $auto$alumacc.cc:474:replace_alu$9591.C[11] +.sym 4087 $false .sym 4088 $false -.sym 4089 $false -.sym 4090 $false -.sym 4111 $10\ring_rd[3:0][0] +.sym 4089 int_tmr[10] +.sym 4090 $auto$alumacc.cc:474:replace_alu$9591.C[10] +.sym 4092 $auto$alumacc.cc:474:replace_alu$9591.C[12] +.sym 4093 $false +.sym 4094 $false +.sym 4095 int_tmr[11] +.sym 4096 $auto$alumacc.cc:474:replace_alu$9591.C[11] +.sym 4098 $auto$alumacc.cc:474:replace_alu$9591.C[13] +.sym 4099 $false +.sym 4100 $false +.sym 4101 int_tmr[12] +.sym 4102 $auto$alumacc.cc:474:replace_alu$9591.C[12] +.sym 4104 $auto$alumacc.cc:474:replace_alu$9591.C[14] +.sym 4105 $false +.sym 4106 $false +.sym 4107 int_tmr[13] +.sym 4108 $auto$alumacc.cc:474:replace_alu$9591.C[13] +.sym 4111 $false .sym 4112 $false -.sym 4113 $false -.sym 4114 $false -.sym 4121 $abc$56607$n999 +.sym 4113 int_tmr[14] +.sym 4114 $auto$alumacc.cc:474:replace_alu$9591.C[14] +.sym 4117 $abc$51270$n687 +.sym 4118 $13\int_tmr[14:0][8] +.sym 4119 $false +.sym 4120 $false +.sym 4121 $abc$51270$n871$2 .sym 4122 CLK$2$2 -.sym 4123 $abc$56607$n35$2 +.sym 4123 $abc$51270$n35$2 .sym 4212 $false .sym 4214 KEYBOARD.ROWS_EN[15] .sym 4237 KEYBOARD.kbd_code_hid[0] @@ -4943,588 +4858,556 @@ .sym 4242 KEYBOARD.kbd_code_hid[5] .sym 4243 KEYBOARD.kbd_code_hid[6] .sym 4244 KEYBOARD.kbd_code_hid[7] -.sym 4274 $false -.sym 4311 $auto$alumacc.cc:470:replace_alu$12126.C[1] -.sym 4313 $abc$56607$n35$2 -.sym 4314 rststate[0] -.sym 4317 $auto$alumacc.cc:470:replace_alu$12126.C[2] -.sym 4318 $false -.sym 4319 $false -.sym 4320 rststate[1] -.sym 4321 $auto$alumacc.cc:470:replace_alu$12126.C[1] -.sym 4323 $auto$alumacc.cc:470:replace_alu$12126.C[3] -.sym 4324 $false -.sym 4325 $false -.sym 4326 rststate[2] -.sym 4327 $auto$alumacc.cc:470:replace_alu$12126.C[2] -.sym 4330 $false -.sym 4331 $false -.sym 4332 rststate[3] -.sym 4333 $auto$alumacc.cc:470:replace_alu$12126.C[3] -.sym 4336 rststate[3] -.sym 4337 rststate[2] -.sym 4338 rststate[1] -.sym 4339 rststate[0] -.sym 4342 $abc$56607$n1251 -.sym 4343 $abc$56607$n1979 +.sym 4312 $abc$51270$n697 +.sym 4313 $abc$51270$n29 +.sym 4314 $abc$51270$n1447 +.sym 4315 KEYBOARD.is_pressed +.sym 4318 $abc$51270$n697 +.sym 4319 $abc$51270$n1447 +.sym 4320 $false +.sym 4321 $false +.sym 4336 $false +.sym 4337 $abc$51270$n396 +.sym 4338 $false +.sym 4339 $false +.sym 4342 $abc$51270$n2274 +.sym 4343 $abc$51270$n2265 .sym 4344 $false .sym 4345 $false -.sym 4348 $abc$56607$n1251 -.sym 4349 $abc$56607$n1983 +.sym 4348 $abc$51270$n2274 +.sym 4349 $abc$51270$n2212 .sym 4350 $false .sym 4351 $false -.sym 4354 $abc$56607$n1251 -.sym 4355 $abc$56607$n1981 +.sym 4354 $abc$51270$n2274 +.sym 4355 $false .sym 4356 $false .sym 4357 $false -.sym 4358 $true +.sym 4358 $abc$51270$n1418$2 .sym 4359 CLK$2$2 -.sym 4360 $false +.sym 4360 $abc$51270$n29 .sym 4437 $true -.sym 4474 $abc$56607$n2045$2 +.sym 4474 I2C.FLT_SDA.counter[0]$2 .sym 4475 $false -.sym 4476 $abc$56607$n2045 +.sym 4476 I2C.FLT_SDA.counter[0] .sym 4477 $false .sym 4478 $false -.sym 4480 $auto$alumacc.cc:470:replace_alu$12155.C[2] -.sym 4482 $abc$56607$n2047 +.sym 4480 $auto$alumacc.cc:474:replace_alu$9626.C[2] +.sym 4482 I2C.FLT_SDA.counter[1] .sym 4483 $true$2 -.sym 4486 $auto$alumacc.cc:470:replace_alu$12155.C[3] .sym 4487 $false -.sym 4488 $abc$56607$n2049 -.sym 4489 $true$2 -.sym 4490 $auto$alumacc.cc:470:replace_alu$12155.C[2] -.sym 4492 $abc$56607$n2529$2 -.sym 4493 $false -.sym 4494 $abc$56607$n2051 -.sym 4495 $true$2 -.sym 4496 $auto$alumacc.cc:470:replace_alu$12155.C[3] -.sym 4502 $abc$56607$n2529$2 -.sym 4505 $abc$56607$n2617 -.sym 4506 $false +.sym 4488 I2C.FLT_SDA.counter[2] +.sym 4489 $false +.sym 4490 $auto$alumacc.cc:474:replace_alu$9626.C[2] +.sym 4493 $abc$51270$n2274 +.sym 4494 $abc$51270$n2206 +.sym 4495 $false +.sym 4496 $false +.sym 4499 $abc$51270$n2274 +.sym 4500 $abc$51270$n394 +.sym 4501 $false +.sym 4502 $false +.sym 4505 $abc$51270$n2274 +.sym 4506 $abc$51270$n383 .sym 4507 $false .sym 4508 $false -.sym 4511 $abc$56607$n2248 -.sym 4512 $false +.sym 4511 $abc$51270$n2274 +.sym 4512 $abc$51270$n2210 .sym 4513 $false .sym 4514 $false -.sym 4517 $abc$56607$n2287 -.sym 4518 $abc$56607$n2246 +.sym 4517 $abc$51270$n2274 +.sym 4518 $abc$51270$n2208 .sym 4519 $false .sym 4520 $false -.sym 4521 $abc$56607$n1490$2 +.sym 4521 $abc$51270$n1418$2 .sym 4522 CLK$2$2 -.sym 4523 $false +.sym 4523 $abc$51270$n29 .sym 4524 KEYBOARD.RAM.r_data[0] .sym 4526 KEYBOARD.RAM.r_data[1] .sym 4528 KEYBOARD.RAM.r_data[2] .sym 4530 KEYBOARD.RAM.r_data[3] -.sym 4598 $abc$56607$n2045 -.sym 4599 $false -.sym 4600 $false +.sym 4598 KEYBOARD.temp[3] +.sym 4599 KEYBOARD.RAM.r_data[3] +.sym 4600 $abc$51270$n700 .sym 4601 $false -.sym 4604 $abc$56607$n2047 -.sym 4605 $false -.sym 4606 $false +.sym 4604 $abc$51270$n383 +.sym 4605 $abc$51270$n396 +.sym 4606 $abc$51270$n394 .sym 4607 $false -.sym 4610 $abc$56607$n361 -.sym 4611 $abc$56607$n372 -.sym 4612 $abc$56607$n2529 +.sym 4610 KEYBOARD.temp[2] +.sym 4611 $abc$51270$n701 +.sym 4612 $false .sym 4613 $false -.sym 4616 $false -.sym 4617 $false -.sym 4618 $true$2 -.sym 4619 $abc$56607$n2529 -.sym 4622 I2C.i2c_bit_counter[3] -.sym 4623 $abc$56607$n2043 -.sym 4624 $false +.sym 4616 $abc$51270$n721 +.sym 4617 KEYBOARD.temp[1] +.sym 4618 $abc$51270$n383 +.sym 4619 $abc$51270$n394 +.sym 4622 $abc$51270$n396 +.sym 4623 $abc$51270$n394 +.sym 4624 $abc$51270$n383 .sym 4625 $false -.sym 4628 $false -.sym 4629 $abc$56607$n35$2 -.sym 4630 rststate[0] -.sym 4631 $false -.sym 4634 $abc$56607$n1251 -.sym 4635 $abc$56607$n1977 -.sym 4636 $false +.sym 4628 KEYBOARD.temp[2] +.sym 4629 KEYBOARD.RAM.r_data[2] +.sym 4630 $abc$51270$n701 +.sym 4631 $abc$51270$n700 +.sym 4634 $abc$51270$n1446 +.sym 4635 $abc$51270$n1445_1 +.sym 4636 $abc$51270$n718 .sym 4637 $false -.sym 4640 $abc$56607$n1290_1 -.sym 4641 $abc$56607$n2617 -.sym 4642 $abc$56607$n361 -.sym 4643 $abc$56607$n1293 -.sym 4644 $true +.sym 4640 $abc$51270$n698 +.sym 4641 $abc$51270$n1335 +.sym 4642 $false +.sym 4643 $false +.sym 4644 $abc$51270$n1417 .sym 4645 CLK$2$2 -.sym 4646 $false +.sym 4646 $abc$51270$n33$2 .sym 4647 KEYBOARD.RAM.r_data[4] .sym 4649 KEYBOARD.RAM.r_data[5] .sym 4651 KEYBOARD.RAM.r_data[6] .sym 4653 KEYBOARD.RAM.r_data[7] -.sym 4721 $abc$56607$n874 -.sym 4722 $abc$56607$n878 -.sym 4723 $abc$56607$n879 +.sym 4721 $abc$51270$n27 +.sym 4722 KEYBOARD.kbd_code_hid[5] +.sym 4723 $false .sym 4724 $false -.sym 4727 $abc$56607$n2043 -.sym 4728 I2C.i2c_bit_counter[1] -.sym 4729 $false +.sym 4727 KEYBOARD.temp[7] +.sym 4728 KEYBOARD.RAM.r_data[7] +.sym 4729 $abc$51270$n700 .sym 4730 $false -.sym 4733 $abc$56607$n2237 -.sym 4734 KEYBOARD.row_counter[2] -.sym 4735 $abc$56607$n33$2 +.sym 4733 $abc$51270$n2315 +.sym 4734 $false +.sym 4735 $false .sym 4736 $false -.sym 4739 $abc$56607$n2043 -.sym 4740 I2C.i2c_bit_counter[0] +.sym 4739 $abc$51270$n2314 +.sym 4740 $false .sym 4741 $false .sym 4742 $false -.sym 4745 $false -.sym 4746 $abc$56607$n2045 +.sym 4745 $abc$51270$n2317 +.sym 4746 $false .sym 4747 $false -.sym 4748 $true$2 -.sym 4751 I2C.i2c_bit_counter[0] -.sym 4752 I2C.i2c_bit_counter[1] -.sym 4753 $abc$56607$n2043 +.sym 4748 $false +.sym 4751 $abc$51270$n2316 +.sym 4752 $false +.sym 4753 $false .sym 4754 $false -.sym 4757 $abc$56607$n1290_1 -.sym 4758 $abc$56607$n2047 -.sym 4759 $abc$56607$n1293 -.sym 4760 $abc$56607$n880 -.sym 4763 $abc$56607$n1290_1 -.sym 4764 $abc$56607$n2045 -.sym 4765 $abc$56607$n1293 -.sym 4766 $abc$56607$n358 -.sym 4767 $true +.sym 4757 $abc$51270$n2311 +.sym 4758 $false +.sym 4759 $false +.sym 4760 $false +.sym 4763 $abc$51270$n2313 +.sym 4764 $false +.sym 4765 $false +.sym 4766 $false +.sym 4767 $abc$51270$n1258 .sym 4768 CLK$2$2 -.sym 4769 $false -.sym 4844 I2C.FLT_SDA.out -.sym 4845 $abc$56607$n14 -.sym 4846 I2C.FLT_SCL.out +.sym 4769 $abc$51270$n35$2 +.sym 4844 KEYBOARD.report[4][0] +.sym 4845 $abc$51270$n1121 +.sym 4846 $abc$51270$n1120 .sym 4847 $false -.sym 4850 I2C.FLT_SCL.out -.sym 4851 I2C.FLT_SDA.out -.sym 4852 $abc$56607$n14 -.sym 4853 I2C.wr -.sym 4856 $abc$56607$n1268_1 -.sym 4857 I2C.i2c_state_machine -.sym 4858 $false -.sym 4859 $false -.sym 4862 $abc$56607$n2043 -.sym 4863 I2C.byte_counter[5] +.sym 4850 KEYBOARD.report[1][4] +.sym 4851 KEYBOARD.report[5][4] +.sym 4852 $abc$51270$n260 +.sym 4853 $abc$51270$n1114 +.sym 4856 KEYBOARD.kbd_code_hid[0] +.sym 4857 KEYBOARD.report[4][0] +.sym 4858 KEYBOARD.kbd_code_hid[2] +.sym 4859 KEYBOARD.report[4][2] +.sym 4862 $abc$51270$n27 +.sym 4863 KEYBOARD.kbd_code_hid[2] .sym 4864 $false .sym 4865 $false -.sym 4868 I2C_HID_DESC.last_rd_request -.sym 4869 I2C.wr -.sym 4870 I2C.FLT_SCL.RESET +.sym 4868 $false +.sym 4869 wr_cnt[0] +.sym 4870 $false .sym 4871 $false -.sym 4874 $false +.sym 4874 $abc$51270$n2311 .sym 4875 $false .sym 4876 $false .sym 4877 $false -.sym 4880 I2C.wr -.sym 4881 $false -.sym 4882 $false -.sym 4883 $false -.sym 4890 $true +.sym 4886 $abc$51270$n2315 +.sym 4887 $false +.sym 4888 $false +.sym 4889 $false +.sym 4890 $abc$51270$n1325 .sym 4891 CLK$2$2 -.sym 4892 $abc$56607$n35$2 -.sym 4967 $abc$56607$n875 -.sym 4968 $abc$56607$n877 +.sym 4892 $abc$51270$n35$2 +.sym 4929 $true +.sym 4966 I2C.byte_counter[5]$2 +.sym 4967 $false +.sym 4968 I2C.byte_counter[5] .sym 4969 $false .sym 4970 $false -.sym 4973 I2C.byte_counter[7] -.sym 4974 $abc$56607$n1276 -.sym 4975 $false -.sym 4976 $false -.sym 4979 $abc$56607$n1300 -.sym 4980 $abc$56607$n877 -.sym 4981 $false -.sym 4982 $false -.sym 4985 I2C.FLT_SDA.out -.sym 4986 $abc$56607$n14 -.sym 4987 I2C.FLT_SCL.out -.sym 4988 I2C.i2c_state_machine -.sym 4991 I2C.byte_counter[4] -.sym 4992 I2C.byte_counter[5] -.sym 4993 I2C.byte_counter[6] -.sym 4994 $abc$56607$n1277 -.sym 4997 $abc$56607$n358 -.sym 4998 $abc$56607$n880 -.sym 4999 $abc$56607$n872 +.sym 4972 $auto$alumacc.cc:474:replace_alu$9629.C[7] +.sym 4974 $false +.sym 4975 I2C.byte_counter[6] +.sym 4979 $false +.sym 4980 $false +.sym 4981 I2C.byte_counter[7] +.sym 4982 $auto$alumacc.cc:474:replace_alu$9629.C[7] +.sym 4985 $abc$51270$n253 +.sym 4986 $abc$51270$n260 +.sym 4987 wr_cnt[0] +.sym 4988 wr_cnt[1] +.sym 4991 KEYBOARD.row_counter[0] +.sym 4992 $abc$51270$n2193 +.sym 4993 $abc$51270$n1001 +.sym 4994 $false +.sym 4997 $abc$51270$n2317 +.sym 4998 $false +.sym 4999 $false .sym 5000 $false -.sym 5003 $abc$56607$n1280 -.sym 5004 $abc$56607$n1285 -.sym 5005 $abc$56607$n875 +.sym 5003 $abc$51270$n2315 +.sym 5004 $false +.sym 5005 $false .sym 5006 $false -.sym 5009 I2C.FLT_SDA.out +.sym 5009 $abc$51270$n2313 .sym 5010 $false .sym 5011 $false .sym 5012 $false -.sym 5013 $abc$56607$n1248 +.sym 5013 $abc$51270$n1374 .sym 5014 CLK$2$2 -.sym 5015 $false -.sym 5096 $abc$56607$n2605 +.sym 5015 $abc$51270$n35$2 +.sym 5016 I2C_HID_DESC.VAL[0] +.sym 5017 I2C_HID_DESC.VAL[1] +.sym 5018 I2C_HID_DESC.VAL[2] +.sym 5019 I2C_TX_DESC[3] +.sym 5020 I2C_TX_DESC[4] +.sym 5021 I2C_TX_DESC[5] +.sym 5022 I2C_TX_DESC[6] +.sym 5023 I2C_TX_DESC[7] +.sym 5052 $true +.sym 5089 $abc$51270$n2451$2 +.sym 5090 $false +.sym 5091 $abc$51270$n2451 +.sym 5092 $false +.sym 5093 $false +.sym 5095 $auto$alumacc.cc:474:replace_alu$9509.C[2] .sym 5097 $false -.sym 5098 $false -.sym 5099 $false -.sym 5102 $abc$56607$n358 -.sym 5103 $abc$56607$n880 -.sym 5104 $abc$56607$n887 -.sym 5105 $false -.sym 5108 I2C.i2c_state_machine -.sym 5109 I2C.i2c_start_latency -.sym 5110 $false -.sym 5111 $false -.sym 5114 UART.tx_bit_counter[0] -.sym 5115 UART.tx_bit_counter[1] -.sym 5116 $false -.sym 5117 $false -.sym 5120 I2C.i2c_start_latency -.sym 5121 $abc$56607$n2043 -.sym 5122 I2C.i2c_state_machine -.sym 5123 I2C.is_read -.sym 5132 I2C.FLT_SDA.out +.sym 5098 $abc$51270$n2383 +.sym 5101 $auto$alumacc.cc:474:replace_alu$9509.C[3] +.sym 5103 $false +.sym 5104 $abc$51270$n2466 +.sym 5107 $abc$51270$n2192$2 +.sym 5109 $false +.sym 5110 $abc$51270$n2468 +.sym 5117 $abc$51270$n2192$2 +.sym 5120 $false +.sym 5121 $false +.sym 5122 $false +.sym 5123 $false +.sym 5126 KEYBOARD.init_ram_cnt[8] +.sym 5127 $false +.sym 5128 $false +.sym 5129 $false +.sym 5132 KEYBOARD.init_ram_cnt[4] .sym 5133 $false .sym 5134 $false .sym 5135 $false -.sym 5136 $abc$56607$n1283 +.sym 5136 $abc$51270$n1398 .sym 5137 CLK$2$2 -.sym 5138 $false -.sym 5213 KEYBOARD.init_ram_cnt[2] -.sym 5214 KEYBOARD.init_ram_cnt[3] -.sym 5215 KEYBOARD.init_ram_cnt[4] -.sym 5216 KEYBOARD.init_ram_cnt[8] -.sym 5219 KEYBOARD.init_ram_cnt[5] -.sym 5220 KEYBOARD.init_ram_cnt[6] -.sym 5221 KEYBOARD.init_ram_cnt[7] -.sym 5222 $false -.sym 5231 KEYBOARD.init_ram_cnt[0] -.sym 5232 KEYBOARD.init_ram_cnt[1] -.sym 5233 $abc$56607$n924 -.sym 5234 $abc$56607$n925 -.sym 5237 $abc$56607$n2519 -.sym 5238 $false -.sym 5239 $false +.sym 5138 KEYBOARD.init_ram_cnt[8] +.sym 5213 $false +.sym 5214 $false +.sym 5215 I2C.byte_counter[0] +.sym 5216 $false +.sym 5219 I2C.byte_counter[7] +.sym 5220 $abc$51270$n2330 +.sym 5221 I2C_OUTPUT_TYPE[1] +.sym 5222 I2C_OUTPUT_TYPE[0] +.sym 5225 I2C.byte_counter[1] +.sym 5226 $false +.sym 5227 $false +.sym 5228 $false +.sym 5231 I2C.byte_counter[4] +.sym 5232 $false +.sym 5233 $false +.sym 5234 $false +.sym 5237 I2C_OUTPUT_TYPE[1] +.sym 5238 I2C_OUTPUT_TYPE[0] +.sym 5239 I2C.byte_counter[5] .sym 5240 $false -.sym 5249 KEYBOARD.init_ram_cnt[6] -.sym 5250 $false -.sym 5251 $false -.sym 5252 $false -.sym 5255 KEYBOARD.init_ram_cnt[5] -.sym 5256 $false -.sym 5257 $false -.sym 5258 $false -.sym 5259 $abc$56607$n1462 +.sym 5243 I2C.byte_counter[2] +.sym 5244 $false +.sym 5245 $false +.sym 5246 $false +.sym 5249 I2C_OUTPUT_TYPE[1] +.sym 5250 I2C_OUTPUT_TYPE[0] +.sym 5251 I2C.byte_counter[5] +.sym 5252 I2C.byte_counter[6] +.sym 5255 I2C.byte_counter[0] +.sym 5256 $abc$51270$n2324 +.sym 5257 I2C_OUTPUT_TYPE[1] +.sym 5258 I2C_OUTPUT_TYPE[0] +.sym 5259 $abc$51270$n1420 .sym 5260 CLK$2$2 -.sym 5261 KEYBOARD.init_ram_cnt[8] -.sym 5262 I2C_HID_DESC.VAL[0] -.sym 5263 I2C_HID_DESC.VAL[1] -.sym 5264 I2C_HID_DESC.VAL[2] -.sym 5265 I2C_HID_DESC.VAL[3] -.sym 5266 I2C_HID_DESC.VAL[4] -.sym 5267 I2C_HID_DESC.VAL[5] -.sym 5268 I2C_HID_DESC.VAL[6] -.sym 5269 I2C_HID_DESC.VAL[7] +.sym 5261 $abc$51270$n35$2 +.sym 5262 REPORT_DATA.r_data[0] +.sym 5264 REPORT_DATA.r_data[1] +.sym 5266 REPORT_DATA.r_data[2] +.sym 5268 REPORT_DATA.r_data[3] .sym 5298 $true -.sym 5335 $abc$56607$n2621$2 +.sym 5335 $abc$51270$n2451$3 .sym 5336 $false -.sym 5337 $abc$56607$n2621 +.sym 5337 $abc$51270$n2451 .sym 5338 $false .sym 5339 $false -.sym 5341 $auto$alumacc.cc:470:replace_alu$12026.C[2] +.sym 5341 $auto$alumacc.cc:474:replace_alu$9576.C[2] .sym 5343 $false -.sym 5344 $abc$56607$n2518 -.sym 5347 $auto$alumacc.cc:470:replace_alu$12026.C[3] +.sym 5344 $abc$51270$n2383 +.sym 5348 $false .sym 5349 $false -.sym 5350 $abc$56607$n2604 -.sym 5353 $abc$56607$n2229$2 -.sym 5355 $false -.sym 5356 $abc$56607$n2606 -.sym 5363 $abc$56607$n2229$2 -.sym 5366 I2C_HID_DESC.VAL[5] -.sym 5367 REPORT_DATA.r_data[5] -.sym 5368 I2C_OUT_DESC_MASK[5] +.sym 5350 $abc$51270$n2466 +.sym 5351 $auto$alumacc.cc:474:replace_alu$9576.C[2] +.sym 5354 REPORT_DATA.r_data[3] +.sym 5355 I2C_TX_DESC[3] +.sym 5356 I2C_OUT_DESC_MASK[3] +.sym 5357 $false +.sym 5360 $abc$51270$n2465 +.sym 5361 $false +.sym 5362 $false +.sym 5363 $false +.sym 5366 $abc$51270$n2384 +.sym 5367 $false +.sym 5368 $false .sym 5369 $false -.sym 5372 $abc$56607$n2518 -.sym 5373 $abc$56607$n2519 +.sym 5372 $abc$51270$n2467 +.sym 5373 $false .sym 5374 $false .sym 5375 $false -.sym 5378 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5379 $false -.sym 5380 $false -.sym 5381 $false -.sym 5382 $abc$56607$n1191 +.sym 5378 $abc$51270$n2192 +.sym 5379 $abc$51270$n683 +.sym 5380 $abc$51270$n678 +.sym 5381 UART.tx_activity +.sym 5382 $abc$51270$n1231 .sym 5383 CLK$2$2 -.sym 5384 $abc$56607$n35$2 -.sym 5459 $abc$56607$n670 -.sym 5460 I2C.is_read +.sym 5384 $false +.sym 5385 REPORT_DATA.r_data[4] +.sym 5387 REPORT_DATA.r_data[5] +.sym 5389 REPORT_DATA.r_data[6] +.sym 5391 REPORT_DATA.r_data[7] +.sym 5421 $true +.sym 5458 UART.tx_bit_counter[0]$2 +.sym 5459 $false +.sym 5460 UART.tx_bit_counter[0] .sym 5461 $false .sym 5462 $false -.sym 5465 I2C.byte_counter[0] -.sym 5466 I2C.byte_counter[1] -.sym 5467 $false -.sym 5468 $false -.sym 5471 I2C.byte_counter[7] -.sym 5472 $abc$56607$n2477 -.sym 5473 I2C_OUTPUT_TYPE[1] -.sym 5474 I2C_OUTPUT_TYPE[0] -.sym 5477 I2C.byte_counter[0] -.sym 5478 $abc$56607$n2471 -.sym 5479 I2C_OUTPUT_TYPE[1] -.sym 5480 I2C_OUTPUT_TYPE[0] -.sym 5483 I2C_OUTPUT_TYPE[1] -.sym 5484 I2C_OUTPUT_TYPE[0] -.sym 5485 I2C.byte_counter[5] -.sym 5486 I2C.byte_counter[6] -.sym 5489 I2C.byte_counter[4] +.sym 5464 $auto$alumacc.cc:474:replace_alu$9650.C[2]$2 +.sym 5466 UART.tx_bit_counter[1] +.sym 5467 $true$2 +.sym 5470 $auto$alumacc.cc:474:replace_alu$9650.C[3] +.sym 5472 UART.tx_bit_counter[2] +.sym 5473 $true$2 +.sym 5474 $auto$alumacc.cc:474:replace_alu$9650.C[2]$2 +.sym 5477 $false +.sym 5478 UART.tx_bit_counter[3] +.sym 5479 $false +.sym 5480 $auto$alumacc.cc:474:replace_alu$9650.C[3] +.sym 5483 $false +.sym 5484 UART.tx_bit_counter[2] +.sym 5485 $false +.sym 5486 $auto$alumacc.cc:474:replace_alu$9650.C[2] +.sym 5489 $abc$51270$n2384 .sym 5490 $false .sym 5491 $false .sym 5492 $false -.sym 5495 I2C.byte_counter[1] +.sym 5495 $abc$51270$n2465 .sym 5496 $false .sym 5497 $false .sym 5498 $false -.sym 5501 I2C_OUTPUT_TYPE[1] -.sym 5502 I2C_OUTPUT_TYPE[0] -.sym 5503 I2C.byte_counter[5] +.sym 5501 $abc$51270$n2467 +.sym 5502 $false +.sym 5503 $false .sym 5504 $false -.sym 5505 $abc$56607$n1492 +.sym 5505 $abc$51270$n1231 .sym 5506 CLK$2$2 -.sym 5507 $abc$56607$n35$2 -.sym 5508 REPORT_DATA.r_data[0] -.sym 5510 REPORT_DATA.r_data[1] -.sym 5512 REPORT_DATA.r_data[2] -.sym 5514 REPORT_DATA.r_data[3] -.sym 5582 I2C_HID_DESC.VAL[7] -.sym 5583 REPORT_DATA.r_data[7] -.sym 5584 I2C_OUT_DESC_MASK[7] +.sym 5507 $abc$51270$n25 +.sym 5582 i2c_input_data_type[1] +.sym 5583 $false +.sym 5584 $false .sym 5585 $false -.sym 5588 I2C_INPUT_LEN[4] -.sym 5589 I2C_INPUT_LEN[5] -.sym 5590 I2C_INPUT_LEN[6] -.sym 5591 I2C_INPUT_LEN[7] -.sym 5594 I2C_INPUT_LEN[4] -.sym 5595 $false +.sym 5588 UART.tx_bit_counter[0] +.sym 5589 UART.tx_bit_counter[1] +.sym 5590 UART.tx_bit_counter[2] +.sym 5591 UART.tx_activity +.sym 5594 UART.tx_bit_counter[3] +.sym 5595 $abc$51270$n892 .sym 5596 $false .sym 5597 $false -.sym 5600 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5600 UART.tx_activity .sym 5601 $false .sym 5602 $false .sym 5603 $false -.sym 5606 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5607 $false +.sym 5606 $false +.sym 5607 UART.tx_bit_counter[0] .sym 5608 $false .sym 5609 $false -.sym 5612 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5612 i2c_input_data_type[2] .sym 5613 $false .sym 5614 $false .sym 5615 $false -.sym 5618 $abc$56607$n1093 -.sym 5619 $abc$56607$n1099_1 +.sym 5618 UART.tx_bit_counter[0] +.sym 5619 UART.tx_bit_counter[1] .sym 5620 $false .sym 5621 $false -.sym 5624 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5624 $abc$51270$n2383 .sym 5625 $false .sym 5626 $false .sym 5627 $false -.sym 5628 $abc$56607$n1191 +.sym 5628 $abc$51270$n1231 .sym 5629 CLK$2$2 -.sym 5630 $abc$56607$n35$2 -.sym 5631 REPORT_DATA.r_data[4] -.sym 5633 REPORT_DATA.r_data[5] -.sym 5635 REPORT_DATA.r_data[6] -.sym 5637 REPORT_DATA.r_data[7] -.sym 5667 $true -.sym 5704 I2C.byte_counter[5]$2 -.sym 5705 $false -.sym 5706 I2C.byte_counter[5] +.sym 5630 $abc$51270$n25 +.sym 5705 $abc$51270$n782 +.sym 5706 $abc$51270$n785 .sym 5707 $false .sym 5708 $false -.sym 5710 $auto$alumacc.cc:470:replace_alu$12164.C[7] -.sym 5712 $false -.sym 5713 I2C.byte_counter[6] -.sym 5717 $false -.sym 5718 $false -.sym 5719 I2C.byte_counter[7] -.sym 5720 $auto$alumacc.cc:470:replace_alu$12164.C[7] -.sym 5723 I2C.received_byte[6] -.sym 5724 $false +.sym 5711 $false +.sym 5712 init_ram_cnt[0] +.sym 5713 $false +.sym 5714 $false +.sym 5717 $abc$51270$n783 +.sym 5718 $abc$51270$n763 +.sym 5719 $abc$51270$n761 +.sym 5720 $abc$51270$n765 +.sym 5723 $abc$51270$n22 +.sym 5724 $abc$51270$n1916 .sym 5725 $false .sym 5726 $false -.sym 5729 I2C_INPUT_LEN[3] -.sym 5730 $false +.sym 5729 $abc$51270$n748 +.sym 5730 UART_WR .sym 5731 $false .sym 5732 $false -.sym 5735 I2C_INPUT_LEN[1] -.sym 5736 $false +.sym 5735 $false +.sym 5736 wr_cnt[0] .sym 5737 $false .sym 5738 $false -.sym 5741 $abc$56607$n1052 -.sym 5742 I2C.received_byte[5] -.sym 5743 I2C.is_read -.sym 5744 $false -.sym 5747 $abc$56607$n1056 -.sym 5748 I2C.received_byte[7] -.sym 5749 I2C.is_read -.sym 5750 $false -.sym 5751 $abc$56607$n888 +.sym 5747 $abc$51270$n758 +.sym 5748 $6\report_data_wadr[7:0][0] +.sym 5749 $abc$51270$n1197 +.sym 5750 $abc$51270$n1198 +.sym 5751 $abc$51270$n950$2 .sym 5752 CLK$2$2 -.sym 5753 $abc$56607$n19 -.sym 5828 $abc$56607$n1180 -.sym 5829 $abc$56607$n795 +.sym 5753 $false +.sym 5828 ring_wr[3] +.sym 5829 $abc$51270$n1219 .sym 5830 $false .sym 5831 $false -.sym 5834 $abc$56607$n788 -.sym 5835 $abc$56607$n21 -.sym 5836 $abc$56607$n754 -.sym 5837 $abc$56607$n742 -.sym 5840 I2C_TRANS -.sym 5841 last_trans -.sym 5842 $false -.sym 5843 $false -.sym 5846 $abc$56607$n742 -.sym 5847 $abc$56607$n747 -.sym 5848 $abc$56607$n754 +.sym 5834 $abc$51270$n1210_1 +.sym 5835 $abc$51270$n1209 +.sym 5836 ring_wr[0] +.sym 5837 $abc$51270$n1211 +.sym 5840 $abc$51270$n690 +.sym 5841 $abc$51270$n1209 +.sym 5842 $abc$51270$n1211 +.sym 5843 ring_wr[0] +.sym 5846 $abc$51270$n1211 +.sym 5847 wr_cnt[2] +.sym 5848 ring_wr[1] .sym 5849 $false -.sym 5852 $abc$56607$n754 -.sym 5853 $abc$56607$n788 -.sym 5854 I2C.FLT_SCL.RESET -.sym 5855 $false -.sym 5858 $abc$56607$n826 -.sym 5859 $abc$56607$n2580 -.sym 5860 $abc$56607$n2570 -.sym 5861 $abc$56607$n21 -.sym 5864 KEYBOARD.init_ram_cnt[4] -.sym 5865 $false +.sym 5852 wr_cnt[2] +.sym 5853 ring_wr[1] +.sym 5854 ring_wr[0] +.sym 5855 wr_cnt[1] +.sym 5858 ring_wr[1] +.sym 5859 wr_cnt[2] +.sym 5860 $abc$51270$n1210_1 +.sym 5861 $false +.sym 5864 $abc$51270$n2416 +.sym 5865 $abc$51270$n2414 .sym 5866 $false .sym 5867 $false -.sym 5870 KEYBOARD.init_ram_cnt[7] -.sym 5871 $false -.sym 5872 $false +.sym 5870 $abc$51270$n784 +.sym 5871 $abc$51270$n782 +.sym 5872 $abc$51270$n785 .sym 5873 $false -.sym 5874 $abc$56607$n1462 -.sym 5875 CLK$2$2 -.sym 5876 KEYBOARD.init_ram_cnt[8] -.sym 5913 $true -.sym 5950 report_data_radr[0]$2 -.sym 5951 $false -.sym 5952 report_data_radr[0] -.sym 5953 $false -.sym 5954 $false -.sym 5956 $auto$alumacc.cc:470:replace_alu$12120.C[2] -.sym 5958 $false -.sym 5959 report_data_radr[1] -.sym 5962 $auto$alumacc.cc:470:replace_alu$12120.C[3] -.sym 5963 $false -.sym 5964 $false -.sym 5965 report_data_radr[2] -.sym 5966 $auto$alumacc.cc:470:replace_alu$12120.C[2] -.sym 5968 $auto$alumacc.cc:470:replace_alu$12120.C[4] -.sym 5969 $false -.sym 5970 $false -.sym 5971 report_data_radr[3] -.sym 5972 $auto$alumacc.cc:470:replace_alu$12120.C[3] -.sym 5974 $auto$alumacc.cc:470:replace_alu$12120.C[5] -.sym 5975 $false -.sym 5976 $false -.sym 5977 report_data_radr[4] -.sym 5978 $auto$alumacc.cc:470:replace_alu$12120.C[4] -.sym 5980 $auto$alumacc.cc:470:replace_alu$12120.C[6] -.sym 5981 $false -.sym 5982 $false -.sym 5983 report_data_radr[5] -.sym 5984 $auto$alumacc.cc:470:replace_alu$12120.C[5] -.sym 5986 $auto$alumacc.cc:470:replace_alu$12120.C[7] -.sym 5987 $false -.sym 5988 $false -.sym 5989 report_data_radr[6] -.sym 5990 $auto$alumacc.cc:470:replace_alu$12120.C[6] +.sym 5951 $abc$51270$n2193 +.sym 5952 $abc$51270$n2199 +.sym 5953 KEYBOARD.row_counter[0] +.sym 5954 KEYBOARD.row_counter[1] +.sym 5981 init_ram_cnt[1] +.sym 5982 init_ram_cnt[0] +.sym 5983 $false +.sym 5984 $false .sym 5993 $false .sym 5994 $false -.sym 5995 report_data_radr[7] -.sym 5996 $auto$alumacc.cc:470:replace_alu$12120.C[7] -.sym 6074 $10\ring_rd[3:0][0] -.sym 6075 $10\ring_rd[3:0][2] -.sym 6076 $false -.sym 6077 $false -.sym 6080 $abc$56607$n763 -.sym 6081 ring_rd[0] -.sym 6082 ring_rd[1] +.sym 5995 init_ram_cnt[0] +.sym 5996 $false +.sym 5997 $abc$51270$n764 +.sym 5998 CLK$2$2 +.sym 5999 $abc$51270$n35$2 +.sym 6080 $abc$51270$n687 +.sym 6081 $13\int_tmr[14:0][0] +.sym 6082 $false .sym 6083 $false -.sym 6086 $abc$56607$n1830 -.sym 6087 $abc$56607$n2545 -.sym 6088 $abc$56607$n2543 -.sym 6089 $abc$56607$n21 -.sym 6092 $abc$56607$n1827 -.sym 6093 $10\ring_rd[3:0][0] -.sym 6094 $10\ring_rd[3:0][2] -.sym 6095 $abc$56607$n21 -.sym 6098 $abc$56607$n1832 -.sym 6099 $abc$56607$n1833 -.sym 6100 $abc$56607$n21 -.sym 6101 $false -.sym 6104 $abc$56607$n1835 -.sym 6105 $abc$56607$n1836 -.sym 6106 $abc$56607$n21 -.sym 6107 $false -.sym 6110 $10\ring_rd[3:0][1] -.sym 6111 $abc$56607$n1824 -.sym 6112 $abc$56607$n21 -.sym 6113 $false -.sym 6116 $abc$56607$n1838 -.sym 6117 $abc$56607$n1839 -.sym 6118 $abc$56607$n21 -.sym 6119 $false -.sym 6120 $abc$56607$n1050 +.sym 6092 $abc$51270$n687 +.sym 6093 $13\int_tmr[14:0][3] +.sym 6094 $false +.sym 6095 $false +.sym 6120 $abc$51270$n871$2 .sym 6121 CLK$2$2 -.sym 6122 $false -.sym 6159 $false -.sym 6196 $auto$maccmap.cc:240:synth$12778.C[5] -.sym 6198 $abc$56607$n2543 -.sym 6199 $abc$56607$n2545 -.sym 6202 $auto$maccmap.cc:240:synth$12778.C[6] -.sym 6203 $false -.sym 6204 $10\ring_rd[3:0][2] -.sym 6205 $abc$56607$n2691 -.sym 6206 $auto$maccmap.cc:240:synth$12778.C[5] -.sym 6208 $auto$maccmap.cc:240:synth$12778.C[7] -.sym 6209 $false -.sym 6210 $10\ring_rd[3:0][3] +.sym 6122 $abc$51270$n35$2 +.sym 6197 int_tmr[8] +.sym 6198 int_tmr[9] +.sym 6199 int_tmr[10] +.sym 6200 int_tmr[11] +.sym 6203 $abc$51270$n750 +.sym 6204 int_tmr[12] +.sym 6205 int_tmr[13] +.sym 6206 int_tmr[14] +.sym 6209 $abc$51270$n687 +.sym 6210 $13\int_tmr[14:0][13] .sym 6211 $false -.sym 6212 $auto$maccmap.cc:240:synth$12778.C[6] -.sym 6215 $false -.sym 6216 $false +.sym 6212 $false +.sym 6215 $abc$51270$n687 +.sym 6216 $13\int_tmr[14:0][12] .sym 6217 $false -.sym 6218 $auto$maccmap.cc:240:synth$12778.C[7] -.sym 6221 $10\ring_rd[3:0][1] -.sym 6222 $10\ring_rd[3:0][3] +.sym 6218 $false +.sym 6221 $abc$51270$n687 +.sym 6222 $13\int_tmr[14:0][9] .sym 6223 $false .sym 6224 $false -.sym 6227 ring_rd[0] -.sym 6228 $abc$56607$n1811 -.sym 6229 $abc$56607$n763 +.sym 6227 $abc$51270$n687 +.sym 6228 $13\int_tmr[14:0][10] +.sym 6229 $false .sym 6230 $false -.sym 6233 $false -.sym 6234 $true$2 -.sym 6235 ring_rd[0] +.sym 6233 $abc$51270$n687 +.sym 6234 $13\int_tmr[14:0][14] +.sym 6235 $false .sym 6236 $false -.sym 6239 $10\ring_rd[3:0][1] -.sym 6240 $10\ring_rd[3:0][3] +.sym 6239 $abc$51270$n687 +.sym 6240 $13\int_tmr[14:0][11] .sym 6241 $false .sym 6242 $false +.sym 6243 $abc$51270$n871$2 +.sym 6244 CLK$2$2 +.sym 6245 $abc$51270$n35$2 +.sym 6346 $abc$51270$n713 +.sym 6347 $abc$51270$n702 +.sym 6348 $abc$51270$n697 +.sym 6349 $abc$51270$n712 +.sym 6350 $abc$51270$n703 +.sym 6351 $abc$51270$n707 +.sym 6352 KEYBOARD.report[2][5] +.sym 6353 KEYBOARD.report[2][2] .sym 6418 KBD_COLUMNS[0]$2 .sym 6420 KBD_COLUMNS[1]$2 -.sym 6422 $abc$56607$n2246 -.sym 6423 KEYBOARD.kbd_code[2] -.sym 6425 KEYBOARD.row_counter[1] -.sym 6426 KEYBOARD.kbd_code[3] -.sym 6427 KEYBOARD.kbd_code[7] -.sym 6428 KEYBOARD.kbd_code[5] -.sym 6429 KEYBOARD.kbd_code[6] +.sym 6422 $abc$51270$n1344 +.sym 6423 $abc$51270$n698 +.sym 6424 $abc$51270$n1339 +.sym 6425 $abc$51270$n2208 +.sym 6426 KEYBOARD.temp[1] +.sym 6427 KEYBOARD.temp[3] +.sym 6428 KEYBOARD.temp[6] +.sym 6429 KEYBOARD.temp[0] .sym 6438 $false .sym 6439 $false +.sym 6440 $false .sym 6441 $false .sym 6442 $false .sym 6443 $false .sym 6444 $false .sym 6445 $false .sym 6446 $false +.sym 6447 $false +.sym 6448 $false .sym 6449 $false .sym 6450 $false$2 .sym 6451 $false @@ -5536,29 +5419,44 @@ .sym 6457 $false .sym 6458 $false .sym 6459 $false -.sym 6564 $abc$56607$n1249 -.sym 6565 $abc$56607$n2616 -.sym 6566 $abc$56607$n2049 -.sym 6567 I2C.i2c_bit_counter[2] +.sym 6560 $abc$51270$n718 +.sym 6561 $abc$51270$n720 +.sym 6562 $abc$51270$n1446 +.sym 6563 $abc$51270$n2312 +.sym 6564 $abc$51270$n2317 +.sym 6565 KEYBOARD.report[3][4] +.sym 6566 KEYBOARD.report[3][5] +.sym 6567 KEYBOARD.report[3][1] .sym 6576 KEYBOARD.kbd_code[0] .sym 6577 KEYBOARD.kbd_code[1] +.sym 6578 $false .sym 6579 KEYBOARD.kbd_code[2] .sym 6580 KEYBOARD.kbd_code[3] .sym 6581 KEYBOARD.kbd_code[4] .sym 6582 KEYBOARD.kbd_code[5] .sym 6583 KEYBOARD.kbd_code[6] .sym 6584 KEYBOARD.kbd_code[7] +.sym 6585 $false +.sym 6586 $false .sym 6587 CLK$2$2 .sym 6588 $true .sym 6589 $true$2 -.sym 6662 $abc$56607$n878 -.sym 6663 $abc$56607$n1268_1 -.sym 6664 $abc$56607$n1285 -.sym 6665 $abc$56607$n1291 -.sym 6666 $abc$56607$n1293 -.sym 6667 $abc$56607$n1319 -.sym 6668 $abc$56607$n1290_1 -.sym 6669 $abc$56607$n16 +.sym 6590 $false +.sym 6591 $false +.sym 6592 $false +.sym 6593 $false +.sym 6594 $false +.sym 6595 $false +.sym 6596 $false +.sym 6597 $false +.sym 6662 $abc$51270$n1417 +.sym 6663 $abc$51270$n1342 +.sym 6664 $abc$51270$n933 +.sym 6665 $abc$51270$n1333 +.sym 6666 $abc$51270$n1145 +.sym 6667 KEYBOARD.temp[5] +.sym 6668 KEYBOARD.temp[7] +.sym 6669 KEYBOARD.temp[4] .sym 6670 $undef .sym 6671 $undef .sym 6672 $undef @@ -5576,7 +5474,7 @@ .sym 6684 KEYBOARD.ram_adr[5] .sym 6685 KEYBOARD.ram_adr[6] .sym 6686 KEYBOARD.ram_adr[7] -.sym 6687 $false +.sym 6687 KEYBOARD.ram_adr[8] .sym 6688 $false .sym 6689 CLK$2$2 .sym 6690 KEYBOARD.ram_wr @@ -5589,14 +5487,14 @@ .sym 6697 KEYBOARD.temp[3] .sym 6698 $undef .sym 6699 $true$2 -.sym 6764 $abc$56607$n1314 -.sym 6765 $abc$56607$n1318 -.sym 6766 $abc$56607$n1322 -.sym 6767 $abc$56607$n1320_1 -.sym 6768 $abc$56607$n1267 -.sym 6769 $abc$56607$n1271 -.sym 6770 $abc$56607$n1317 -.sym 6771 I2C.wr +.sym 6764 $abc$51270$n1112_1 +.sym 6765 $abc$51270$n1113 +.sym 6766 $abc$51270$n1173 +.sym 6767 $abc$51270$n922 +.sym 6768 $abc$51270$n1111 +.sym 6769 $abc$51270$n1174 +.sym 6770 KEYBOARD.report[4][5] +.sym 6771 KEYBOARD.report[4][1] .sym 6772 $undef .sym 6773 $undef .sym 6774 $undef @@ -5614,7 +5512,7 @@ .sym 6786 KEYBOARD.ram_adr[5] .sym 6787 KEYBOARD.ram_adr[6] .sym 6788 KEYBOARD.ram_adr[7] -.sym 6789 $false +.sym 6789 KEYBOARD.ram_adr[8] .sym 6790 $false .sym 6791 CLK$2$2 .sym 6792 $true @@ -5627,4961 +5525,4918 @@ .sym 6799 $undef .sym 6800 KEYBOARD.temp[4] .sym 6801 $undef -.sym 6868 $abc$56607$n2495 -.sym 6869 $abc$56607$n872 -.sym 6870 KEYBOARD.ram_adr[1] -.sym 6871 KEYBOARD.ram_adr[0] -.sym 6872 KEYBOARD.ram_adr[2] -.sym 6873 KEYBOARD.ram_adr[3] -.sym 6970 $abc$56607$n2603 -.sym 6971 $abc$56607$n2605 -.sym 6972 $abc$56607$n1268 -.sym 6973 $abc$56607$n2604 -.sym 6974 $abc$56607$n887 -.sym 6975 I2C.received_byte[2] -.sym 7070 $abc$56607$n1264 -.sym 7071 $abc$56607$n1258 -.sym 7072 $abc$56607$n1261 -.sym 7073 $abc$56607$n1266 -.sym 7074 $abc$56607$n1265 -.sym 7075 $abc$56607$n1263 -.sym 7076 $abc$56607$n1262 -.sym 7077 I2C.received_byte[1] -.sym 7172 $abc$56607$n2509 -.sym 7174 $abc$56607$n1054 -.sym 7175 $abc$56607$n1459 -.sym 7176 I2C_OUT_DESC_MASK[3] -.sym 7177 I2C_OUT_DESC_MASK[6] -.sym 7179 I2C_OUT_DESC_MASK[1] -.sym 7274 COM_TX$2 -.sym 7275 $abc$56607$n663 -.sym 7276 $abc$56607$n2471 -.sym 7277 $abc$56607$n1046 -.sym 7278 $abc$56607$n1044 -.sym 7279 $abc$56607$n658 -.sym 7280 $abc$56607$n1048 -.sym 7281 $abc$56607$n10 -.sym 7290 $false -.sym 7291 $false -.sym 7293 $false -.sym 7294 $false -.sym 7295 $false -.sym 7296 $false -.sym 7297 $false -.sym 7298 $false -.sym 7301 $false -.sym 7302 $false$2 -.sym 7303 $false -.sym 7304 $false -.sym 7305 $false -.sym 7306 $false -.sym 7307 $false -.sym 7308 $false -.sym 7309 $false -.sym 7310 $false -.sym 7311 $false -.sym 7376 $abc$56607$n1050_1 -.sym 7377 $abc$56607$n662 -.sym 7378 $abc$56607$n659 -.sym 7379 $abc$56607$n1042 -.sym 7380 UART_TX_DATA[0] -.sym 7381 UART_TX_DATA[2] -.sym 7382 UART_TX_DATA[6] -.sym 7383 UART_TX_DATA[4] -.sym 7392 I2C_HID_DESC.real_adress[0] -.sym 7393 I2C_HID_DESC.real_adress[1] -.sym 7395 I2C_HID_DESC.real_adress[2] -.sym 7396 I2C_HID_DESC.real_adress[3] -.sym 7397 I2C_HID_DESC.real_adress[4] -.sym 7398 I2C_HID_DESC.real_adress[5] -.sym 7399 I2C_HID_DESC.real_adress[6] -.sym 7400 I2C_HID_DESC.real_adress[7] +.sym 6866 $abc$51270$n1117_1 +.sym 6867 $abc$51270$n1121 +.sym 6868 $abc$51270$n1463 +.sym 6869 $abc$51270$n1114 +.sym 6870 $abc$51270$n1514 +.sym 6871 $abc$51270$n1115 +.sym 6872 $abc$51270$n1148 +.sym 6873 KEYBOARD.report[4][2] +.sym 6968 $abc$51270$n1144 +.sym 6969 $abc$51270$n1141 +.sym 6970 $abc$51270$n1146 +.sym 6971 $abc$51270$n1398 +.sym 6972 $abc$51270$n1116 +.sym 6973 $abc$51270$n1125 +.sym 6974 report_data_wr[0] +.sym 6975 report_data_wr[2] +.sym 7070 $abc$51270$n680 +.sym 7071 $abc$51270$n1356 +.sym 7072 $abc$51270$n1360 +.sym 7073 $abc$51270$n1358 +.sym 7074 $abc$51270$n1350 +.sym 7075 KEYBOARD.report[1][5] +.sym 7076 KEYBOARD.report[1][1] +.sym 7077 KEYBOARD.report[1][0] +.sym 7086 $false +.sym 7087 $false +.sym 7088 $false +.sym 7089 $false +.sym 7090 $false +.sym 7091 $false +.sym 7092 $false +.sym 7093 $false +.sym 7094 $false +.sym 7095 $false +.sym 7096 $false +.sym 7097 $false +.sym 7098 $false$2 +.sym 7099 $false +.sym 7100 $false +.sym 7101 $false +.sym 7102 $false +.sym 7103 $false +.sym 7104 $false +.sym 7105 $false +.sym 7106 $false +.sym 7107 $false +.sym 7172 $abc$51270$n1129 +.sym 7173 $abc$51270$n1120 +.sym 7174 $abc$51270$n678 +.sym 7175 $abc$51270$n2362 +.sym 7176 $abc$51270$n1130 +.sym 7177 $abc$51270$n1048 +.sym 7178 $abc$51270$n683 +.sym 7179 KEYBOARD.ram_adr[3] +.sym 7188 I2C_HID_DESC.real_adress[0] +.sym 7189 I2C_HID_DESC.real_adress[1] +.sym 7190 $false +.sym 7191 I2C_HID_DESC.real_adress[2] +.sym 7192 I2C_HID_DESC.real_adress[3] +.sym 7193 I2C_HID_DESC.real_adress[4] +.sym 7194 I2C_HID_DESC.real_adress[5] +.sym 7195 I2C_HID_DESC.real_adress[6] +.sym 7196 I2C_HID_DESC.real_adress[7] +.sym 7197 $false +.sym 7198 $false +.sym 7199 CLK$2$2 +.sym 7200 $true +.sym 7201 $true$2 +.sym 7202 $false +.sym 7203 $false +.sym 7204 $false +.sym 7205 $false +.sym 7206 $false +.sym 7207 $false +.sym 7208 $false +.sym 7209 $false +.sym 7276 $abc$51270$n1058 +.sym 7277 $abc$51270$n1056 +.sym 7278 $abc$51270$n1467 +.sym 7280 $abc$51270$n1388 +.sym 7281 report_data_wr[1] +.sym 7282 $undef +.sym 7283 $undef +.sym 7284 $undef +.sym 7285 $undef +.sym 7286 $undef +.sym 7287 $undef +.sym 7288 $undef +.sym 7289 $undef +.sym 7290 report_data_wadr[0] +.sym 7291 report_data_wadr[1] +.sym 7292 $false +.sym 7293 report_data_wadr[2] +.sym 7294 report_data_wadr[3] +.sym 7295 report_data_wadr[4] +.sym 7296 report_data_wadr[5] +.sym 7297 report_data_wadr[6] +.sym 7298 report_data_wadr[7] +.sym 7299 $false +.sym 7300 $false +.sym 7301 CLK$2$2 +.sym 7302 report_wr_en +.sym 7303 report_data_wr[0] +.sym 7304 $undef +.sym 7305 report_data_wr[1] +.sym 7306 $undef +.sym 7307 report_data_wr[2] +.sym 7308 $undef +.sym 7309 report_data_wr[3] +.sym 7310 $undef +.sym 7311 $true$2 +.sym 7380 $abc$51270$n2425 +.sym 7381 $abc$51270$n214 +.sym 7382 $abc$51270$n218 +.sym 7383 last_uart_active +.sym 7384 $undef +.sym 7385 $undef +.sym 7386 $undef +.sym 7387 $undef +.sym 7388 $undef +.sym 7389 $undef +.sym 7390 $undef +.sym 7391 $undef +.sym 7392 report_data_radr[0] +.sym 7393 report_data_radr[1] +.sym 7394 $false +.sym 7395 report_data_radr[2] +.sym 7396 report_data_radr[3] +.sym 7397 report_data_radr[4] +.sym 7398 report_data_radr[5] +.sym 7399 report_data_radr[6] +.sym 7400 report_data_radr[7] +.sym 7401 $false +.sym 7402 $false .sym 7403 CLK$2$2 .sym 7404 $true .sym 7405 $true$2 -.sym 7478 $abc$56607$n665 -.sym 7479 $abc$56607$n861 -.sym 7480 $abc$56607$n2608 -.sym 7481 $abc$56607$n857 -.sym 7482 $abc$56607$n664 -.sym 7483 $abc$56607$n858 -.sym 7484 UART_TX_DATA[1] -.sym 7485 UART_TX_DATA[3] -.sym 7486 $undef -.sym 7487 $undef -.sym 7488 $undef -.sym 7489 $undef -.sym 7490 $undef -.sym 7491 $undef -.sym 7492 $undef -.sym 7493 $undef -.sym 7494 report_data_wadr[0] -.sym 7495 report_data_wadr[1] -.sym 7496 $false -.sym 7497 report_data_wadr[2] -.sym 7498 report_data_wadr[3] -.sym 7499 report_data_wadr[4] -.sym 7500 report_data_wadr[5] -.sym 7501 report_data_wadr[6] -.sym 7502 report_data_wadr[7] -.sym 7503 $false -.sym 7504 $false -.sym 7505 CLK$2$2 -.sym 7506 report_wr_en -.sym 7507 report_data_wr[0] -.sym 7508 $undef -.sym 7509 report_data_wr[1] -.sym 7510 $undef -.sym 7511 report_data_wr[2] -.sym 7512 $undef -.sym 7513 report_data_wr[3] -.sym 7514 $undef -.sym 7515 $true$2 -.sym 7580 $abc$56607$n280 -.sym 7581 $abc$56607$n999 -.sym 7582 $abc$56607$n1039 -.sym 7583 $abc$56607$n1465 -.sym 7584 $abc$56607$n273 -.sym 7585 $abc$56607$n277 -.sym 7586 $abc$56607$n282 -.sym 7587 report_data_radr[0] -.sym 7588 $undef -.sym 7589 $undef -.sym 7590 $undef -.sym 7591 $undef -.sym 7592 $undef -.sym 7593 $undef -.sym 7594 $undef -.sym 7595 $undef -.sym 7596 report_data_radr[0] -.sym 7597 report_data_radr[1] -.sym 7598 $false -.sym 7599 report_data_radr[2] -.sym 7600 report_data_radr[3] -.sym 7601 report_data_radr[4] -.sym 7602 report_data_radr[5] -.sym 7603 report_data_radr[6] -.sym 7604 report_data_radr[7] -.sym 7605 $false -.sym 7606 $false -.sym 7607 CLK$2$2 -.sym 7608 $true -.sym 7609 $true$2 -.sym 7610 report_data_wr[5] -.sym 7611 $undef -.sym 7612 report_data_wr[6] -.sym 7613 $undef -.sym 7614 report_data_wr[7] -.sym 7615 $undef -.sym 7616 report_data_wr[4] -.sym 7617 $undef -.sym 7683 $abc$56607$n279 -.sym 7688 COM_DSR$2 -.sym 7689 report_data_radr[1] -.sym 7785 $10\ring_rd[3:0][2] -.sym 7787 $10\ring_rd[3:0][3] -.sym 7789 I2C.FLT_SCL.out -.sym 7888 $abc$56607$n1639 -.sym 7889 $abc$56607$n1009 -.sym 7890 $abc$56607$n1511 -.sym 7892 $abc$56607$n2484 -.sym 7893 I2C.FLT_SCL.counter[2] -.sym 8118 $abc$56607$n1343 -.sym 8119 $abc$56607$n1344 -.sym 8120 $abc$56607$n691 -.sym 8122 $abc$56607$n696 -.sym 8123 $abc$56607$n1492_1 -.sym 8124 KEYBOARD.temp[6] -.sym 8125 KEYBOARD.temp[5] +.sym 7406 report_data_wr[5] +.sym 7407 $undef +.sym 7408 report_data_wr[6] +.sym 7409 $undef +.sym 7410 report_data_wr[7] +.sym 7411 $undef +.sym 7412 report_data_wr[4] +.sym 7413 $undef +.sym 7479 $abc$51270$n1906 +.sym 7480 $abc$51270$n1909 +.sym 7481 $abc$51270$n1912 +.sym 7482 $abc$51270$n1224 +.sym 7483 $abc$51270$n1222 +.sym 7484 report_data_wadr[6] +.sym 7485 report_data_wadr[5] +.sym 7581 $6\report_data_wadr[7:0][5] +.sym 7582 $6\report_data_wadr[7:0][6] +.sym 7583 $6\report_data_wadr[7:0][7] +.sym 7584 $abc$51270$n1213 +.sym 7585 $abc$51270$n2522 +.sym 7586 $abc$51270$n1211 +.sym 7587 report_data_wadr[4] +.sym 7682 $abc$51270$n1226 +.sym 7683 $abc$51270$n1207 +.sym 7684 $abc$51270$n1928 +.sym 7685 $abc$51270$n2419 +.sym 7686 $abc$51270$n1924 +.sym 7687 report_data_wadr[3] +.sym 7689 report_data_wadr[7] +.sym 7786 $abc$51270$n1922 +.sym 7787 $auto$alumacc.cc:474:replace_alu$9609.C[4] +.sym 7788 $auto$alumacc.cc:474:replace_alu$9609.C[5] +.sym 7789 $auto$alumacc.cc:474:replace_alu$9609.C[6] +.sym 7790 $abc$51270$n1930 +.sym 7791 $abc$51270$n1926 +.sym 7888 init_ram_cnt[2] +.sym 7889 init_ram_cnt[3] +.sym 7890 init_ram_cnt[4] +.sym 7891 init_ram_cnt[5] +.sym 7892 init_ram_cnt[6] +.sym 7893 init_ram_cnt[7] +.sym 8118 $abc$51270$n708 +.sym 8119 $abc$51270$n704 +.sym 8121 $abc$51270$n2249 +.sym 8122 KEYBOARD.COLS_SHADOW[6] +.sym 8123 KEYBOARD.COLS_SHADOW[3] +.sym 8124 KEYBOARD.COLS_SHADOW[1] +.sym 8125 KEYBOARD.COLS_SHADOW[2] +.sym 8193 $abc$51270$n383 +.sym 8194 $abc$51270$n394 +.sym 8195 $abc$51270$n396 +.sym 8196 $false +.sym 8199 KEYBOARD.COLS_SHADOW[6] +.sym 8200 $abc$51270$n705 +.sym 8201 $abc$51270$n706 +.sym 8202 $abc$51270$n703 +.sym 8205 $abc$51270$n698 +.sym 8206 $abc$51270$n702 +.sym 8207 $abc$51270$n707 +.sym 8208 $false +.sym 8211 KEYBOARD.COLS_SHADOW[1] +.sym 8212 $abc$51270$n713 +.sym 8213 $false +.sym 8214 $false +.sym 8217 $abc$51270$n704 +.sym 8218 KEYBOARD.COLS_SHADOW[7] +.sym 8219 $abc$51270$n700 +.sym 8220 KBD_COLUMNS[0]$2 +.sym 8223 $abc$51270$n708 +.sym 8224 $abc$51270$n710 +.sym 8225 $abc$51270$n712 +.sym 8226 $false +.sym 8229 $abc$51270$n2316 +.sym 8230 $false +.sym 8231 $false +.sym 8232 $false +.sym 8235 $abc$51270$n2313 +.sym 8236 $false +.sym 8237 $false +.sym 8238 $false +.sym 8239 $abc$51270$n1365 +.sym 8240 CLK$2$2 +.sym 8241 $abc$51270$n35$2 .sym 8242 KBD_COLUMNS[2]$2 .sym 8244 KBD_COLUMNS[3]$2 -.sym 8246 $abc$56607$n1490_1 -.sym 8247 $abc$56607$n1347 -.sym 8248 $abc$56607$n1341 -.sym 8249 $abc$56607$n1349 -.sym 8250 $abc$56607$n2273 -.sym 8251 $abc$56607$n2287 -.sym 8252 KEYBOARD.kbd_code[1] -.sym 8253 KEYBOARD.kbd_code[0] -.sym 8356 $abc$56607$n33$2 -.sym 8357 KEYBOARD.row_counter[0] -.sym 8358 KEYBOARD.row_counter[1] +.sym 8246 $abc$51270$n2333 +.sym 8247 $abc$51270$n2334 +.sym 8248 $abc$51270$n709 +.sym 8249 $abc$51270$n1011 +.sym 8250 $abc$51270$n1629 +.sym 8251 I2C.FLT_SDA.counter[1] +.sym 8252 I2C.FLT_SDA.counter[0] +.sym 8253 I2C.FLT_SDA.counter[2] +.sym 8356 KEYBOARD.temp[6] +.sym 8357 KEYBOARD.RAM.r_data[6] +.sym 8358 $abc$51270$n700 .sym 8359 $false -.sym 8362 $abc$56607$n2273 -.sym 8363 $false +.sym 8362 $abc$51270$n2249 +.sym 8363 $abc$51270$n701 .sym 8364 $false .sym 8365 $false -.sym 8374 $abc$56607$n2246 -.sym 8375 $false -.sym 8376 $false +.sym 8368 KEYBOARD.temp[1] +.sym 8369 KEYBOARD.RAM.r_data[1] +.sym 8370 $abc$51270$n713 +.sym 8371 $abc$51270$n700 +.sym 8374 $abc$51270$n1001 +.sym 8375 KEYBOARD.row_counter[0] +.sym 8376 KEYBOARD.row_counter[1] .sym 8377 $false -.sym 8380 $abc$56607$n2287 -.sym 8381 $abc$56607$n2244 +.sym 8380 $abc$51270$n712 +.sym 8381 $abc$51270$n1339 .sym 8382 $false .sym 8383 $false -.sym 8386 $abc$56607$n2287 -.sym 8387 $false -.sym 8388 $false +.sym 8386 $abc$51270$n721 +.sym 8387 $abc$51270$n709 +.sym 8388 $abc$51270$n708 .sym 8389 $false -.sym 8392 $abc$56607$n2287 -.sym 8393 $abc$56607$n2248 -.sym 8394 $false +.sym 8392 $abc$51270$n1344 +.sym 8393 $abc$51270$n697 +.sym 8394 $abc$51270$n705 .sym 8395 $false -.sym 8398 $abc$56607$n2287 -.sym 8399 $abc$56607$n2250 -.sym 8400 $false +.sym 8398 KEYBOARD.temp[0] +.sym 8399 KBD_COLUMNS[0]$2 +.sym 8400 $abc$51270$n700 .sym 8401 $false -.sym 8402 $abc$56607$n1490$2 +.sym 8402 $abc$51270$n1417 .sym 8403 CLK$2$2 -.sym 8404 $false -.sym 8405 $abc$56607$n702 -.sym 8406 $abc$56607$n726 -.sym 8407 $abc$56607$n703 -.sym 8408 $abc$56607$n692 -.sym 8409 $abc$56607$n685 -.sym 8410 $abc$56607$n708 -.sym 8411 KEYBOARD.temp[2] -.sym 8412 KEYBOARD.temp[4] -.sym 8441 $true -.sym 8478 $abc$56607$n2615$2 -.sym 8479 $false -.sym 8480 $abc$56607$n2615 -.sym 8481 $false -.sym 8482 $false -.sym 8484 $auto$alumacc.cc:470:replace_alu$12064.C[2] -.sym 8486 $false -.sym 8487 $abc$56607$n2530 -.sym 8490 $auto$alumacc.cc:470:replace_alu$12064.C[3] -.sym 8492 $false -.sym 8493 $abc$56607$n2616 -.sym 8496 $abc$56607$n1249$2 -.sym 8498 $false -.sym 8499 $abc$56607$n2617 -.sym 8506 $abc$56607$n1249$2 -.sym 8509 $abc$56607$n2049 +.sym 8404 $abc$51270$n33$2 +.sym 8405 $abc$51270$n975 +.sym 8406 $abc$51270$n917_1 +.sym 8407 $abc$51270$n2314 +.sym 8408 $abc$51270$n977 +.sym 8409 $abc$51270$n1147 +.sym 8410 $abc$51270$n1122 +.sym 8411 KEYBOARD.report[3][0] +.sym 8412 KEYBOARD.report[3][7] +.sym 8479 KEYBOARD.temp[7] +.sym 8480 $abc$51270$n704 +.sym 8481 $abc$51270$n719 +.sym 8482 $abc$51270$n720 +.sym 8485 $abc$51270$n705 +.sym 8486 KEYBOARD.temp[6] +.sym 8487 $abc$51270$n700 +.sym 8488 KEYBOARD.RAM.r_data[0] +.sym 8491 KEYBOARD.temp[4] +.sym 8492 KEYBOARD.temp[5] +.sym 8493 $abc$51270$n383 +.sym 8494 $abc$51270$n396 +.sym 8497 $abc$51270$n27 +.sym 8498 KEYBOARD.kbd_code_hid[1] +.sym 8499 $false +.sym 8500 $false +.sym 8503 $abc$51270$n27 +.sym 8504 KEYBOARD.kbd_code_hid[6] +.sym 8505 $false +.sym 8506 $false +.sym 8509 $abc$51270$n2315 .sym 8510 $false .sym 8511 $false .sym 8512 $false -.sym 8515 $abc$56607$n2043 -.sym 8516 I2C.i2c_bit_counter[2] +.sym 8515 $abc$51270$n2316 +.sym 8516 $false .sym 8517 $false .sym 8518 $false -.sym 8521 $abc$56607$n1290_1 -.sym 8522 $abc$56607$n2049 -.sym 8523 $abc$56607$n1293 -.sym 8524 $abc$56607$n360 -.sym 8525 $true +.sym 8521 $abc$51270$n2312 +.sym 8522 $false +.sym 8523 $false +.sym 8524 $false +.sym 8525 $abc$51270$n1354 .sym 8526 CLK$2$2 -.sym 8527 $false -.sym 8528 $abc$56607$n722 -.sym 8529 $abc$56607$n1282 -.sym 8530 $abc$56607$n719 -.sym 8531 $abc$56607$n1470 -.sym 8532 $abc$56607$n717 -.sym 8533 $abc$56607$n1292 -.sym 8534 KEYBOARD.ram_wr -.sym 8602 $abc$56607$n1249 -.sym 8603 $abc$56607$n16 -.sym 8604 I2C.FLT_SCL.out +.sym 8527 $abc$51270$n35$2 +.sym 8528 $abc$51270$n930 +.sym 8529 $abc$51270$n931 +.sym 8530 $abc$51270$n927 +.sym 8531 $abc$51270$n928 +.sym 8532 $abc$51270$n926 +.sym 8533 $abc$51270$n932 +.sym 8534 $abc$51270$n934_1 +.sym 8535 KEYBOARD.ram_wr +.sym 8602 KEYBOARD.init_ram_cnt[8] +.sym 8603 $abc$51270$n29 +.sym 8604 $abc$51270$n1320 .sym 8605 $false -.sym 8608 I2C.FLT_SCL.out -.sym 8609 $abc$56607$n16 -.sym 8610 $false -.sym 8611 $false -.sym 8614 $abc$56607$n1271 -.sym 8615 I2C.FLT_SCL.out -.sym 8616 $abc$56607$n16 -.sym 8617 $abc$56607$n1249 -.sym 8620 $abc$56607$n1292 -.sym 8621 $abc$56607$n878 -.sym 8622 $abc$56607$n875 -.sym 8623 $abc$56607$n877 -.sym 8626 $abc$56607$n1267 -.sym 8627 $abc$56607$n878 -.sym 8628 $abc$56607$n875 -.sym 8629 $abc$56607$n877 -.sym 8632 $abc$56607$n1249 -.sym 8633 I2C.FLT_SCL.out -.sym 8634 $abc$56607$n16 -.sym 8635 $abc$56607$n1271 -.sym 8638 $abc$56607$n1267 -.sym 8639 $abc$56607$n1285 -.sym 8640 $abc$56607$n875 -.sym 8641 $abc$56607$n1291 -.sym 8644 I2C.FLT_SCL.out -.sym 8645 $false -.sym 8646 $false -.sym 8647 $false -.sym 8648 $true +.sym 8608 KEYBOARD.temp[5] +.sym 8609 KEYBOARD.RAM.r_data[5] +.sym 8610 $abc$51270$n711 +.sym 8611 $abc$51270$n700 +.sym 8614 KEYBOARD.kbd_code_hid[4] +.sym 8615 KEYBOARD.report[5][4] +.sym 8616 KEYBOARD.kbd_code_hid[5] +.sym 8617 KEYBOARD.report[5][5] +.sym 8620 $abc$51270$n396 +.sym 8621 $abc$51270$n394 +.sym 8622 KEYBOARD.temp[4] +.sym 8623 $false +.sym 8626 $abc$51270$n1115 +.sym 8627 KEYBOARD.report[2][2] +.sym 8628 $abc$51270$n1113 +.sym 8629 KEYBOARD.report[5][2] +.sym 8632 $abc$51270$n710 +.sym 8633 $abc$51270$n1342 +.sym 8634 $false +.sym 8635 $false +.sym 8638 KEYBOARD.COLS_SHADOW[7] +.sym 8639 $abc$51270$n1337 +.sym 8640 $abc$51270$n704 +.sym 8641 $false +.sym 8644 KEYBOARD.RAM.r_data[4] +.sym 8645 $abc$51270$n700 +.sym 8646 $abc$51270$n1333 +.sym 8647 $abc$51270$n706 +.sym 8648 $abc$51270$n1417 .sym 8649 CLK$2$2 -.sym 8650 $false -.sym 8651 $abc$56607$n1281 -.sym 8652 $abc$56607$n1280 -.sym 8653 $abc$56607$n1270 -.sym 8654 $abc$56607$n1272 -.sym 8655 $abc$56607$n1260 -.sym 8656 I2C.is_ack -.sym 8657 $abc$56607$n14 -.sym 8658 I2C.SDA_DIR -.sym 8725 $abc$56607$n1249 -.sym 8726 $abc$56607$n875 -.sym 8727 $abc$56607$n1315_1 -.sym 8728 $abc$56607$n1271 -.sym 8731 $abc$56607$n875 -.sym 8732 $abc$56607$n1319 -.sym 8733 $abc$56607$n1320_1 -.sym 8734 $abc$56607$n1321 -.sym 8737 $abc$56607$n1286 -.sym 8738 $abc$56607$n2583 -.sym 8739 $abc$56607$n875 -.sym 8740 $abc$56607$n1285 -.sym 8743 $abc$56607$n1271 -.sym 8744 $abc$56607$n875 -.sym 8745 $abc$56607$n1268_1 -.sym 8746 $abc$56607$n1249 -.sym 8749 $abc$56607$n1249 -.sym 8750 $abc$56607$n1268_1 -.sym 8751 $false -.sym 8752 $false -.sym 8755 $abc$56607$n2043 -.sym 8756 I2C.is_ack -.sym 8757 $false -.sym 8758 $false -.sym 8761 $abc$56607$n1318 -.sym 8762 $abc$56607$n1322 -.sym 8763 $abc$56607$n877 +.sym 8650 $abc$51270$n33$2 +.sym 8651 $abc$51270$n1172 +.sym 8652 $abc$51270$n1133 +.sym 8653 $abc$51270$n1176 +.sym 8654 $abc$51270$n1175 +.sym 8655 $abc$51270$n1124 +.sym 8656 $abc$51270$n1123 +.sym 8657 KEYBOARD.report[5][7] +.sym 8658 KEYBOARD.report[5][1] +.sym 8725 $abc$51270$n1115 +.sym 8726 KEYBOARD.report[2][0] +.sym 8727 $abc$51270$n1113 +.sym 8728 KEYBOARD.report[5][0] +.sym 8731 $abc$51270$n1114 +.sym 8732 $abc$51270$n260 +.sym 8733 $false +.sym 8734 $false +.sym 8737 KEYBOARD.report[2][5] +.sym 8738 $abc$51270$n1115 +.sym 8739 $abc$51270$n1174 +.sym 8740 $false +.sym 8743 KEYBOARD.report[4][0] +.sym 8744 KEYBOARD.report[4][1] +.sym 8745 KEYBOARD.report[4][2] +.sym 8746 KEYBOARD.report[4][3] +.sym 8749 $abc$51270$n1112_1 +.sym 8750 $abc$51270$n1116 +.sym 8751 $abc$51270$n1119_1 +.sym 8752 $abc$51270$n1122 +.sym 8755 KEYBOARD.report[1][5] +.sym 8756 KEYBOARD.report[5][5] +.sym 8757 $abc$51270$n260 +.sym 8758 $abc$51270$n1114 +.sym 8761 $abc$51270$n2316 +.sym 8762 $false +.sym 8763 $false .sym 8764 $false -.sym 8767 I2C.i2c_state_machine -.sym 8768 $abc$56607$n1321 -.sym 8769 $abc$56607$n1317 +.sym 8767 $abc$51270$n2312 +.sym 8768 $false +.sym 8769 $false .sym 8770 $false -.sym 8771 $true +.sym 8771 $abc$51270$n1325 .sym 8772 CLK$2$2 -.sym 8773 $false -.sym 8774 $abc$56607$n1288 -.sym 8775 $abc$56607$n1269 -.sym 8776 $abc$56607$n1284 -.sym 8777 $abc$56607$n1274 -.sym 8778 $abc$56607$n1462 -.sym 8779 $abc$56607$n1273 -.sym 8780 $abc$56607$n1286 -.sym 8781 I2C.i2c_state_machine -.sym 8810 $true -.sym 8847 $abc$56607$n2621$3 -.sym 8848 $false -.sym 8849 $abc$56607$n2621 +.sym 8773 $abc$51270$n35$2 +.sym 8774 $abc$51270$n994 +.sym 8775 $abc$51270$n1503_1 +.sym 8776 $abc$51270$n1494_1 +.sym 8777 $abc$51270$n1134 +.sym 8778 $abc$51270$n1492 +.sym 8779 $abc$51270$n905 +.sym 8780 $abc$51270$n1500_1 +.sym 8781 KEYBOARD.report[1][7] +.sym 8848 $abc$51270$n260 +.sym 8849 $abc$51270$n1114 .sym 8850 $false .sym 8851 $false -.sym 8853 $auto$alumacc.cc:470:replace_alu$12111.C[2] -.sym 8855 $false -.sym 8856 $abc$56607$n2518 -.sym 8860 $false -.sym 8861 $false -.sym 8862 $abc$56607$n2604 -.sym 8863 $auto$alumacc.cc:470:replace_alu$12111.C[2] -.sym 8866 $abc$56607$n360 -.sym 8867 $abc$56607$n873_1 -.sym 8868 $false +.sym 8854 $abc$51270$n253 +.sym 8855 wr_cnt[0] +.sym 8856 wr_cnt[1] +.sym 8857 $abc$51270$n260 +.sym 8860 KEYBOARD.kbd_code_hid[5] +.sym 8861 KEYBOARD.report[1][5] +.sym 8862 KEYBOARD.kbd_code_hid[6] +.sym 8863 KEYBOARD.report[1][6] +.sym 8866 wr_cnt[0] +.sym 8867 wr_cnt[1] +.sym 8868 $abc$51270$n253 .sym 8869 $false -.sym 8872 KEYBOARD.init_ram_cnt[1] -.sym 8873 KEYBOARD.row_counter[0] -.sym 8874 KEYBOARD.row_counter[1] -.sym 8875 KEYBOARD.init_ram_cnt[8] -.sym 8878 $abc$56607$n2231 -.sym 8879 KEYBOARD.init_ram_cnt[0] -.sym 8880 KEYBOARD.init_ram_cnt[8] -.sym 8881 $false -.sym 8884 $abc$56607$n2237 -.sym 8885 KEYBOARD.init_ram_cnt[2] -.sym 8886 KEYBOARD.init_ram_cnt[8] -.sym 8887 $false -.sym 8890 $abc$56607$n28 -.sym 8891 KEYBOARD.init_ram_cnt[3] -.sym 8892 KEYBOARD.init_ram_cnt[8] +.sym 8872 $false +.sym 8873 UART.tx_clk_counter[0] +.sym 8874 $false +.sym 8875 $false +.sym 8878 $abc$51270$n253 +.sym 8879 $abc$51270$n260 +.sym 8880 wr_cnt[0] +.sym 8881 wr_cnt[1] +.sym 8884 $abc$51270$n1118 +.sym 8885 KEYBOARD.report[0][2] +.sym 8886 $abc$51270$n1121 +.sym 8887 KEYBOARD.report[4][2] +.sym 8890 $abc$51270$n2313 +.sym 8891 $false +.sym 8892 $false .sym 8893 $false -.sym 8894 $abc$56607$n1462 +.sym 8894 $abc$51270$n1325 .sym 8895 CLK$2$2 -.sym 8896 $false -.sym 8897 $abc$56607$n898 -.sym 8898 $abc$56607$n899 -.sym 8899 $abc$56607$n25 -.sym 8900 $abc$56607$n2519 -.sym 8901 UART.tx_bit_counter[2] -.sym 8902 UART.tx_bit_counter[3] -.sym 8903 UART.tx_bit_counter[0] -.sym 8904 UART.tx_bit_counter[1] -.sym 8933 $true -.sym 8970 UART.tx_bit_counter[0]$2 -.sym 8971 $false -.sym 8972 UART.tx_bit_counter[0] -.sym 8973 $false -.sym 8974 $false -.sym 8976 $auto$alumacc.cc:470:replace_alu$12200.C[2] -.sym 8978 UART.tx_bit_counter[1] -.sym 8979 $true$2 -.sym 8982 $auto$alumacc.cc:470:replace_alu$12200.C[3] -.sym 8983 $false -.sym 8984 UART.tx_bit_counter[2] -.sym 8985 $true$2 -.sym 8986 $auto$alumacc.cc:470:replace_alu$12200.C[2] -.sym 8989 $false -.sym 8990 UART.tx_bit_counter[3] -.sym 8991 $true$2 -.sym 8992 $auto$alumacc.cc:470:replace_alu$12200.C[3] -.sym 8995 $abc$56607$n880 -.sym 8996 $abc$56607$n358 -.sym 8997 $abc$56607$n872 -.sym 8998 $false -.sym 9001 $abc$56607$n2603 -.sym 9002 $false -.sym 9003 $false +.sym 8896 $abc$51270$n35$2 +.sym 8897 $abc$51270$n904 +.sym 8898 $abc$51270$n1493_1 +.sym 8899 $abc$51270$n906 +.sym 8900 $abc$51270$n1132 +.sym 8901 $abc$51270$n1159_1 +.sym 8902 $abc$51270$n1466 +.sym 8903 UART_TX_DATA[2] +.sym 8904 UART_TX_DATA[6] +.sym 8971 $abc$51270$n1145 +.sym 8972 $abc$51270$n1146 +.sym 8973 $abc$51270$n1147 +.sym 8974 $abc$51270$n1148 +.sym 8977 KEYBOARD.report[0][2] +.sym 8978 KEYBOARD.report[1][2] +.sym 8979 $abc$51270$n1128 +.sym 8980 $false +.sym 8983 KEYBOARD.report[1][2] +.sym 8984 $abc$51270$n1117_1 +.sym 8985 $abc$51270$n1120 +.sym 8986 $false +.sym 8989 KEYBOARD.init_ram_cnt[8] +.sym 8990 $abc$51270$n1001 +.sym 8991 $abc$51270$n1320 +.sym 8992 $false +.sym 8995 $abc$51270$n1118 +.sym 8996 KEYBOARD.report[0][0] +.sym 8997 $abc$51270$n1117_1 +.sym 8998 KEYBOARD.report[1][0] +.sym 9001 KEYBOARD.report[0][0] +.sym 9002 KEYBOARD.report[1][0] +.sym 9003 $abc$51270$n1128 .sym 9004 $false -.sym 9007 $abc$56607$n873_1 -.sym 9008 $abc$56607$n360 -.sym 9009 $false -.sym 9010 $false -.sym 9013 I2C.FLT_SDA.out -.sym 9014 $false -.sym 9015 $false -.sym 9016 $false -.sym 9017 $abc$56607$n1268 +.sym 9007 $abc$51270$n1120 +.sym 9008 $abc$51270$n1125 +.sym 9009 $abc$51270$n1111 +.sym 9010 $abc$51270$n1129 +.sym 9013 $abc$51270$n1120 +.sym 9014 $abc$51270$n1141 +.sym 9015 $abc$51270$n1144 +.sym 9016 $abc$51270$n1129 +.sym 9017 $abc$51270$n950$2 .sym 9018 CLK$2$2 -.sym 9019 $false -.sym 9020 $abc$56607$n1302 -.sym 9021 $abc$56607$n885 -.sym 9022 $abc$56607$n1278 -.sym 9024 $abc$56607$n1290 -.sym 9025 $abc$56607$n882 -.sym 9026 $abc$56607$n1297 -.sym 9027 I2C.received_byte[6] -.sym 9094 $abc$56607$n1265 -.sym 9095 $abc$56607$n1266 -.sym 9096 $abc$56607$n360 +.sym 9019 $abc$51270$n23$2 +.sym 9020 $abc$51270$n679 +.sym 9021 $abc$51270$n1475_1 +.sym 9022 KEYBOARD.report[0][2] +.sym 9023 KEYBOARD.report[0][6] +.sym 9024 KEYBOARD.report[0][5] +.sym 9025 KEYBOARD.report[0][1] +.sym 9026 KEYBOARD.report[0][7] +.sym 9027 KEYBOARD.report[0][4] +.sym 9094 $abc$51270$n2383 +.sym 9095 $abc$51270$n2384 +.sym 9096 $false .sym 9097 $false -.sym 9100 $abc$56607$n872 -.sym 9101 $abc$56607$n882 -.sym 9102 $false +.sym 9100 KEYBOARD.kbd_code_hid[1] +.sym 9101 KEYBOARD.kbd_code_hid[0] +.sym 9102 KEYBOARD.kbd_code_hid[2] .sym 9103 $false -.sym 9106 $abc$56607$n1262 -.sym 9107 $abc$56607$n1263 -.sym 9108 $abc$56607$n1264 -.sym 9109 $abc$56607$n1267 -.sym 9112 $abc$56607$n1050_1 -.sym 9113 $abc$56607$n1054 -.sym 9114 $abc$56607$n358 -.sym 9115 $abc$56607$n880 -.sym 9118 $abc$56607$n1042 -.sym 9119 $abc$56607$n1046 -.sym 9120 $abc$56607$n358 -.sym 9121 $abc$56607$n880 -.sym 9124 $abc$56607$n1048 -.sym 9125 $abc$56607$n1056 -.sym 9126 $abc$56607$n360 -.sym 9127 $abc$56607$n885 -.sym 9130 $abc$56607$n1044 -.sym 9131 $abc$56607$n1052 -.sym 9132 $abc$56607$n360 -.sym 9133 $abc$56607$n882 -.sym 9136 I2C.FLT_SDA.out +.sym 9106 KEYBOARD.kbd_code_hid[0] +.sym 9107 KEYBOARD.kbd_code_hid[1] +.sym 9108 KEYBOARD.kbd_code_hid[2] +.sym 9109 $false +.sym 9112 KEYBOARD.kbd_code_hid[0] +.sym 9113 KEYBOARD.kbd_code_hid[1] +.sym 9114 KEYBOARD.kbd_code_hid[2] +.sym 9115 $false +.sym 9118 KEYBOARD.kbd_code_hid[0] +.sym 9119 KEYBOARD.kbd_code_hid[2] +.sym 9120 KEYBOARD.kbd_code_hid[1] +.sym 9121 $false +.sym 9124 $abc$51270$n2316 +.sym 9125 $false +.sym 9126 $false +.sym 9127 $false +.sym 9130 $abc$51270$n2312 +.sym 9131 $false +.sym 9132 $false +.sym 9133 $false +.sym 9136 $abc$51270$n2311 .sym 9137 $false .sym 9138 $false .sym 9139 $false -.sym 9140 $abc$56607$n1258 +.sym 9140 $abc$51270$n1374 .sym 9141 CLK$2$2 -.sym 9142 $false -.sym 9143 report_data_wr[1] -.sym 9144 report_data_wr[6] -.sym 9145 report_data_wr[0] -.sym 9146 report_data_wr[4] -.sym 9147 report_data_wr[3] -.sym 9148 report_data_wr[5] -.sym 9149 report_data_wr[7] -.sym 9150 report_data_wr[2] -.sym 9217 $false -.sym 9218 $false -.sym 9219 $abc$56607$n2621 -.sym 9220 $true$2 -.sym 9229 I2C_HID_DESC.VAL[6] -.sym 9230 REPORT_DATA.r_data[6] -.sym 9231 I2C_OUT_DESC_MASK[6] -.sym 9232 $false -.sym 9235 $abc$56607$n1490$2 +.sym 9142 $abc$51270$n35$2 +.sym 9143 $abc$51270$n1169 +.sym 9144 $abc$51270$n1471 +.sym 9145 $abc$51270$n1170 +.sym 9146 report_data_wr[5] +.sym 9147 report_data_wr[7] +.sym 9148 report_data_wr[4] +.sym 9149 report_data_wr[3] +.sym 9150 report_data_wr[6] +.sym 9217 $abc$51270$n690 +.sym 9218 $abc$51270$n1130 +.sym 9219 $false +.sym 9220 $false +.sym 9223 wr_cnt[0] +.sym 9224 wr_cnt[2] +.sym 9225 wr_cnt[3] +.sym 9226 wr_cnt[1] +.sym 9229 $abc$51270$n682 +.sym 9230 $abc$51270$n679 +.sym 9231 $abc$51270$n2362 +.sym 9232 $abc$51270$n2348 +.sym 9235 $false .sym 9236 $false -.sym 9237 $false +.sym 9237 $abc$51270$n2451 .sym 9238 $false -.sym 9241 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9242 $false -.sym 9243 $false +.sym 9241 wr_cnt[2] +.sym 9242 wr_cnt[3] +.sym 9243 wr_cnt[0] .sym 9244 $false -.sym 9247 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9248 $false -.sym 9249 $false +.sym 9247 REPORT_DATA.r_data[2] +.sym 9248 I2C_HID_DESC.VAL[2] +.sym 9249 I2C_OUT_DESC_MASK[2] .sym 9250 $false -.sym 9259 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9260 $false -.sym 9261 $false +.sym 9253 $abc$51270$n685 +.sym 9254 $abc$51270$n684 +.sym 9255 $abc$51270$n2348 +.sym 9256 $abc$51270$n2362 +.sym 9259 KEYBOARD.init_ram_cnt[3] +.sym 9260 $abc$51270$n30 +.sym 9261 KEYBOARD.init_ram_cnt[8] .sym 9262 $false -.sym 9263 $abc$56607$n1191 +.sym 9263 $abc$51270$n1398 .sym 9264 CLK$2$2 -.sym 9265 $abc$56607$n35$2 -.sym 9266 $abc$56607$n1479 -.sym 9267 $abc$56607$n1093 -.sym 9268 $abc$56607$n751 -.sym 9269 $abc$56607$n1537 -.sym 9270 $abc$56607$n1097 -.sym 9271 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9272 I2C_OUTPUT_TYPE[2] -.sym 9273 I2C_OUTPUT_TYPE[1] -.sym 9340 $abc$56607$n10 -.sym 9341 $false -.sym 9342 $false -.sym 9343 $false -.sym 9346 $abc$56607$n664 -.sym 9347 $abc$56607$n665 -.sym 9348 $abc$56607$n2495 -.sym 9349 $abc$56607$n2509 -.sym 9352 $false -.sym 9353 $false -.sym 9354 I2C.byte_counter[0] +.sym 9265 $false +.sym 9266 $abc$51270$n1100 +.sym 9267 $abc$51270$n1095 +.sym 9268 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9269 I2C_OUT_DESC_MASK[7] +.sym 9270 I2C_OUTPUT_TYPE[1] +.sym 9271 I2C_OUT_DESC_MASK[6] +.sym 9272 I2C_OUT_DESC_MASK[3] +.sym 9273 I2C_OUTPUT_TYPE[2] +.sym 9352 REPORT_DATA.r_data[7] +.sym 9353 I2C_TX_DESC[7] +.sym 9354 I2C_OUT_DESC_MASK[7] .sym 9355 $false -.sym 9358 I2C_HID_DESC.VAL[2] -.sym 9359 REPORT_DATA.r_data[2] -.sym 9360 I2C_OUT_DESC_MASK[2] +.sym 9358 REPORT_DATA.r_data[6] +.sym 9359 I2C_TX_DESC[6] +.sym 9360 I2C_OUT_DESC_MASK[6] .sym 9361 $false -.sym 9364 I2C_HID_DESC.VAL[1] -.sym 9365 REPORT_DATA.r_data[1] -.sym 9366 I2C_OUT_DESC_MASK[1] -.sym 9367 $false -.sym 9370 $abc$56607$n659 -.sym 9371 $abc$56607$n662 -.sym 9372 $abc$56607$n2509 -.sym 9373 $abc$56607$n2495 -.sym 9376 I2C_HID_DESC.VAL[3] -.sym 9377 REPORT_DATA.r_data[3] -.sym 9378 I2C_OUT_DESC_MASK[3] +.sym 9364 wr_cnt[1] +.sym 9365 $abc$51270$n1466 +.sym 9366 wr_cnt[0] +.sym 9367 wr_cnt[3] +.sym 9376 KEYBOARD.init_ram_cnt[8] +.sym 9377 $abc$51270$n896_1 +.sym 9378 I2C.FLT_SCL.RESET .sym 9379 $false -.sym 9382 $abc$56607$n658 -.sym 9383 $abc$56607$n2229 -.sym 9384 $abc$56607$n663 -.sym 9385 UART.tx_activity -.sym 9386 $abc$56607$n1320 +.sym 9382 wr_cnt[2] +.sym 9383 $abc$51270$n1467 +.sym 9384 $abc$51270$n1132 +.sym 9385 $abc$51270$n690 +.sym 9386 $abc$51270$n950$2 .sym 9387 CLK$2$2 -.sym 9388 $false -.sym 9389 $abc$56607$n828 -.sym 9390 $abc$56607$n825 -.sym 9391 $abc$56607$n856 -.sym 9392 $abc$56607$n860 -.sym 9393 $abc$56607$n1512_1 -.sym 9394 $abc$56607$n1513 -.sym 9395 I2C_INPUT_LEN[0] -.sym 9396 I2C_INPUT_LEN[1] -.sym 9463 I2C_HID_DESC.VAL[4] -.sym 9464 REPORT_DATA.r_data[4] -.sym 9465 I2C_OUT_DESC_MASK[4] +.sym 9388 $abc$51270$n23$2 +.sym 9389 $abc$51270$n743 +.sym 9390 $abc$51270$n758 +.sym 9391 $abc$51270$n757 +.sym 9392 $abc$51270$n764 +.sym 9393 $abc$51270$n738 +.sym 9394 $abc$51270$n753 +.sym 9395 $abc$51270$n1102 +.sym 9396 I2C_OUTPUT_TYPE[0] +.sym 9425 $true +.sym 9462 $abc$51270$n218$2 +.sym 9463 $false +.sym 9464 $abc$51270$n218 +.sym 9465 $false .sym 9466 $false -.sym 9469 UART_TX_DATA[0] -.sym 9470 UART_TX_DATA[2] -.sym 9471 $abc$56607$n660 -.sym 9472 $false -.sym 9475 UART_TX_DATA[4] -.sym 9476 UART_TX_DATA[6] -.sym 9477 $abc$56607$n660 -.sym 9478 $false -.sym 9481 I2C_HID_DESC.VAL[0] -.sym 9482 REPORT_DATA.r_data[0] -.sym 9483 I2C_OUT_DESC_MASK[0] -.sym 9484 $false -.sym 9487 $abc$56607$n1042 -.sym 9488 I2C.received_byte[0] -.sym 9489 I2C.is_read -.sym 9490 $false -.sym 9493 $abc$56607$n1046 -.sym 9494 I2C.received_byte[2] -.sym 9495 I2C.is_read +.sym 9468 $auto$alumacc.cc:474:replace_alu$9552.C[2] +.sym 9470 $false +.sym 9471 $abc$51270$n217 +.sym 9474 $auto$alumacc.cc:474:replace_alu$9552.C[3] +.sym 9476 $true$2 +.sym 9477 $abc$51270$n2463 +.sym 9480 $abc$51270$n2425$2 +.sym 9482 $false +.sym 9483 $abc$51270$n214 +.sym 9490 $abc$51270$n2425$2 +.sym 9493 i2c_input_data_type[3] +.sym 9494 $false +.sym 9495 $false .sym 9496 $false -.sym 9499 $abc$56607$n1054 -.sym 9500 I2C.received_byte[6] -.sym 9501 I2C.is_read +.sym 9499 i2c_input_data_type[0] +.sym 9500 $false +.sym 9501 $false .sym 9502 $false -.sym 9505 $abc$56607$n1050_1 -.sym 9506 I2C.received_byte[4] -.sym 9507 I2C.is_read +.sym 9505 UART.tx_activity +.sym 9506 $false +.sym 9507 $false .sym 9508 $false -.sym 9509 $abc$56607$n888 +.sym 9509 $abc$51270$n1159 .sym 9510 CLK$2$2 -.sym 9511 $abc$56607$n19 -.sym 9512 $abc$56607$n829 -.sym 9513 $abc$56607$n827 -.sym 9514 $abc$56607$n1511_1 -.sym 9515 $abc$56607$n826 -.sym 9516 $abc$56607$n830 -.sym 9517 $abc$56607$n841 -.sym 9518 $abc$56607$n853 -.sym 9519 $abc$56607$n854 -.sym 9586 UART_TX_DATA[1] -.sym 9587 UART_TX_DATA[3] -.sym 9588 $abc$56607$n660 -.sym 9589 $false -.sym 9592 I2C_INPUT_LEN[2] -.sym 9593 I2C_INPUT_LEN[1] -.sym 9594 I2C_INPUT_LEN[0] -.sym 9595 I2C_INPUT_LEN[3] -.sym 9598 I2C_INPUT_LEN[2] -.sym 9599 $false +.sym 9511 $abc$51270$n35$2 +.sym 9512 $abc$51270$n763 +.sym 9513 $abc$51270$n796 +.sym 9514 $abc$51270$n1448 +.sym 9515 $abc$51270$n747 +.sym 9516 $abc$51270$n744 +.sym 9517 $2\UART_WR[0:0] +.sym 9518 $abc$51270$n1159 +.sym 9519 UART_WR +.sym 9548 $false +.sym 9585 $auto$maccmap.cc:240:synth$10566.C[5] +.sym 9587 $abc$51270$n2417 +.sym 9588 $abc$51270$n2419 +.sym 9591 $auto$maccmap.cc:240:synth$10566.C[6] +.sym 9592 $false +.sym 9593 $2\ring_wr[3:0][2] +.sym 9594 $abc$51270$n2512 +.sym 9595 $auto$maccmap.cc:240:synth$10566.C[5] +.sym 9597 $auto$maccmap.cc:240:synth$10566.C[7] +.sym 9598 $false +.sym 9599 $2\ring_wr[3:0][3] .sym 9600 $false -.sym 9601 $false -.sym 9604 I2C_INPUT_LEN[3] -.sym 9605 $abc$56607$n858 -.sym 9606 $abc$56607$n855 -.sym 9607 $false -.sym 9610 UART_TX_DATA[5] -.sym 9611 UART_TX_DATA[7] -.sym 9612 $abc$56607$n660 -.sym 9613 $false -.sym 9616 I2C_INPUT_LEN[2] -.sym 9617 I2C_INPUT_LEN[0] -.sym 9618 I2C_INPUT_LEN[1] -.sym 9619 $false -.sym 9622 $abc$56607$n1044 -.sym 9623 I2C.received_byte[1] -.sym 9624 I2C.is_read -.sym 9625 $false -.sym 9628 $abc$56607$n1048 -.sym 9629 I2C.received_byte[3] -.sym 9630 I2C.is_read -.sym 9631 $false -.sym 9632 $abc$56607$n888 +.sym 9601 $auto$maccmap.cc:240:synth$10566.C[6] +.sym 9604 $false +.sym 9605 $false +.sym 9606 $false +.sym 9607 $auto$maccmap.cc:240:synth$10566.C[7] +.sym 9610 $6\report_data_wadr[7:0][6] +.sym 9611 $abc$51270$n1909 +.sym 9612 $abc$51270$n690 +.sym 9613 $abc$51270$n22 +.sym 9616 $6\report_data_wadr[7:0][5] +.sym 9617 $abc$51270$n1906 +.sym 9618 $abc$51270$n690 +.sym 9619 $abc$51270$n22 +.sym 9622 $abc$51270$n1197 +.sym 9623 $abc$51270$n1928 +.sym 9624 $abc$51270$n22 +.sym 9625 $abc$51270$n1224 +.sym 9628 $abc$51270$n1197 +.sym 9629 $abc$51270$n1926 +.sym 9630 $abc$51270$n22 +.sym 9631 $abc$51270$n1222 +.sym 9632 $abc$51270$n950$2 .sym 9633 CLK$2$2 -.sym 9634 $abc$56607$n19 -.sym 9635 $abc$56607$n1180 -.sym 9636 $abc$56607$n1500 -.sym 9637 $abc$56607$n1499 -.sym 9638 $abc$56607$n745 -.sym 9639 $abc$56607$n988 -.sym 9640 $abc$56607$n788 -.sym 9641 $abc$56607$n19 -.sym 9642 last_wr -.sym 9709 I2C.received_byte[2] -.sym 9710 $false -.sym 9711 $false -.sym 9712 $false -.sym 9715 $abc$56607$n769 -.sym 9716 $abc$56607$n787 -.sym 9717 $false -.sym 9718 $false -.sym 9721 $abc$56607$n769 -.sym 9722 $abc$56607$n795 -.sym 9723 $abc$56607$n1465 -.sym 9724 $false -.sym 9727 $abc$56607$n21 -.sym 9728 report_data_radr[0] -.sym 9729 $abc$56607$n742 -.sym 9730 $false -.sym 9733 I2C.received_byte[7] -.sym 9734 $false -.sym 9735 $false -.sym 9736 $false -.sym 9739 I2C.received_byte[4] -.sym 9740 $false -.sym 9741 $false -.sym 9742 $false -.sym 9745 I2C.received_byte[1] -.sym 9746 $false +.sym 9634 $false +.sym 9635 $abc$51270$n2417 +.sym 9636 $abc$51270$n1220 +.sym 9637 $abc$51270$n2519 +.sym 9638 $abc$51270$n2512 +.sym 9639 $abc$51270$n784 +.sym 9640 $abc$51270$n2414 +.sym 9641 $abc$51270$n700 +.sym 9642 $abc$51270$n8 +.sym 9671 $false +.sym 9708 $auto$maccmap.cc:240:synth$10495.C[5] +.sym 9710 $abc$51270$n2414 +.sym 9711 $abc$51270$n2416 +.sym 9714 $auto$maccmap.cc:240:synth$10495.C[6] +.sym 9715 $false +.sym 9716 $abc$51270$n2519 +.sym 9717 $abc$51270$n2521 +.sym 9718 $auto$maccmap.cc:240:synth$10495.C[5] +.sym 9720 $auto$maccmap.cc:240:synth$10495.C[7] +.sym 9721 $false +.sym 9722 ring_wr[3] +.sym 9723 $abc$51270$n2522 +.sym 9724 $auto$maccmap.cc:240:synth$10495.C[6] +.sym 9727 $false +.sym 9728 $false +.sym 9729 $false +.sym 9730 $auto$maccmap.cc:240:synth$10495.C[7] +.sym 9733 $abc$51270$n1216 +.sym 9734 $abc$51270$n2417 +.sym 9735 $abc$51270$n2419 +.sym 9736 $abc$51270$n690 +.sym 9739 wr_cnt[3] +.sym 9740 ring_wr[1] +.sym 9741 ring_wr[3] +.sym 9742 ring_wr[2] +.sym 9745 wr_cnt[3] +.sym 9746 ring_wr[2] .sym 9747 $false .sym 9748 $false -.sym 9751 $false -.sym 9752 $true$2 -.sym 9753 report_data_radr[0] -.sym 9754 $false -.sym 9755 $abc$56607$n1050 +.sym 9751 $abc$51270$n1197 +.sym 9752 $abc$51270$n1924 +.sym 9753 $abc$51270$n1213 +.sym 9754 $abc$51270$n22 +.sym 9755 $abc$51270$n950$2 .sym 9756 CLK$2$2 -.sym 9757 $abc$56607$n21 -.sym 9760 $abc$56607$n1908 -.sym 9761 $abc$56607$n1911 -.sym 9762 $abc$56607$n49 -.sym 9763 $2\ring_wr[3:0][2] -.sym 9764 $abc$56607$n8 -.sym 9838 I2C.received_byte[3] -.sym 9839 $false -.sym 9840 $false -.sym 9841 $false -.sym 9868 $abc$56607$n8 -.sym 9869 $false -.sym 9870 $false -.sym 9871 $false -.sym 9874 $10\ring_rd[3:0][0] -.sym 9875 report_data_radr[1] -.sym 9876 $abc$56607$n21 -.sym 9877 $false -.sym 9878 $abc$56607$n1039 +.sym 9757 $false +.sym 9758 $abc$51270$n1201 +.sym 9759 $abc$51270$n1200_1 +.sym 9760 $abc$51270$n1204 +.sym 9761 $abc$51270$n1205_1 +.sym 9762 $abc$51270$n1203 +.sym 9763 report_data_wadr[1] +.sym 9765 report_data_wadr[2] +.sym 9832 $6\report_data_wadr[7:0][7] +.sym 9833 $abc$51270$n1912 +.sym 9834 $abc$51270$n690 +.sym 9835 $abc$51270$n22 +.sym 9838 $abc$51270$n690 +.sym 9839 $2\ring_wr[3:0][0] +.sym 9840 $2\ring_wr[3:0][2] +.sym 9841 $abc$51270$n1208 +.sym 9844 $false +.sym 9845 init_ram_cnt[6] +.sym 9846 $false +.sym 9847 $auto$alumacc.cc:474:replace_alu$9609.C[6] +.sym 9850 $2\ring_wr[3:0][2] +.sym 9851 $2\ring_wr[3:0][0] +.sym 9852 $false +.sym 9853 $false +.sym 9856 $false +.sym 9857 init_ram_cnt[4] +.sym 9858 $false +.sym 9859 $auto$alumacc.cc:474:replace_alu$9609.C[4] +.sym 9862 $abc$51270$n1197 +.sym 9863 $abc$51270$n1922 +.sym 9864 $abc$51270$n1207 +.sym 9865 $abc$51270$n22 +.sym 9874 $abc$51270$n1197 +.sym 9875 $abc$51270$n1930 +.sym 9876 $abc$51270$n22 +.sym 9877 $abc$51270$n1226 +.sym 9878 $abc$51270$n950$2 .sym 9879 CLK$2$2 .sym 9880 $false -.sym 9881 $abc$56607$n1086 -.sym 9882 $abc$56607$n1089 -.sym 9883 $abc$56607$n765 -.sym 9884 $abc$56607$n1087 -.sym 9885 $abc$56607$n763 -.sym 9886 $abc$56607$n1902 -.sym 9887 $2\ring_wr[3:0][0] -.sym 9888 $abc$56607$n764 -.sym 9961 ring_rd[2] -.sym 9962 $abc$56607$n1817 -.sym 9963 $abc$56607$n763 -.sym 9964 $false -.sym 9973 ring_rd[3] -.sym 9974 $abc$56607$n1820 -.sym 9975 $abc$56607$n763 -.sym 9976 $false -.sym 9985 I2C.SCLF -.sym 9986 $false -.sym 9987 $false -.sym 9988 $false -.sym 10001 $abc$56607$n1511 -.sym 10002 CLK$2$2 -.sym 10003 $abc$56607$n35$2 -.sym 10004 $abc$56607$n1010 -.sym 10007 $abc$56607$n1636 -.sym 10008 $abc$56607$n1512 -.sym 10009 $abc$56607$n2483 -.sym 10010 I2C.FLT_SCL.counter[0] -.sym 10011 I2C.FLT_SCL.counter[1] +.sym 9881 $abc$51270$n731 +.sym 9882 $abc$51270$n1918 +.sym 9883 $abc$51270$n730 +.sym 9884 $abc$51270$n194 +.sym 9885 $abc$51270$n185 +.sym 9886 $13\int_tmr[14:0][0] +.sym 9887 $abc$51270$n188 +.sym 9888 $abc$51270$n2475 +.sym 9917 $true +.sym 9954 init_ram_cnt[1]$3 +.sym 9955 $false +.sym 9956 init_ram_cnt[1] +.sym 9957 $false +.sym 9958 $false +.sym 9960 $auto$alumacc.cc:474:replace_alu$9609.C[3] +.sym 9962 init_ram_cnt[2] +.sym 9963 $true$2 +.sym 9966 $auto$alumacc.cc:474:replace_alu$9609.C[4]$2 +.sym 9967 $false +.sym 9968 init_ram_cnt[3] +.sym 9969 $false +.sym 9970 $auto$alumacc.cc:474:replace_alu$9609.C[3] +.sym 9972 $auto$alumacc.cc:474:replace_alu$9609.C[5]$2 +.sym 9974 init_ram_cnt[4] +.sym 9975 $true$2 +.sym 9976 $auto$alumacc.cc:474:replace_alu$9609.C[4]$2 +.sym 9978 $auto$alumacc.cc:474:replace_alu$9609.C[6]$2 +.sym 9980 init_ram_cnt[5] +.sym 9981 $true$2 +.sym 9982 $auto$alumacc.cc:474:replace_alu$9609.C[5]$2 +.sym 9984 $auto$alumacc.cc:474:replace_alu$9609.C[7] +.sym 9986 init_ram_cnt[6] +.sym 9987 $true$2 +.sym 9988 $auto$alumacc.cc:474:replace_alu$9609.C[6]$2 +.sym 9991 $false +.sym 9992 init_ram_cnt[7] +.sym 9993 $false +.sym 9994 $auto$alumacc.cc:474:replace_alu$9609.C[7] +.sym 9997 $false +.sym 9998 init_ram_cnt[5] +.sym 9999 $false +.sym 10000 $auto$alumacc.cc:474:replace_alu$9609.C[5] +.sym 10011 $abc$51270$n22 .sym 10040 $true -.sym 10077 I2C.FLT_SCL.counter[0]$2 +.sym 10077 init_ram_cnt[0]$2 .sym 10078 $false -.sym 10079 I2C.FLT_SCL.counter[0] +.sym 10079 init_ram_cnt[0] .sym 10080 $false .sym 10081 $false -.sym 10083 $auto$alumacc.cc:470:replace_alu$12158.C[2] -.sym 10085 I2C.FLT_SCL.counter[1] -.sym 10086 $true$2 +.sym 10083 $auto$alumacc.cc:474:replace_alu$9597.C[2] +.sym 10085 $false +.sym 10086 init_ram_cnt[1] +.sym 10089 $auto$alumacc.cc:474:replace_alu$9597.C[3] .sym 10090 $false -.sym 10091 I2C.FLT_SCL.counter[2] -.sym 10092 $true$2 -.sym 10093 $auto$alumacc.cc:470:replace_alu$12158.C[2] -.sym 10096 I2C.FLT_SCL.counter[0] -.sym 10097 I2C.FLT_SCL.counter[1] -.sym 10098 I2C.FLT_SCL.counter[2] -.sym 10099 $false -.sym 10102 $abc$56607$n2484 -.sym 10103 $abc$56607$n1010 -.sym 10104 $false -.sym 10105 $false -.sym 10114 $abc$56607$n1009 -.sym 10115 $abc$56607$n1639 -.sym 10116 $false -.sym 10117 $false -.sym 10120 $abc$56607$n2484 +.sym 10091 $false +.sym 10092 init_ram_cnt[2] +.sym 10093 $auto$alumacc.cc:474:replace_alu$9597.C[2] +.sym 10095 $auto$alumacc.cc:474:replace_alu$9597.C[4] +.sym 10096 $false +.sym 10097 $false +.sym 10098 init_ram_cnt[3] +.sym 10099 $auto$alumacc.cc:474:replace_alu$9597.C[3] +.sym 10101 $auto$alumacc.cc:474:replace_alu$9597.C[5] +.sym 10102 $false +.sym 10103 $false +.sym 10104 init_ram_cnt[4] +.sym 10105 $auto$alumacc.cc:474:replace_alu$9597.C[4] +.sym 10107 $auto$alumacc.cc:474:replace_alu$9597.C[6] +.sym 10108 $false +.sym 10109 $false +.sym 10110 init_ram_cnt[5] +.sym 10111 $auto$alumacc.cc:474:replace_alu$9597.C[5] +.sym 10113 $auto$alumacc.cc:474:replace_alu$9597.C[7] +.sym 10114 $false +.sym 10115 $false +.sym 10116 init_ram_cnt[6] +.sym 10117 $auto$alumacc.cc:474:replace_alu$9597.C[6] +.sym 10120 $false .sym 10121 $false -.sym 10122 $false -.sym 10123 $false -.sym 10124 $abc$56607$n1512 +.sym 10122 init_ram_cnt[7] +.sym 10123 $auto$alumacc.cc:474:replace_alu$9597.C[7] +.sym 10124 $abc$51270$n764 .sym 10125 CLK$2$2 -.sym 10126 $abc$56607$n35$2 -.sym 10226 $abc$56607$n704 -.sym 10227 $abc$56607$n1471 -.sym 10228 $abc$56607$n677 -.sym 10229 $abc$56607$n701 -.sym 10230 $abc$56607$n676 -.sym 10232 $abc$56607$n705 -.sym 10301 $abc$56607$n1344 -.sym 10302 $abc$56607$n697 -.sym 10303 $abc$56607$n706 -.sym 10304 $abc$56607$n1492_1 -.sym 10307 $abc$56607$n702 -.sym 10308 KEYBOARD.COLS_SHADOW[5] -.sym 10309 $false +.sym 10126 $abc$51270$n35$2 +.sym 10226 $abc$51270$n948 +.sym 10227 $abc$51270$n950_1 +.sym 10228 $abc$51270$n947 +.sym 10229 $abc$51270$n946 +.sym 10230 $abc$51270$n1404 +.sym 10231 KEYBOARD.report[6][5] +.sym 10232 KEYBOARD.report[6][7] +.sym 10233 KEYBOARD.report[6][0] +.sym 10301 KEYBOARD.COLS_SHADOW[3] +.sym 10302 $abc$51270$n709 +.sym 10303 $false +.sym 10304 $false +.sym 10307 $abc$51270$n383 +.sym 10308 $abc$51270$n394 +.sym 10309 $abc$51270$n396 .sym 10310 $false -.sym 10313 $abc$56607$n692 -.sym 10314 KEYBOARD.COLS_SHADOW[6] -.sym 10315 $abc$56607$n693 -.sym 10316 $false +.sym 10319 KEYBOARD.COLS_SHADOW[2] +.sym 10320 KBD_COLUMNS[2]$2 +.sym 10321 $abc$51270$n700 +.sym 10322 $false .sym 10325 KEYBOARD.COLS_SHADOW[6] -.sym 10326 $abc$56607$n692 -.sym 10327 $abc$56607$n693 -.sym 10328 $abc$56607$n697 -.sym 10331 $abc$56607$n708 -.sym 10332 KEYBOARD.COLS_SHADOW[4] -.sym 10333 $abc$56607$n706 +.sym 10326 KBD_COLUMNS[6]$2 +.sym 10327 $abc$51270$n700 +.sym 10328 $false +.sym 10331 KEYBOARD.COLS_SHADOW[3] +.sym 10332 KBD_COLUMNS[3]$2 +.sym 10333 $abc$51270$n700 .sym 10334 $false -.sym 10337 $abc$56607$n692 -.sym 10338 KEYBOARD.COLS_SHADOW[6] -.sym 10339 $abc$56607$n693 +.sym 10337 KEYBOARD.COLS_SHADOW[1] +.sym 10338 KBD_COLUMNS[1]$2 +.sym 10339 $abc$51270$n700 .sym 10340 $false -.sym 10343 $abc$56607$n702 -.sym 10344 KEYBOARD.COLS_SHADOW[5] -.sym 10345 $abc$56607$n697 +.sym 10343 $abc$51270$n2249 +.sym 10344 $false +.sym 10345 $false .sym 10346 $false -.sym 10347 $abc$56607$n1484 +.sym 10347 $abc$51270$n1402 .sym 10348 CLK$2$2 -.sym 10349 $abc$56607$n31$2 -.sym 10354 $abc$56607$n718 -.sym 10355 $abc$56607$n715 -.sym 10356 $abc$56607$n721 -.sym 10357 $abc$56607$n725 -.sym 10358 $abc$56607$n1350 -.sym 10359 $abc$56607$n720 -.sym 10360 $abc$56607$n716 -.sym 10361 $abc$56607$n27 -.sym 10464 $abc$56607$n722 -.sym 10465 KEYBOARD.COLS_SHADOW[2] -.sym 10466 $abc$56607$n723 +.sym 10349 $false +.sym 10354 $abc$51270$n955 +.sym 10355 $abc$51270$n1430 +.sym 10356 $abc$51270$n1429 +.sym 10357 $abc$51270$n953 +.sym 10358 $abc$51270$n949 +.sym 10359 $abc$51270$n1012 +.sym 10360 $abc$51270$n954 +.sym 10361 KEYBOARD.report[6][2] +.sym 10464 I2C.FLT_SDA.counter[0] +.sym 10465 I2C.FLT_SDA.counter[1] +.sym 10466 $false .sym 10467 $false -.sym 10470 $abc$56607$n717 -.sym 10471 KEYBOARD.COLS_SHADOW[1] -.sym 10472 $abc$56607$n709 +.sym 10470 $abc$51270$n1011 +.sym 10471 $abc$51270$n1632 +.sym 10472 $false .sym 10473 $false -.sym 10476 $abc$56607$n691 -.sym 10477 $abc$56607$n708 -.sym 10478 KEYBOARD.COLS_SHADOW[4] -.sym 10479 $abc$56607$n706 -.sym 10482 $abc$56607$n1350 -.sym 10483 $abc$56607$n709 -.sym 10484 $abc$56607$n1347 +.sym 10476 $abc$51270$n383 +.sym 10477 $abc$51270$n394 +.sym 10478 $abc$51270$n396 +.sym 10479 $false +.sym 10482 I2C.FLT_SDA.counter[0] +.sym 10483 I2C.FLT_SDA.counter[1] +.sym 10484 I2C.FLT_SDA.counter[2] .sym 10485 $false -.sym 10488 $abc$56607$n723 -.sym 10489 $abc$56607$n1349 -.sym 10490 $abc$56607$n1490_1 -.sym 10491 $abc$56607$n1535_1 -.sym 10494 $abc$56607$n1343 -.sym 10495 $abc$56607$n678 -.sym 10496 $abc$56607$n691 -.sym 10497 $abc$56607$n2273 -.sym 10500 $abc$56607$n709 -.sym 10501 $abc$56607$n1343 -.sym 10502 $abc$56607$n1347 -.sym 10503 $abc$56607$n1535_1 -.sym 10506 $abc$56607$n723 -.sym 10507 $abc$56607$n1341 -.sym 10508 $abc$56607$n1490_1 -.sym 10509 $abc$56607$n1535_1 -.sym 10510 $abc$56607$n1490$2 +.sym 10488 $false +.sym 10489 I2C.FLT_SDA.counter[0] +.sym 10490 $false +.sym 10491 $false +.sym 10494 $abc$51270$n2333 +.sym 10495 $false +.sym 10496 $false +.sym 10497 $false +.sym 10500 $abc$51270$n1011 +.sym 10501 $abc$51270$n1629 +.sym 10502 $false +.sym 10503 $false +.sym 10506 $abc$51270$n2334 +.sym 10507 $false +.sym 10508 $false +.sym 10509 $false +.sym 10510 $abc$51270$n1430 .sym 10511 CLK$2$2 -.sym 10512 $false -.sym 10513 $abc$56607$n728 -.sym 10514 $abc$56607$n1535_1 -.sym 10515 $abc$56607$n714 -.sym 10516 $abc$56607$n678 -.sym 10517 KEYBOARD.temp[3] -.sym 10518 KEYBOARD.temp[1] -.sym 10519 KEYBOARD.temp[7] -.sym 10520 KEYBOARD.temp[0] -.sym 10587 KEYBOARD.RAM.r_data[5] -.sym 10588 KEYBOARD.temp[5] -.sym 10589 $abc$56607$n703 -.sym 10590 $false -.sym 10593 KEYBOARD.RAM.r_data[0] -.sym 10594 KEYBOARD.temp[0] -.sym 10595 $abc$56607$n703 -.sym 10596 $false -.sym 10599 $abc$56607$n686 -.sym 10600 $abc$56607$n690 +.sym 10512 $abc$51270$n35$2 +.sym 10513 $abc$51270$n1166 +.sym 10514 $abc$51270$n972 +.sym 10515 $abc$51270$n976 +.sym 10516 $abc$51270$n974_1 +.sym 10517 $abc$51270$n916 +.sym 10518 $abc$51270$n973 +.sym 10519 $abc$51270$n1183 +.sym 10520 KEYBOARD.report[3][6] +.sym 10587 KEYBOARD.kbd_code_hid[0] +.sym 10588 KEYBOARD.report[3][0] +.sym 10589 KEYBOARD.kbd_code_hid[7] +.sym 10590 KEYBOARD.report[3][7] +.sym 10593 KEYBOARD.report[3][0] +.sym 10594 KEYBOARD.report[3][1] +.sym 10595 KEYBOARD.report[3][2] +.sym 10596 KEYBOARD.report[3][3] +.sym 10599 $abc$51270$n27 +.sym 10600 KEYBOARD.kbd_code_hid[3] .sym 10601 $false .sym 10602 $false -.sym 10605 KEYBOARD.RAM.r_data[6] -.sym 10606 KEYBOARD.temp[6] -.sym 10607 $abc$56607$n686 -.sym 10608 $abc$56607$n690 -.sym 10611 KEYBOARD.RAM.r_data[7] -.sym 10612 KEYBOARD.temp[7] -.sym 10613 $abc$56607$n686 -.sym 10614 $abc$56607$n690 -.sym 10617 KEYBOARD.RAM.r_data[4] -.sym 10618 KEYBOARD.temp[4] -.sym 10619 $abc$56607$n686 -.sym 10620 $abc$56607$n690 -.sym 10623 $abc$56607$n722 -.sym 10624 KEYBOARD.COLS_SHADOW[2] -.sym 10625 $abc$56607$n723 +.sym 10605 KEYBOARD.kbd_code_hid[1] +.sym 10606 KEYBOARD.report[3][1] +.sym 10607 KEYBOARD.kbd_code_hid[2] +.sym 10608 KEYBOARD.report[3][2] +.sym 10611 KEYBOARD.report[6][2] +.sym 10612 $abc$51270$n1124 +.sym 10613 $abc$51270$n1123 +.sym 10614 KEYBOARD.report[3][2] +.sym 10617 KEYBOARD.report[6][0] +.sym 10618 $abc$51270$n1124 +.sym 10619 $abc$51270$n1123 +.sym 10620 KEYBOARD.report[3][0] +.sym 10623 $abc$51270$n2311 +.sym 10624 $false +.sym 10625 $false .sym 10626 $false -.sym 10629 KEYBOARD.COLS_SHADOW[4] -.sym 10630 $abc$56607$n708 -.sym 10631 $abc$56607$n706 +.sym 10629 $abc$51270$n2318 +.sym 10630 $false +.sym 10631 $false .sym 10632 $false -.sym 10633 $abc$56607$n1484 +.sym 10633 $abc$51270$n1354 .sym 10634 CLK$2$2 -.sym 10635 $abc$56607$n31$2 -.sym 10636 $abc$56607$n1367 -.sym 10637 $abc$56607$n1484 -.sym 10638 $abc$56607$n1373 -.sym 10641 KEYBOARD.report[0][4] -.sym 10642 KEYBOARD.report[0][7] -.sym 10643 KEYBOARD.report[0][1] -.sym 10710 KEYBOARD.RAM.r_data[2] -.sym 10711 KEYBOARD.temp[2] -.sym 10712 $abc$56607$n703 -.sym 10713 $false -.sym 10716 $abc$56607$n1268_1 -.sym 10717 $abc$56607$n1249 -.sym 10718 $false -.sym 10719 $false -.sym 10722 KEYBOARD.RAM.r_data[3] -.sym 10723 KEYBOARD.temp[3] -.sym 10724 $abc$56607$n703 -.sym 10725 $false -.sym 10728 $abc$56607$n33$2 -.sym 10729 $abc$56607$n994 -.sym 10730 $abc$56607$n1490$2 -.sym 10731 I2C.FLT_SCL.RESET -.sym 10734 KEYBOARD.RAM.r_data[1] -.sym 10735 KEYBOARD.temp[1] -.sym 10736 $abc$56607$n703 +.sym 10635 $abc$51270$n35$2 +.sym 10636 $abc$51270$n1153 +.sym 10637 $abc$51270$n1501 +.sym 10638 $abc$51270$n909 +.sym 10639 $abc$51270$n984 +.sym 10640 $abc$51270$n1152_1 +.sym 10641 $abc$51270$n1136 +.sym 10642 KEYBOARD.report[2][1] +.sym 10643 KEYBOARD.report[2][0] +.sym 10710 $abc$51270$n931 +.sym 10711 $abc$51270$n932 +.sym 10712 $abc$51270$n933 +.sym 10713 $abc$51270$n934_1 +.sym 10716 KEYBOARD.kbd_code_hid[3] +.sym 10717 KEYBOARD.report[5][3] +.sym 10718 KEYBOARD.kbd_code_hid[7] +.sym 10719 KEYBOARD.report[5][7] +.sym 10722 KEYBOARD.report[5][4] +.sym 10723 KEYBOARD.report[5][5] +.sym 10724 KEYBOARD.report[5][6] +.sym 10725 KEYBOARD.report[5][7] +.sym 10728 KEYBOARD.report[5][0] +.sym 10729 KEYBOARD.report[5][1] +.sym 10730 KEYBOARD.report[5][2] +.sym 10731 KEYBOARD.report[5][3] +.sym 10734 $abc$51270$n927 +.sym 10735 $abc$51270$n928 +.sym 10736 $false .sym 10737 $false -.sym 10740 $abc$56607$n1271 -.sym 10741 $abc$56607$n1282 -.sym 10742 $false -.sym 10743 $false -.sym 10746 $abc$56607$n923 -.sym 10747 $abc$56607$n994 -.sym 10748 $false -.sym 10749 $false -.sym 10756 $abc$56607$n1470 +.sym 10740 KEYBOARD.kbd_code_hid[0] +.sym 10741 KEYBOARD.report[5][0] +.sym 10742 KEYBOARD.kbd_code_hid[6] +.sym 10743 KEYBOARD.report[5][6] +.sym 10746 KEYBOARD.kbd_code_hid[1] +.sym 10747 KEYBOARD.report[5][1] +.sym 10748 KEYBOARD.kbd_code_hid[2] +.sym 10749 KEYBOARD.report[5][2] +.sym 10752 $abc$51270$n1001 +.sym 10753 KEYBOARD.ram_wr +.sym 10754 $abc$51270$n29 +.sym 10755 $abc$51270$n704 +.sym 10756 $abc$51270$n1320 .sym 10757 CLK$2$2 -.sym 10758 $abc$56607$n31$2 -.sym 10759 $abc$56607$n959 -.sym 10760 $abc$56607$n927 -.sym 10761 $abc$56607$n915 -.sym 10762 $abc$56607$n1369 -.sym 10763 $abc$56607$n1371 -.sym 10764 KEYBOARD.report[0][0] -.sym 10765 KEYBOARD.report[0][2] -.sym 10766 KEYBOARD.report[0][3] -.sym 10833 $abc$56607$n1282 -.sym 10834 $abc$56607$n874 -.sym 10835 I2C.i2c_state_machine -.sym 10836 $abc$56607$n1272 -.sym 10839 $abc$56607$n1271 -.sym 10840 $abc$56607$n1268_1 -.sym 10841 $abc$56607$n1249 -.sym 10842 $false -.sym 10845 $abc$56607$n1249 -.sym 10846 $abc$56607$n1271 -.sym 10847 $abc$56607$n1268_1 +.sym 10758 $abc$51270$n33$2 +.sym 10759 $abc$51270$n1165 +.sym 10760 $abc$51270$n1162 +.sym 10761 $abc$51270$n1135 +.sym 10762 $abc$51270$n1469 +.sym 10763 $abc$51270$n1473 +.sym 10764 $abc$51270$n1181 +.sym 10765 $abc$51270$n1163_1 +.sym 10766 KEYBOARD.report[4][7] +.sym 10833 KEYBOARD.report[6][5] +.sym 10834 $abc$51270$n1124 +.sym 10835 $abc$51270$n1173 +.sym 10836 $abc$51270$n1175 +.sym 10839 KEYBOARD.report[3][1] +.sym 10840 $abc$51270$n1123 +.sym 10841 $abc$51270$n1120 +.sym 10842 $abc$51270$n1134 +.sym 10845 $abc$51270$n1121 +.sym 10846 KEYBOARD.report[4][5] +.sym 10847 $false .sym 10848 $false -.sym 10851 I2C.FLT_SCL.out -.sym 10852 I2C.FLT_SDA.out -.sym 10853 $abc$56607$n14 -.sym 10854 I2C.SDA_DIR -.sym 10857 $abc$56607$n1272 -.sym 10858 $abc$56607$n1270 -.sym 10859 $abc$56607$n1261 -.sym 10860 $abc$56607$n1269 -.sym 10863 $abc$56607$n1269 -.sym 10864 $abc$56607$n1282 -.sym 10865 $abc$56607$n1314 -.sym 10866 $abc$56607$n1273 -.sym 10869 I2C.FLT_SDA.out +.sym 10851 KEYBOARD.report[3][5] +.sym 10852 $abc$51270$n1123 +.sym 10853 $abc$51270$n1176 +.sym 10854 $abc$51270$n1120 +.sym 10857 $abc$51270$n253 +.sym 10858 wr_cnt[0] +.sym 10859 wr_cnt[1] +.sym 10860 $abc$51270$n260 +.sym 10863 $abc$51270$n260 +.sym 10864 wr_cnt[0] +.sym 10865 wr_cnt[1] +.sym 10866 $abc$51270$n253 +.sym 10869 $abc$51270$n2318 .sym 10870 $false .sym 10871 $false .sym 10872 $false -.sym 10875 $abc$56607$n1260 -.sym 10876 $abc$56607$n1273 -.sym 10877 $abc$56607$n1281 +.sym 10875 $abc$51270$n2312 +.sym 10876 $false +.sym 10877 $false .sym 10878 $false -.sym 10879 $true +.sym 10879 $abc$51270$n1258 .sym 10880 CLK$2$2 -.sym 10881 $false -.sym 10882 $abc$56607$n997 -.sym 10883 $abc$56607$n1457 -.sym 10884 $abc$56607$n943 -.sym 10885 $abc$56607$n922 -.sym 10886 $abc$56607$n920 -.sym 10887 $abc$56607$n921 -.sym 10888 $abc$56607$n1490 -.sym 10889 KEYBOARD.report[6][2] -.sym 10956 $abc$56607$n1280 -.sym 10957 $abc$56607$n1487 -.sym 10958 $abc$56607$n877 -.sym 10959 I2C.received_byte[0] -.sym 10962 $abc$56607$n875 -.sym 10963 $abc$56607$n877 -.sym 10964 $false -.sym 10965 $false -.sym 10968 $abc$56607$n1285 -.sym 10969 $abc$56607$n1286 -.sym 10970 $abc$56607$n1269 -.sym 10971 $false -.sym 10974 $abc$56607$n1278_1 -.sym 10975 $abc$56607$n1487 -.sym 10976 $false -.sym 10977 $false -.sym 10980 $abc$56607$n33$2 -.sym 10981 $abc$56607$n1490$2 -.sym 10982 $abc$56607$n1484 -.sym 10983 $false -.sym 10986 $abc$56607$n1274 -.sym 10987 $abc$56607$n874 -.sym 10988 $abc$56607$n1280 -.sym 10989 $false -.sym 10992 $abc$56607$n14 -.sym 10993 I2C.FLT_SCL.out -.sym 10994 I2C.SDA_DIR -.sym 10995 I2C.FLT_SDA.out -.sym 10998 $abc$56607$n1280 -.sym 10999 $abc$56607$n1274 -.sym 11000 $abc$56607$n874 -.sym 11001 $abc$56607$n1284 -.sym 11002 $true +.sym 10881 $abc$51270$n35$2 +.sym 10882 $abc$51270$n1180 +.sym 10883 $abc$51270$n1154 +.sym 10884 $abc$51270$n1470_1 +.sym 10885 $abc$51270$n1167 +.sym 10886 $abc$51270$n1185 +.sym 10887 $abc$51270$n1151 +.sym 10888 $abc$51270$n1502_1 +.sym 10889 KEYBOARD.report[4][3] +.sym 10956 KEYBOARD.kbd_code_hid[1] +.sym 10957 KEYBOARD.report[1][1] +.sym 10958 KEYBOARD.kbd_code_hid[7] +.sym 10959 KEYBOARD.report[1][7] +.sym 10962 $abc$51270$n1114 +.sym 10963 $abc$51270$n1500_1 +.sym 10964 $abc$51270$n1120 +.sym 10965 $abc$51270$n1502_1 +.sym 10968 $abc$51270$n1492 +.sym 10969 $abc$51270$n1493_1 +.sym 10970 $abc$51270$n994 +.sym 10971 $abc$51270$n1463 +.sym 10974 KEYBOARD.report[4][1] +.sym 10975 $abc$51270$n1121 +.sym 10976 $abc$51270$n1117_1 +.sym 10977 KEYBOARD.report[1][1] +.sym 10980 KEYBOARD.kbd_code_hid[3] +.sym 10981 KEYBOARD.report[1][3] +.sym 10982 KEYBOARD.kbd_code_hid[4] +.sym 10983 KEYBOARD.report[1][4] +.sym 10986 KEYBOARD.report[1][4] +.sym 10987 KEYBOARD.report[1][5] +.sym 10988 KEYBOARD.report[1][6] +.sym 10989 KEYBOARD.report[1][7] +.sym 10992 KEYBOARD.report[5][7] +.sym 10993 KEYBOARD.report[1][7] +.sym 10994 $abc$51270$n1120 +.sym 10995 $abc$51270$n260 +.sym 10998 $abc$51270$n2318 +.sym 10999 $false +.sym 11000 $false +.sym 11001 $false +.sym 11002 $abc$51270$n1374 .sym 11003 CLK$2$2 -.sym 11004 $abc$56607$n35$2 -.sym 11005 $abc$56607$n1483_1 -.sym 11007 $abc$56607$n1482 -.sym 11008 $abc$56607$n1148 -.sym 11009 $abc$56607$n1177 -.sym 11011 $abc$56607$n1484_1 -.sym 11012 I2C.is_read -.sym 11079 UART.tx_bit_counter[3] -.sym 11080 $abc$56607$n899 +.sym 11004 $abc$51270$n35$2 +.sym 11005 $abc$51270$n1127 +.sym 11006 $abc$51270$n1150 +.sym 11007 $abc$51270$n269 +.sym 11008 $abc$51270$n1155 +.sym 11009 $abc$51270$n1352 +.sym 11010 $abc$51270$n1128 +.sym 11011 KEYBOARD.report[0][3] +.sym 11012 KEYBOARD.report[0][0] +.sym 11079 $abc$51270$n905 +.sym 11080 $abc$51270$n906 .sym 11081 $false .sym 11082 $false -.sym 11085 UART.tx_bit_counter[0] -.sym 11086 UART.tx_bit_counter[1] -.sym 11087 UART.tx_bit_counter[2] -.sym 11088 UART.tx_activity -.sym 11091 UART.tx_activity -.sym 11092 $false -.sym 11093 $false -.sym 11094 $false -.sym 11097 $false -.sym 11098 UART.tx_bit_counter[0] -.sym 11099 $false -.sym 11100 $true$2 -.sym 11103 $abc$56607$n2603 -.sym 11104 $false -.sym 11105 $false +.sym 11085 KEYBOARD.kbd_code_hid[0] +.sym 11086 KEYBOARD.report[1][0] +.sym 11087 KEYBOARD.kbd_code_hid[2] +.sym 11088 KEYBOARD.report[1][2] +.sym 11091 KEYBOARD.report[1][0] +.sym 11092 KEYBOARD.report[1][1] +.sym 11093 KEYBOARD.report[1][2] +.sym 11094 KEYBOARD.report[1][3] +.sym 11097 KEYBOARD.report[0][1] +.sym 11098 $abc$51270$n1118 +.sym 11099 $abc$51270$n1133 +.sym 11100 $abc$51270$n1135 +.sym 11103 KEYBOARD.report[0][4] +.sym 11104 KEYBOARD.report[1][4] +.sym 11105 $abc$51270$n1128 .sym 11106 $false -.sym 11109 $abc$56607$n2605 -.sym 11110 $false -.sym 11111 $false -.sym 11112 $false -.sym 11115 $abc$56607$n2519 -.sym 11116 $false -.sym 11117 $false +.sym 11109 KEYBOARD.report[0][1] +.sym 11110 $abc$51270$n1128 +.sym 11111 KEYBOARD.report[1][1] +.sym 11112 $abc$51270$n1127 +.sym 11115 I2C.received_byte[2] +.sym 11116 $abc$51270$n1048 +.sym 11117 I2C.is_read .sym 11118 $false -.sym 11121 $abc$56607$n2518 -.sym 11122 $false -.sym 11123 $false +.sym 11121 I2C.received_byte[6] +.sym 11122 $abc$51270$n1056 +.sym 11123 I2C.is_read .sym 11124 $false -.sym 11125 $abc$56607$n1320 +.sym 11125 $abc$51270$n799 .sym 11126 CLK$2$2 -.sym 11127 $abc$56607$n25 -.sym 11128 $abc$56607$n1533 -.sym 11129 $abc$56607$n1525 -.sym 11130 $abc$56607$n1519 -.sym 11131 $abc$56607$n1546 -.sym 11132 $abc$56607$n1520_1 -.sym 11133 $abc$56607$n1526_1 -.sym 11135 I2C.received_byte[5] -.sym 11202 $abc$56607$n887 -.sym 11203 $abc$56607$n885 -.sym 11204 $false +.sym 11127 $abc$51270$n19 +.sym 11128 $abc$51270$n685 +.sym 11129 $abc$51270$n1052 +.sym 11130 $abc$51270$n1354_1 +.sym 11131 $abc$51270$n2311 +.sym 11132 $abc$51270$n684 +.sym 11133 $abc$51270$n682 +.sym 11134 $abc$51270$n1348 +.sym 11135 I2C_HID_DESC.real_adress[3] +.sym 11202 UART_TX_DATA[6] +.sym 11203 UART_TX_DATA[4] +.sym 11204 $abc$51270$n680 .sym 11205 $false -.sym 11208 $abc$56607$n880 -.sym 11209 $abc$56607$n358 -.sym 11210 $false -.sym 11211 $false -.sym 11214 $abc$56607$n872 -.sym 11215 $abc$56607$n885 -.sym 11216 $false +.sym 11208 $abc$51270$n1128 +.sym 11209 $abc$51270$n1118 +.sym 11210 $abc$51270$n1120 +.sym 11211 KEYBOARD.report[0][7] +.sym 11214 KEYBOARD.report[0][2] +.sym 11215 $abc$51270$n27 +.sym 11216 $abc$51270$n1350 .sym 11217 $false -.sym 11226 $abc$56607$n887 -.sym 11227 $abc$56607$n882 -.sym 11228 $false +.sym 11220 KEYBOARD.report[0][6] +.sym 11221 $abc$51270$n27 +.sym 11222 $abc$51270$n1358 +.sym 11223 $false +.sym 11226 KEYBOARD.report[0][5] +.sym 11227 $abc$51270$n27 +.sym 11228 $abc$51270$n1356 .sym 11229 $false -.sym 11232 $abc$56607$n880 -.sym 11233 $abc$56607$n358 -.sym 11234 $false +.sym 11232 KEYBOARD.report[0][1] +.sym 11233 $abc$51270$n27 +.sym 11234 $abc$51270$n1348 .sym 11235 $false -.sym 11238 $abc$56607$n880 -.sym 11239 $abc$56607$n358 -.sym 11240 $abc$56607$n887 +.sym 11238 KEYBOARD.report[0][7] +.sym 11239 $abc$51270$n27 +.sym 11240 $abc$51270$n1360 .sym 11241 $false -.sym 11244 I2C.FLT_SDA.out -.sym 11245 $false -.sym 11246 $false +.sym 11244 KEYBOARD.report[0][4] +.sym 11245 $abc$51270$n27 +.sym 11246 $abc$51270$n1354_1 .sym 11247 $false -.sym 11248 $abc$56607$n1297 +.sym 11248 $abc$51270$n1381 .sym 11249 CLK$2$2 -.sym 11250 $false -.sym 11251 $abc$56607$n1315 -.sym 11253 $abc$56607$n1278_1 -.sym 11254 $abc$56607$n1279 -.sym 11255 $abc$56607$n1320 -.sym 11256 $abc$56607$n1129 -.sym 11257 $abc$56607$n23 -.sym 11258 I2C.received_byte[7] -.sym 11325 $abc$56607$n1132 -.sym 11326 $abc$56607$n670 -.sym 11327 $false +.sym 11250 $abc$51270$n35$2 +.sym 11251 $abc$51270$n768 +.sym 11255 $abc$51270$n1044 +.sym 11256 $abc$51270$n739 +.sym 11257 $abc$51270$n19 +.sym 11258 last_wr +.sym 11325 $abc$51270$n1120 +.sym 11326 $abc$51270$n1170 +.sym 11327 $abc$51270$n1129 .sym 11328 $false -.sym 11331 $abc$56607$n1526_1 -.sym 11332 $abc$56607$n1525 -.sym 11333 $abc$56607$n1116 -.sym 11334 $false -.sym 11337 $abc$56607$n1520_1 -.sym 11338 $abc$56607$n1519 -.sym 11339 $abc$56607$n1116 +.sym 11331 $abc$51270$n1128 +.sym 11332 $abc$51270$n1118 +.sym 11333 $abc$51270$n1120 +.sym 11334 KEYBOARD.report[0][6] +.sym 11337 KEYBOARD.report[0][5] +.sym 11338 KEYBOARD.report[1][5] +.sym 11339 $abc$51270$n1128 .sym 11340 $false -.sym 11343 $abc$56607$n1484_1 -.sym 11344 $abc$56607$n1177 -.sym 11345 $abc$56607$n1129 -.sym 11346 $false -.sym 11349 $abc$56607$n1524_1 -.sym 11350 $abc$56607$n1130 -.sym 11351 $abc$56607$n1483_1 -.sym 11352 $abc$56607$n670 -.sym 11355 $abc$56607$n1485 -.sym 11356 $abc$56607$n1188 -.sym 11357 $abc$56607$n1129 -.sym 11358 $false -.sym 11361 $abc$56607$n1129 -.sym 11362 $abc$56607$n1546 -.sym 11363 $abc$56607$n1533 -.sym 11364 $abc$56607$n1116 -.sym 11367 $abc$56607$n1148 -.sym 11368 $abc$56607$n1482 -.sym 11369 $abc$56607$n1116 -.sym 11370 $abc$56607$n1129 -.sym 11371 $abc$56607$n1018$2 +.sym 11343 $abc$51270$n1118 +.sym 11344 KEYBOARD.report[0][5] +.sym 11345 $abc$51270$n1172 +.sym 11346 $abc$51270$n1169 +.sym 11349 $abc$51270$n1475_1 +.sym 11350 $abc$51270$n1503_1 +.sym 11351 $abc$51270$n1129 +.sym 11352 $false +.sym 11355 $abc$51270$n1120 +.sym 11356 $abc$51270$n1159_1 +.sym 11357 $abc$51270$n1162 +.sym 11358 $abc$51270$n1129 +.sym 11361 $abc$51270$n1130 +.sym 11362 $abc$51270$n1155 +.sym 11363 $abc$51270$n1150 +.sym 11364 $abc$51270$n690 +.sym 11367 $abc$51270$n1471 +.sym 11368 $abc$51270$n1470_1 +.sym 11369 $abc$51270$n1129 +.sym 11370 $false +.sym 11371 $abc$51270$n950$2 .sym 11372 CLK$2$2 -.sym 11373 $abc$56607$n23 -.sym 11374 $abc$56607$n1536_1 -.sym 11375 $abc$56607$n1544_1 -.sym 11376 $abc$56607$n1542 -.sym 11377 $abc$56607$n812 -.sym 11378 $abc$56607$n833 -.sym 11379 $abc$56607$n1543 -.sym 11380 $abc$56607$n816_1 -.sym 11381 I2C.received_byte[3] -.sym 11448 $abc$56607$n1478 -.sym 11449 $abc$56607$n1095 -.sym 11450 I2C_OUTPUT_TYPE[2] -.sym 11451 $false +.sym 11373 $abc$51270$n23$2 +.sym 11374 $abc$51270$n950 +.sym 11375 $abc$51270$n776 +.sym 11376 $abc$51270$n1099 +.sym 11377 $abc$51270$n1149 +.sym 11378 $abc$51270$n1096 +.sym 11379 $abc$51270$n945 +.sym 11380 $abc$51270$n1098 +.sym 11381 report_wr_en +.sym 11448 i2c_input_data_type[0] +.sym 11449 $abc$51270$n860 +.sym 11450 $abc$51270$n1096 +.sym 11451 I2C_OUTPUT_TYPE[2] .sym 11454 I2C_OUTPUT_TYPE[0] -.sym 11455 $abc$56607$n1478 -.sym 11456 $abc$56607$n1096 -.sym 11457 $abc$56607$n1095 -.sym 11460 I2C_OUTPUT_TYPE[2] -.sym 11461 I2C_OUTPUT_TYPE[1] -.sym 11462 I2C_OUTPUT_TYPE[0] -.sym 11463 $false -.sym 11466 $abc$56607$n841 -.sym 11467 $abc$56607$n809 -.sym 11468 I2C.FLT_SCL.RESET -.sym 11469 $abc$56607$n815_1 -.sym 11472 I2C_OUTPUT_TYPE[1] -.sym 11473 $abc$56607$n1478 -.sym 11474 $abc$56607$n1095 -.sym 11475 $abc$56607$n1096 -.sym 11478 $abc$56607$n1479 -.sym 11479 $abc$56607$n1093 -.sym 11480 $abc$56607$n1097 -.sym 11481 $abc$56607$n1099_1 -.sym 11484 $abc$56607$n1479 -.sym 11485 $abc$56607$n1099_1 +.sym 11455 $abc$51270$n860 +.sym 11456 $abc$51270$n847 +.sym 11457 $abc$51270$n1096 +.sym 11460 $abc$51270$n1100 +.sym 11461 $abc$51270$n1095 +.sym 11462 $abc$51270$n1098 +.sym 11463 $abc$51270$n1102 +.sym 11466 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 11467 $false +.sym 11468 $false +.sym 11469 $false +.sym 11472 $abc$51270$n1098 +.sym 11473 $abc$51270$n1102 +.sym 11474 $false +.sym 11475 $false +.sym 11478 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 11479 $false +.sym 11480 $false +.sym 11481 $false +.sym 11484 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 11485 $false .sym 11486 $false .sym 11487 $false -.sym 11490 $abc$56607$n1097 -.sym 11491 $abc$56607$n1099_1 +.sym 11490 $abc$51270$n1100 +.sym 11491 $abc$51270$n1102 .sym 11492 $false .sym 11493 $false -.sym 11494 $abc$56607$n1191 +.sym 11494 $abc$51270$n1119 .sym 11495 CLK$2$2 -.sym 11496 $abc$56607$n35$2 -.sym 11497 $abc$56607$n1081 -.sym 11498 $abc$56607$n1541_1 -.sym 11499 $abc$56607$n1082 -.sym 11500 $abc$56607$n1078 -.sym 11501 $abc$56607$n835 -.sym 11502 $abc$56607$n834 -.sym 11503 $abc$56607$n1074 -.sym 11504 $abc$56607$n1079 -.sym 11571 I2C.received_byte[7] -.sym 11572 I2C.received_byte[6] +.sym 11496 $abc$51270$n35$2 +.sym 11497 $abc$51270$n1119 +.sym 11498 $abc$51270$n778 +.sym 11499 $abc$51270$n780 +.sym 11500 $abc$51270$n779 +.sym 11501 $abc$51270$n921 +.sym 11502 $abc$51270$n795 +.sym 11503 $abc$51270$n755 +.sym 11504 $abc$51270$n842_1 +.sym 11571 last_uart_active +.sym 11572 UART.tx_activity .sym 11573 $false .sym 11574 $false -.sym 11577 $abc$56607$n826 -.sym 11578 $abc$56607$n822 -.sym 11579 $abc$56607$n829 -.sym 11580 $abc$56607$n809 -.sym 11583 I2C_INPUT_LEN[1] -.sym 11584 $abc$56607$n854 -.sym 11585 I2C_INPUT_LEN[0] -.sym 11586 $abc$56607$n815_1 -.sym 11589 I2C_INPUT_LEN[1] -.sym 11590 I2C_INPUT_LEN[0] -.sym 11591 $abc$56607$n854 -.sym 11592 $abc$56607$n822 -.sym 11595 $abc$56607$n815_1 -.sym 11596 $abc$56607$n857 -.sym 11597 $abc$56607$n2577 -.sym 11598 $abc$56607$n860 -.sym 11601 $abc$56607$n809 -.sym 11602 $abc$56607$n1511_1 -.sym 11603 $abc$56607$n856 -.sym 11604 $abc$56607$n1512_1 -.sym 11607 $false -.sym 11608 I2C.byte_counter[0] +.sym 11577 $abc$51270$n690 +.sym 11578 $abc$51270$n22 +.sym 11579 $false +.sym 11580 $false +.sym 11583 $abc$51270$n738 +.sym 11584 $abc$51270$n728 +.sym 11585 $abc$51270$n758 +.sym 11586 $false +.sym 11589 $abc$51270$n728 +.sym 11590 $abc$51270$n22 +.sym 11591 $false +.sym 11592 $false +.sym 11595 wr_cnt[0] +.sym 11596 wr_cnt[1] +.sym 11597 wr_cnt[2] +.sym 11598 wr_cnt[3] +.sym 11601 I2C_OUTPUT_TYPE[2] +.sym 11602 I2C_OUTPUT_TYPE[1] +.sym 11603 I2C_OUTPUT_TYPE[0] +.sym 11604 $false +.sym 11607 $abc$51270$n690 +.sym 11608 I2C.is_read .sym 11609 $false -.sym 11610 $true$2 -.sym 11613 I2C.byte_counter[0] -.sym 11614 I2C.byte_counter[1] +.sym 11610 $false +.sym 11613 $abc$51270$n1095 +.sym 11614 $abc$51270$n1102 .sym 11615 $false .sym 11616 $false -.sym 11617 $abc$56607$n1180 +.sym 11617 $abc$51270$n1119 .sym 11618 CLK$2$2 -.sym 11619 $abc$56607$n35$2 -.sym 11620 $abc$56607$n1072 -.sym 11621 $abc$56607$n836 -.sym 11622 $abc$56607$n741 -.sym 11623 $abc$56607$n1084 -.sym 11624 $abc$56607$n776 -.sym 11625 $abc$56607$n888 -.sym 11626 $abc$56607$n1155 -.sym 11627 report_wr_en -.sym 11694 $abc$56607$n830 -.sym 11695 I2C.received_byte[2] -.sym 11696 $abc$56607$n827 +.sym 11619 $abc$51270$n35$2 +.sym 11620 $abc$51270$n1168 +.sym 11621 $abc$51270$n773 +.sym 11622 $abc$51270$n775 +.sym 11623 $abc$51270$n772 +.sym 11624 $abc$51270$n799 +.sym 11625 $abc$51270$n771 +.sym 11626 $abc$51270$n774 +.sym 11627 uart_double_ff +.sym 11694 UART.tx_activity +.sym 11695 last_uart_active +.sym 11696 $false .sym 11697 $false -.sym 11700 I2C.received_byte[3] -.sym 11701 I2C.received_byte[4] -.sym 11702 I2C.received_byte[5] -.sym 11703 $abc$56607$n828 -.sym 11706 $abc$56607$n855 -.sym 11707 $abc$56607$n861 -.sym 11708 $abc$56607$n853 -.sym 11709 i2c_input_data_type[0] -.sym 11712 I2C.received_byte[1] -.sym 11713 I2C.received_byte[2] -.sym 11714 $abc$56607$n827 -.sym 11715 I2C.received_byte[0] -.sym 11718 I2C.received_byte[0] -.sym 11719 I2C.received_byte[1] -.sym 11720 i2c_input_data_type[0] +.sym 11700 $abc$51270$n743 +.sym 11701 $abc$51270$n771 +.sym 11702 $abc$51270$n776 +.sym 11703 $abc$51270$n773 +.sym 11706 UART_WR +.sym 11707 $abc$51270$n747 +.sym 11708 $abc$51270$n763 +.sym 11709 $abc$51270$n761 +.sym 11712 I2C_TRANS +.sym 11713 $abc$51270$n748 +.sym 11714 $abc$51270$n753 +.sym 11715 $false +.sym 11718 $2\UART_WR[0:0] +.sym 11719 $abc$51270$n687 +.sym 11720 $false .sym 11721 $false -.sym 11724 I2C.received_byte[0] -.sym 11725 $abc$56607$n827 -.sym 11726 I2C.received_byte[1] -.sym 11727 I2C.received_byte[2] -.sym 11730 I2C_INPUT_LEN[0] -.sym 11731 $abc$56607$n854 -.sym 11732 I2C_INPUT_LEN[1] -.sym 11733 $false -.sym 11736 I2C_INPUT_LEN[3] -.sym 11737 I2C_INPUT_LEN[2] -.sym 11738 $abc$56607$n855 +.sym 11724 last_uart_active +.sym 11725 UART.tx_activity +.sym 11726 $2\uart_double_ff[0:0] +.sym 11727 $abc$51270$n19 +.sym 11730 $abc$51270$n743 +.sym 11731 $abc$51270$n771 +.sym 11732 $abc$51270$n917 +.sym 11733 $abc$51270$n785 +.sym 11736 $2\UART_WR[0:0] +.sym 11737 $false +.sym 11738 $false .sym 11739 $false -.sym 11743 $abc$56607$n870 -.sym 11744 $2\uart_double_ff[0:0] -.sym 11745 $abc$56607$n747 -.sym 11746 $abc$56607$n819 -.sym 11747 $abc$56607$n1218 -.sym 11748 $abc$56607$n1221 -.sym 11749 $abc$56607$n1236 -.sym 11750 last_trans -.sym 11817 $abc$56607$n742 -.sym 11818 $abc$56607$n769 +.sym 11740 $abc$51270$n796 +.sym 11741 CLK$2$2 +.sym 11742 $abc$51270$n35$2 +.sym 11745 $abc$51270$n1871 +.sym 11746 $abc$51270$n1874 +.sym 11747 $abc$51270$n1454 +.sym 11748 $abc$51270$n785 +.sym 11750 ring_wr[2] +.sym 11817 $2\ring_wr[3:0][1] +.sym 11818 $2\ring_wr[3:0][3] .sym 11819 $false .sym 11820 $false -.sym 11823 $abc$56607$n19 -.sym 11824 $abc$56607$n1499 -.sym 11825 I2C.is_read -.sym 11826 $abc$56607$n742 -.sym 11829 $abc$56607$n751 -.sym 11830 $abc$56607$n2569 -.sym 11831 $abc$56607$n747 -.sym 11832 $false -.sym 11835 last_wr -.sym 11836 I2C.wr +.sym 11823 wr_cnt[3] +.sym 11824 ring_wr[2] +.sym 11825 $false +.sym 11826 $false +.sym 11829 wr_cnt[3] +.sym 11830 ring_wr[1] +.sym 11831 ring_wr[3] +.sym 11832 ring_wr[2] +.sym 11835 $2\ring_wr[3:0][1] +.sym 11836 $2\ring_wr[3:0][3] .sym 11837 $false .sym 11838 $false -.sym 11841 $abc$56607$n742 -.sym 11842 $abc$56607$n667 -.sym 11843 $abc$56607$n19 +.sym 11841 int_tmr[0] +.sym 11842 $abc$51270$n771 +.sym 11843 $false .sym 11844 $false -.sym 11847 $abc$56607$n751 -.sym 11848 I2C.is_read -.sym 11849 $false -.sym 11850 $false -.sym 11853 I2C.wr -.sym 11854 last_wr -.sym 11855 $false +.sym 11847 $abc$51270$n1219 +.sym 11848 $abc$51270$n1220 +.sym 11849 ring_wr[1] +.sym 11850 ring_wr[3] +.sym 11853 $abc$51270$n383 +.sym 11854 $abc$51270$n394 +.sym 11855 $abc$51270$n396 .sym 11856 $false -.sym 11859 I2C.wr +.sym 11859 $true$2 .sym 11860 $false .sym 11861 $false .sym 11862 $false -.sym 11863 $abc$56607$n1218 +.sym 11863 $abc$51270$n917 .sym 11864 CLK$2$2 .sym 11865 $false -.sym 11866 $3\report_wr_en[0:0] -.sym 11867 $abc$56607$n992 -.sym 11869 $2\ring_wr[3:0][3] -.sym 11870 ring_wr[1] -.sym 11871 ring_wr[2] -.sym 11872 ring_wr[0] -.sym 11873 ring_wr[3] -.sym 11902 $true -.sym 11939 ring_wr[0]$2 -.sym 11940 $false +.sym 11867 $2\ring_wr[3:0][3] +.sym 11868 $2\ring_wr[3:0][2] +.sym 11869 $2\ring_wr[3:0][1] +.sym 11870 ring_wr[3] +.sym 11871 ring_wr[0] +.sym 11872 ring_wr[1] +.sym 11940 wr_cnt[1] .sym 11941 ring_wr[0] .sym 11942 $false .sym 11943 $false -.sym 11945 $auto$alumacc.cc:470:replace_alu$12132.C[2] -.sym 11947 $false -.sym 11948 ring_wr[1] -.sym 11951 $auto$alumacc.cc:470:replace_alu$12132.C[3] -.sym 11952 $false -.sym 11953 $false -.sym 11954 ring_wr[2] -.sym 11955 $auto$alumacc.cc:470:replace_alu$12132.C[2] -.sym 11957 $auto$alumacc.cc:470:replace_alu$12132.C[4] -.sym 11958 $false -.sym 11959 $false -.sym 11960 ring_wr[3] -.sym 11961 $auto$alumacc.cc:470:replace_alu$12132.C[3] -.sym 11964 $false -.sym 11965 $false -.sym 11966 $false -.sym 11967 $auto$alumacc.cc:470:replace_alu$12132.C[4] -.sym 11970 ring_wr[2] -.sym 11971 $abc$56607$n1908 -.sym 11972 $abc$56607$n1089 -.sym 11973 $false -.sym 11976 $true$2 -.sym 11977 $false -.sym 11978 $false -.sym 11979 $false -.sym 11986 $abc$56607$n988 +.sym 11946 $abc$51270$n1201 +.sym 11947 $2\ring_wr[3:0][0] +.sym 11948 $abc$51270$n690 +.sym 11949 $abc$51270$n22 +.sym 11952 wr_cnt[1] +.sym 11953 ring_wr[0] +.sym 11954 wr_cnt[2] +.sym 11955 ring_wr[1] +.sym 11958 $abc$51270$n1197 +.sym 11959 init_ram_cnt[1] +.sym 11960 init_ram_cnt[2] +.sym 11961 $abc$51270$n22 +.sym 11964 $abc$51270$n1204 +.sym 11965 $2\ring_wr[3:0][1] +.sym 11966 $abc$51270$n690 +.sym 11967 $abc$51270$n22 +.sym 11970 init_ram_cnt[1] +.sym 11971 $abc$51270$n1197 +.sym 11972 $abc$51270$n22 +.sym 11973 $abc$51270$n1200_1 +.sym 11982 $abc$51270$n1203 +.sym 11983 $abc$51270$n1205_1 +.sym 11984 $false +.sym 11985 $false +.sym 11986 $abc$51270$n950$2 .sym 11987 CLK$2$2 .sym 11988 $false -.sym 11996 $2\ring_wr[3:0][1] -.sym 12063 $abc$56607$n49 -.sym 12064 ring_rd[3] -.sym 12065 $abc$56607$n1911 -.sym 12066 $abc$56607$n1087 -.sym 12069 $abc$56607$n764 -.sym 12070 ring_wr[0] -.sym 12071 $abc$56607$n1086 +.sym 12063 init_ram_cnt[2] +.sym 12064 init_ram_cnt[4] +.sym 12065 init_ram_cnt[6] +.sym 12066 init_ram_cnt[3] +.sym 12069 init_ram_cnt[1] +.sym 12070 $false +.sym 12071 $false .sym 12072 $false -.sym 12075 ring_rd[0] -.sym 12076 ring_wr[0] -.sym 12077 ring_rd[3] -.sym 12078 ring_wr[3] -.sym 12081 ring_rd[0] -.sym 12082 $abc$56607$n1902 -.sym 12083 ring_rd[2] -.sym 12084 $abc$56607$n1908 -.sym 12087 ring_rd[2] -.sym 12088 ring_wr[2] -.sym 12089 $abc$56607$n764 -.sym 12090 $abc$56607$n765 +.sym 12075 init_ram_cnt[0] +.sym 12076 init_ram_cnt[1] +.sym 12077 $abc$51270$n731 +.sym 12078 $false +.sym 12081 init_ram_cnt[0] +.sym 12082 $false +.sym 12083 $false +.sym 12084 $false +.sym 12087 init_ram_cnt[6] +.sym 12088 $false +.sym 12089 $false +.sym 12090 $false .sym 12093 $false -.sym 12094 $true$2 -.sym 12095 ring_wr[0] +.sym 12094 $false +.sym 12095 int_tmr[0] .sym 12096 $false -.sym 12099 $abc$56607$n764 -.sym 12100 $abc$56607$n1086 -.sym 12101 ring_wr[0] -.sym 12102 $abc$56607$n1902 -.sym 12105 ring_rd[1] -.sym 12106 ring_wr[1] +.sym 12099 init_ram_cnt[4] +.sym 12100 $false +.sym 12101 $false +.sym 12102 $false +.sym 12105 init_ram_cnt[3] +.sym 12106 $false .sym 12107 $false .sym 12108 $false -.sym 12114 $abc$56607$n1544 -.sym 12115 $abc$56607$n1545 -.sym 12116 $abc$56607$n31 -.sym 12117 $abc$56607$n897 -.sym 12118 UART.tx_clk_counter[2] -.sym 12119 UART.tx_clk_counter[3] -.sym 12186 $abc$56607$n2483 -.sym 12187 $abc$56607$n1636 -.sym 12188 I2C.FLT_SCL.out -.sym 12189 I2C.SCLF -.sym 12204 $false -.sym 12205 I2C.FLT_SCL.counter[0] -.sym 12206 $false -.sym 12207 $true$2 -.sym 12210 I2C.FLT_SCL.out -.sym 12211 I2C.SCLF -.sym 12212 $abc$56607$n1009 -.sym 12213 $false -.sym 12216 I2C.FLT_SCL.counter[0] -.sym 12217 I2C.FLT_SCL.counter[1] -.sym 12218 $false -.sym 12219 $false -.sym 12222 $abc$56607$n1009 -.sym 12223 $abc$56607$n1636 +.sym 12112 $abc$51270$n1197 +.sym 12113 $abc$51270$n729 +.sym 12116 $abc$51270$n184 +.sym 12117 $abc$51270$n23 +.sym 12118 $abc$51270$n191 +.sym 12119 $abc$51270$n187 +.sym 12148 $true +.sym 12185 init_ram_cnt[1]$2 +.sym 12186 $false +.sym 12187 init_ram_cnt[1] +.sym 12188 $false +.sym 12189 $false +.sym 12191 $auto$alumacc.cc:474:replace_alu$9545.C[3] +.sym 12193 init_ram_cnt[2] +.sym 12194 $true$2 +.sym 12197 $auto$alumacc.cc:474:replace_alu$9545.C[4] +.sym 12199 init_ram_cnt[3] +.sym 12200 $false +.sym 12203 $auto$alumacc.cc:474:replace_alu$9545.C[5] +.sym 12205 init_ram_cnt[4] +.sym 12206 $true$2 +.sym 12209 $auto$alumacc.cc:474:replace_alu$9545.C[6] +.sym 12211 init_ram_cnt[5] +.sym 12212 $false +.sym 12215 $auto$alumacc.cc:474:replace_alu$9545.C[7] +.sym 12217 init_ram_cnt[6] +.sym 12218 $true$2 +.sym 12221 $abc$51270$n22$2 +.sym 12223 init_ram_cnt[7] .sym 12224 $false -.sym 12225 $false -.sym 12228 $abc$56607$n2483 -.sym 12229 $false -.sym 12230 $false -.sym 12231 $false -.sym 12232 $abc$56607$n1512 -.sym 12233 CLK$2$2 -.sym 12234 $abc$56607$n35$2 -.sym 12309 $abc$56607$n1471 -.sym 12335 KEYBOARD.COLS_SHADOW[4] -.sym 12336 KEYBOARD.COLS_SHADOW[3] -.sym 12337 KEYBOARD.COLS_SHADOW[0] -.sym 12339 KEYBOARD.COLS_SHADOW[7] -.sym 12340 KEYBOARD.COLS_SHADOW[2] -.sym 12341 KEYBOARD.COLS_SHADOW[1] -.sym 12342 KEYBOARD.COLS_SHADOW[5] -.sym 12410 $abc$56607$n705 -.sym 12411 $abc$56607$n709 -.sym 12412 $abc$56607$n711 -.sym 12413 $false -.sym 12416 $abc$56607$n33$2 -.sym 12417 $abc$56607$n1490$2 -.sym 12418 $false -.sym 12419 $false -.sym 12422 $abc$56607$n678 -.sym 12423 $abc$56607$n691 -.sym 12424 KEYBOARD.is_pressed -.sym 12425 $abc$56607$n696 -.sym 12428 $abc$56607$n702 -.sym 12429 KEYBOARD.is_pressed -.sym 12430 KEYBOARD.COLS_SHADOW[5] -.sym 12431 $abc$56607$n697 -.sym 12434 $abc$56607$n677 -.sym 12435 $abc$56607$n701 -.sym 12436 $abc$56607$n714 -.sym 12437 $abc$56607$n704 -.sym 12446 $abc$56607$n708 -.sym 12447 KEYBOARD.is_pressed -.sym 12448 KEYBOARD.COLS_SHADOW[4] -.sym 12449 $abc$56607$n706 +.sym 12231 $abc$51270$n22$2 +.sym 12309 $abc$51270$n1404 +.sym 12335 $abc$51270$n711 +.sym 12336 $abc$51270$n33 +.sym 12337 $abc$51270$n710 +.sym 12339 KEYBOARD.report[6][4] +.sym 12340 KEYBOARD.report[6][6] +.sym 12341 KEYBOARD.report[6][3] +.sym 12342 KEYBOARD.report[6][1] +.sym 12410 KEYBOARD.kbd_code_hid[4] +.sym 12411 KEYBOARD.report[6][4] +.sym 12412 KEYBOARD.report[6][0] +.sym 12413 KEYBOARD.kbd_code_hid[0] +.sym 12416 KEYBOARD.kbd_code_hid[1] +.sym 12417 KEYBOARD.report[6][1] +.sym 12418 KEYBOARD.kbd_code_hid[6] +.sym 12419 KEYBOARD.report[6][6] +.sym 12422 KEYBOARD.kbd_code_hid[3] +.sym 12423 KEYBOARD.report[6][3] +.sym 12424 KEYBOARD.kbd_code_hid[7] +.sym 12425 KEYBOARD.report[6][7] +.sym 12428 $abc$51270$n947 +.sym 12429 $abc$51270$n948 +.sym 12430 $abc$51270$n949 +.sym 12431 $abc$51270$n950_1 +.sym 12434 $abc$51270$n1001 +.sym 12435 $abc$51270$n1418$2 +.sym 12436 $false +.sym 12437 $false +.sym 12440 KEYBOARD.kbd_code_hid[5] +.sym 12441 $false +.sym 12442 $false +.sym 12443 $false +.sym 12446 KEYBOARD.kbd_code_hid[7] +.sym 12447 $false +.sym 12448 $false +.sym 12449 $false +.sym 12452 KEYBOARD.kbd_code_hid[0] +.sym 12453 $false +.sym 12454 $false +.sym 12455 $false +.sym 12456 $abc$51270$n1313 +.sym 12457 CLK$2$2 +.sym 12458 $abc$51270$n27 .sym 12461 KBD_COLUMNS[4]$2 -.sym 12465 $abc$56607$n1635 -.sym 12466 $abc$56607$n2244 -.sym 12467 $abc$56607$n1003 -.sym 12468 $abc$56607$n2481 -.sym 12469 KEYBOARD.is_pressed -.sym 12573 $abc$56607$n719 -.sym 12574 KEYBOARD.COLS_SHADOW[3] -.sym 12575 KEYBOARD.is_pressed -.sym 12576 $abc$56607$n711 -.sym 12579 $abc$56607$n716 -.sym 12580 $abc$56607$n718 -.sym 12581 $false +.sym 12463 $abc$51270$n899 +.sym 12464 $abc$51270$n924 +.sym 12465 $abc$51270$n952_1 +.sym 12466 $abc$51270$n923 +.sym 12467 $abc$51270$n1258 +.sym 12468 $abc$51270$n1313 +.sym 12469 $abc$51270$n1457_1 +.sym 12470 KEYBOARD.isr +.sym 12573 KEYBOARD.report[6][0] +.sym 12574 KEYBOARD.report[6][1] +.sym 12575 KEYBOARD.report[6][2] +.sym 12576 KEYBOARD.report[6][3] +.sym 12579 I2C.FLT_SDA.out +.sym 12580 I2C.SDAF +.sym 12581 $abc$51270$n1011 .sym 12582 $false -.sym 12585 $abc$56607$n722 -.sym 12586 KEYBOARD.COLS_SHADOW[2] -.sym 12587 KEYBOARD.is_pressed -.sym 12588 $abc$56607$n723 -.sym 12591 $abc$56607$n726 -.sym 12592 KEYBOARD.COLS_SHADOW[0] -.sym 12593 KEYBOARD.is_pressed -.sym 12594 $abc$56607$n727 -.sym 12597 $abc$56607$n719 -.sym 12598 KEYBOARD.COLS_SHADOW[3] -.sym 12599 $abc$56607$n711 -.sym 12600 $false -.sym 12603 $abc$56607$n721 -.sym 12604 $abc$56607$n725 -.sym 12605 $false -.sym 12606 $false -.sym 12609 $abc$56607$n717 -.sym 12610 KEYBOARD.COLS_SHADOW[1] -.sym 12611 KEYBOARD.is_pressed -.sym 12612 $abc$56607$n709 -.sym 12615 $abc$56607$n676 -.sym 12616 $abc$56607$n715 -.sym 12617 $abc$56607$n728 -.sym 12618 $abc$56607$n720 -.sym 12622 $abc$56607$n709 -.sym 12623 $abc$56607$n679 -.sym 12624 $abc$56607$n710 -.sym 12625 $abc$56607$n693 -.sym 12626 $abc$56607$n723 -.sym 12627 $abc$56607$n727 -.sym 12628 $abc$56607$n724 -.sym 12629 KEYBOARD.row_counter[3] -.sym 12696 $abc$56607$n723 -.sym 12697 $abc$56607$n727 +.sym 12585 $abc$51270$n2334 +.sym 12586 $abc$51270$n1012 +.sym 12587 $false +.sym 12588 $false +.sym 12591 $abc$51270$n954 +.sym 12592 $abc$51270$n955 +.sym 12593 $false +.sym 12594 $false +.sym 12597 KEYBOARD.kbd_code_hid[2] +.sym 12598 KEYBOARD.report[6][2] +.sym 12599 KEYBOARD.kbd_code_hid[5] +.sym 12600 KEYBOARD.report[6][5] +.sym 12603 $abc$51270$n2333 +.sym 12604 $abc$51270$n1629 +.sym 12605 I2C.FLT_SDA.out +.sym 12606 I2C.SDAF +.sym 12609 KEYBOARD.report[6][4] +.sym 12610 KEYBOARD.report[6][5] +.sym 12611 KEYBOARD.report[6][6] +.sym 12612 KEYBOARD.report[6][7] +.sym 12615 KEYBOARD.kbd_code_hid[2] +.sym 12616 $false +.sym 12617 $false +.sym 12618 $false +.sym 12619 $abc$51270$n1313 +.sym 12620 CLK$2$2 +.sym 12621 $abc$51270$n27 +.sym 12622 $abc$51270$n1857 +.sym 12623 $abc$51270$n2315 +.sym 12624 $abc$51270$n915 +.sym 12625 $abc$51270$n907 +.sym 12626 wr_cnt[1] +.sym 12627 wr_cnt[0] +.sym 12628 wr_cnt[2] +.sym 12629 wr_cnt[3] +.sym 12696 $abc$51270$n1123 +.sym 12697 KEYBOARD.report[3][4] .sym 12698 $false .sym 12699 $false -.sym 12702 KEYBOARD.COLS_SHADOW[0] -.sym 12703 $abc$56607$n726 -.sym 12704 $abc$56607$n727 -.sym 12705 $false -.sym 12708 KEYBOARD.COLS_SHADOW[7] -.sym 12709 $abc$56607$n685 -.sym 12710 $abc$56607$n679 -.sym 12711 $abc$56607$n706 -.sym 12714 $abc$56607$n685 -.sym 12715 KEYBOARD.COLS_SHADOW[7] -.sym 12716 $abc$56607$n679 +.sym 12702 $abc$51270$n977 +.sym 12703 $abc$51270$n973 +.sym 12704 $abc$51270$n976 +.sym 12705 $abc$51270$n1418$2 +.sym 12708 KEYBOARD.kbd_code_hid[5] +.sym 12709 KEYBOARD.report[3][5] +.sym 12710 KEYBOARD.kbd_code_hid[6] +.sym 12711 KEYBOARD.report[3][6] +.sym 12714 KEYBOARD.kbd_code_hid[3] +.sym 12715 KEYBOARD.report[3][3] +.sym 12716 $false .sym 12717 $false -.sym 12720 $abc$56607$n719 -.sym 12721 KEYBOARD.COLS_SHADOW[3] -.sym 12722 $abc$56607$n711 -.sym 12723 $false -.sym 12726 $abc$56607$n717 -.sym 12727 KEYBOARD.COLS_SHADOW[1] -.sym 12728 $abc$56607$n709 -.sym 12729 $false -.sym 12732 KEYBOARD.COLS_SHADOW[7] -.sym 12733 $abc$56607$n685 -.sym 12734 $abc$56607$n679 -.sym 12735 $false -.sym 12738 $abc$56607$n726 -.sym 12739 KEYBOARD.COLS_SHADOW[0] -.sym 12740 $abc$56607$n727 +.sym 12720 KEYBOARD.report[3][4] +.sym 12721 KEYBOARD.report[3][5] +.sym 12722 KEYBOARD.report[3][6] +.sym 12723 KEYBOARD.report[3][7] +.sym 12726 $abc$51270$n974_1 +.sym 12727 KEYBOARD.kbd_code_hid[4] +.sym 12728 KEYBOARD.report[3][4] +.sym 12729 $abc$51270$n975 +.sym 12732 KEYBOARD.report[6][6] +.sym 12733 $abc$51270$n1124 +.sym 12734 $abc$51270$n1123 +.sym 12735 KEYBOARD.report[3][6] +.sym 12738 $abc$51270$n2317 +.sym 12739 $false +.sym 12740 $false .sym 12741 $false -.sym 12742 $abc$56607$n1484 +.sym 12742 $abc$51270$n1354 .sym 12743 CLK$2$2 -.sym 12744 $abc$56607$n31$2 -.sym 12747 $abc$56607$n2237 -.sym 12748 $abc$56607$n28 -.sym 12749 $abc$56607$n2250 -.sym 12750 $abc$56607$n2463 -.sym 12751 KEYBOARD.report[6][0] -.sym 12752 KEYBOARD.report[6][3] -.sym 12819 KEYBOARD.kbd_code_hid[2] -.sym 12820 KEYBOARD.kbd_code_hid[1] -.sym 12821 KEYBOARD.kbd_code_hid[0] -.sym 12822 $false -.sym 12825 $abc$56607$n923 -.sym 12826 I2C.FLT_SCL.RESET -.sym 12827 $false -.sym 12828 $false -.sym 12831 KEYBOARD.kbd_code_hid[1] -.sym 12832 KEYBOARD.kbd_code_hid[0] -.sym 12833 KEYBOARD.kbd_code_hid[2] -.sym 12834 $false -.sym 12849 $abc$56607$n27 -.sym 12850 KEYBOARD.report[0][4] -.sym 12851 $abc$56607$n1373 -.sym 12852 $false -.sym 12855 $abc$56607$n27 -.sym 12856 KEYBOARD.report[0][7] -.sym 12857 $abc$56607$n918 +.sym 12744 $abc$51270$n35$2 +.sym 12745 $abc$51270$n1006 +.sym 12746 $abc$51270$n981 +.sym 12747 $abc$51270$n980 +.sym 12748 $abc$51270$n908 +.sym 12749 $abc$51270$n983 +.sym 12750 $abc$51270$n982 +.sym 12751 KEYBOARD.report[2][6] +.sym 12752 KEYBOARD.report[2][7] +.sym 12819 $abc$51270$n1123 +.sym 12820 KEYBOARD.report[3][3] +.sym 12821 $abc$51270$n1113 +.sym 12822 KEYBOARD.report[5][3] +.sym 12825 $abc$51270$n1115 +.sym 12826 KEYBOARD.report[2][7] +.sym 12827 KEYBOARD.report[6][7] +.sym 12828 $abc$51270$n1124 +.sym 12831 KEYBOARD.report[2][0] +.sym 12832 KEYBOARD.report[2][1] +.sym 12833 KEYBOARD.report[2][2] +.sym 12834 KEYBOARD.report[2][3] +.sym 12837 KEYBOARD.kbd_code_hid[1] +.sym 12838 KEYBOARD.report[2][1] +.sym 12839 KEYBOARD.kbd_code_hid[2] +.sym 12840 KEYBOARD.report[2][2] +.sym 12843 KEYBOARD.report[6][3] +.sym 12844 $abc$51270$n1124 +.sym 12845 $abc$51270$n1153 +.sym 12846 $false +.sym 12849 KEYBOARD.report[6][1] +.sym 12850 $abc$51270$n1124 +.sym 12851 $abc$51270$n1113 +.sym 12852 KEYBOARD.report[5][1] +.sym 12855 $abc$51270$n2312 +.sym 12856 $false +.sym 12857 $false .sym 12858 $false -.sym 12861 $abc$56607$n27 -.sym 12862 KEYBOARD.report[0][1] -.sym 12863 $abc$56607$n1367 +.sym 12861 $abc$51270$n2311 +.sym 12862 $false +.sym 12863 $false .sym 12864 $false -.sym 12865 $abc$56607$n1457 +.sym 12865 $abc$51270$n1365 .sym 12866 CLK$2$2 -.sym 12867 $abc$56607$n35$2 -.sym 12868 $abc$56607$n989 -.sym 12869 $abc$56607$n926 -.sym 12870 $abc$56607$n1450 -.sym 12871 $abc$56607$n904 -.sym 12872 $abc$56607$n1483 -.sym 12873 $abc$56607$n975 -.sym 12874 $abc$56607$n905 -.sym 12875 KEYBOARD.isr -.sym 12942 $abc$56607$n915 -.sym 12943 KEYBOARD.init_ram_cnt[8] -.sym 12944 I2C.FLT_SCL.RESET +.sym 12867 $abc$51270$n35$2 +.sym 12868 $abc$51270$n921_1 +.sym 12869 $abc$51270$n1460_1 +.sym 12870 $abc$51270$n1461 +.sym 12871 $abc$51270$n1415 +.sym 12872 $abc$51270$n920 +.sym 12873 $abc$51270$n1459 +.sym 12874 $abc$51270$n966 +.sym 12875 KEYBOARD.report[4][6] +.sym 12942 $abc$51270$n1124 +.sym 12943 KEYBOARD.report[6][4] +.sym 12944 $false .sym 12945 $false -.sym 12948 $abc$56607$n915 -.sym 12949 I2C.FLT_SCL.RESET -.sym 12950 KEYBOARD.init_ram_cnt[8] -.sym 12951 $false -.sym 12954 $abc$56607$n916 -.sym 12955 $abc$56607$n920 -.sym 12956 $abc$56607$n923 +.sym 12948 KEYBOARD.report[4][4] +.sym 12949 $abc$51270$n1121 +.sym 12950 $abc$51270$n1120 +.sym 12951 $abc$51270$n1163_1 +.sym 12954 KEYBOARD.report[2][1] +.sym 12955 $abc$51270$n1115 +.sym 12956 $abc$51270$n1136 .sym 12957 $false -.sym 12960 KEYBOARD.kbd_code_hid[2] -.sym 12961 KEYBOARD.kbd_code_hid[0] -.sym 12962 KEYBOARD.kbd_code_hid[1] -.sym 12963 $false -.sym 12966 KEYBOARD.kbd_code_hid[2] -.sym 12967 KEYBOARD.kbd_code_hid[1] -.sym 12968 KEYBOARD.kbd_code_hid[0] -.sym 12969 $false -.sym 12972 $abc$56607$n27 -.sym 12973 KEYBOARD.report[0][0] -.sym 12974 $abc$56607$n921 -.sym 12975 $false -.sym 12978 $abc$56607$n27 -.sym 12979 KEYBOARD.report[0][2] -.sym 12980 $abc$56607$n1369 -.sym 12981 $false -.sym 12984 $abc$56607$n27 -.sym 12985 KEYBOARD.report[0][3] -.sym 12986 $abc$56607$n1371 +.sym 12960 $abc$51270$n1121 +.sym 12961 KEYBOARD.report[4][6] +.sym 12962 $abc$51270$n1181 +.sym 12963 $abc$51270$n1183 +.sym 12966 KEYBOARD.report[3][7] +.sym 12967 $abc$51270$n1123 +.sym 12968 KEYBOARD.report[4][7] +.sym 12969 $abc$51270$n1121 +.sym 12972 $abc$51270$n1115 +.sym 12973 KEYBOARD.report[2][6] +.sym 12974 $abc$51270$n1113 +.sym 12975 KEYBOARD.report[5][6] +.sym 12978 $abc$51270$n1165 +.sym 12979 $abc$51270$n1166 +.sym 12980 $abc$51270$n1164 +.sym 12981 $abc$51270$n1167 +.sym 12984 $abc$51270$n2318 +.sym 12985 $false +.sym 12986 $false .sym 12987 $false -.sym 12988 $abc$56607$n1457 +.sym 12988 $abc$51270$n1325 .sym 12989 CLK$2$2 -.sym 12990 $abc$56607$n35$2 -.sym 12991 $abc$56607$n909 -.sym 12992 $abc$56607$n910 -.sym 12993 $abc$56607$n1522 -.sym 12994 $abc$56607$n1515_1 -.sym 12995 KEYBOARD.report[1][2] -.sym 12996 KEYBOARD.report[1][0] -.sym 12997 KEYBOARD.report[1][5] -.sym 12998 KEYBOARD.report[1][3] -.sym 13065 $abc$56607$n920 -.sym 13066 $abc$56607$n916 -.sym 13067 $abc$56607$n943 -.sym 13068 I2C.FLT_SCL.RESET -.sym 13071 $abc$56607$n920 -.sym 13072 $abc$56607$n916 -.sym 13073 $abc$56607$n943 -.sym 13074 I2C.FLT_SCL.RESET -.sym 13077 $abc$56607$n923 -.sym 13078 KEYBOARD.init_ram_cnt[8] -.sym 13079 $false -.sym 13080 $false -.sym 13083 KEYBOARD.kbd_code_hid[7] -.sym 13084 KEYBOARD.kbd_code_hid[6] -.sym 13085 KEYBOARD.kbd_code_hid[4] -.sym 13086 KEYBOARD.kbd_code_hid[5] -.sym 13089 KEYBOARD.kbd_code_hid[3] -.sym 13090 $abc$56607$n922 -.sym 13091 $abc$56607$n921 -.sym 13092 $false -.sym 13095 KEYBOARD.kbd_code_hid[2] -.sym 13096 KEYBOARD.kbd_code_hid[1] -.sym 13097 KEYBOARD.kbd_code_hid[0] -.sym 13098 $false -.sym 13101 $abc$56607$n943 -.sym 13102 I2C.FLT_SCL.RESET -.sym 13103 $false -.sym 13104 $false -.sym 13107 KEYBOARD.kbd_code_hid[2] +.sym 12990 $abc$51270$n35$2 +.sym 12991 $abc$51270$n2318 +.sym 12992 $abc$51270$n912 +.sym 12993 $abc$51270$n911 +.sym 12994 $abc$51270$n902 +.sym 12995 $abc$51270$n903 +.sym 12996 $abc$51270$n913 +.sym 12997 KEYBOARD.report[2][4] +.sym 12998 KEYBOARD.report[2][3] +.sym 13065 $abc$51270$n1117_1 +.sym 13066 KEYBOARD.report[1][6] +.sym 13067 $false +.sym 13068 $false +.sym 13071 KEYBOARD.report[4][3] +.sym 13072 $abc$51270$n1121 +.sym 13073 $abc$51270$n1117_1 +.sym 13074 KEYBOARD.report[1][3] +.sym 13077 $abc$51270$n1180 +.sym 13078 $abc$51270$n1469 +.sym 13079 $abc$51270$n1185 +.sym 13080 $abc$51270$n1120 +.sym 13083 $abc$51270$n1118 +.sym 13084 KEYBOARD.report[0][4] +.sym 13085 $abc$51270$n1115 +.sym 13086 KEYBOARD.report[2][4] +.sym 13089 KEYBOARD.report[1][6] +.sym 13090 KEYBOARD.report[5][6] +.sym 13091 wr_cnt[2] +.sym 13092 $abc$51270$n1127 +.sym 13095 KEYBOARD.report[2][3] +.sym 13096 $abc$51270$n1115 +.sym 13097 $abc$51270$n1152_1 +.sym 13098 $abc$51270$n1154 +.sym 13101 $abc$51270$n1501 +.sym 13102 $abc$51270$n1473 +.sym 13103 $abc$51270$n1127 +.sym 13104 $abc$51270$n1120 +.sym 13107 $abc$51270$n2314 .sym 13108 $false .sym 13109 $false .sym 13110 $false -.sym 13111 $abc$56607$n1328 +.sym 13111 $abc$51270$n1325 .sym 13112 CLK$2$2 -.sym 13113 $abc$56607$n27 -.sym 13114 $abc$56607$n911 -.sym 13115 $abc$56607$n1375 -.sym 13116 $abc$56607$n1163 -.sym 13117 $abc$56607$n1524_1 -.sym 13118 $abc$56607$n1188 -.sym 13119 $abc$56607$n1485 -.sym 13120 KEYBOARD.report[0][6] -.sym 13121 KEYBOARD.report[0][5] -.sym 13188 KEYBOARD.report[0][3] -.sym 13189 KEYBOARD.report[1][3] -.sym 13190 $abc$56607$n1124 -.sym 13191 $abc$56607$n1116 -.sym 13200 KEYBOARD.report[0][2] -.sym 13201 KEYBOARD.report[1][2] -.sym 13202 $abc$56607$n1124 +.sym 13113 $abc$51270$n35$2 +.sym 13114 $abc$51270$n1479 +.sym 13115 $abc$51270$n1506 +.sym 13116 $abc$51270$n880 +.sym 13117 $abc$51270$n1478_1 +.sym 13118 $abc$51270$n1507 +.sym 13119 $abc$51270$n1505 +.sym 13120 $abc$51270$n796_1 +.sym 13121 I2C_HID_DESC.last_rd_request +.sym 13188 $abc$51270$n269 +.sym 13189 wr_cnt[1] +.sym 13190 $false +.sym 13191 $false +.sym 13194 KEYBOARD.report[0][3] +.sym 13195 $abc$51270$n1118 +.sym 13196 $abc$51270$n1120 +.sym 13197 $abc$51270$n1151 +.sym 13200 $false +.sym 13201 wr_cnt[0] +.sym 13202 $false .sym 13203 $false -.sym 13206 $abc$56607$n1149 -.sym 13207 $abc$56607$n1152 -.sym 13208 KEYBOARD.report[0][2] -.sym 13209 $abc$56607$n1120 -.sym 13212 KEYBOARD.report[0][4] -.sym 13213 $abc$56607$n1120 -.sym 13214 $abc$56607$n1178 -.sym 13215 $abc$56607$n1116 -.sym 13224 KEYBOARD.report[0][4] -.sym 13225 KEYBOARD.report[1][4] -.sym 13226 $abc$56607$n1124 -.sym 13227 $abc$56607$n1116 -.sym 13230 $abc$56607$n1288 -.sym 13231 $abc$56607$n875 -.sym 13232 $false +.sym 13206 KEYBOARD.report[0][3] +.sym 13207 KEYBOARD.report[1][3] +.sym 13208 $abc$51270$n1128 +.sym 13209 $abc$51270$n1120 +.sym 13212 KEYBOARD.kbd_code_hid[2] +.sym 13213 KEYBOARD.kbd_code_hid[1] +.sym 13214 KEYBOARD.kbd_code_hid[0] +.sym 13215 $false +.sym 13218 wr_cnt[2] +.sym 13219 $abc$51270$n269 +.sym 13220 wr_cnt[1] +.sym 13221 $false +.sym 13224 KEYBOARD.report[0][3] +.sym 13225 $abc$51270$n27 +.sym 13226 $abc$51270$n1352 +.sym 13227 $false +.sym 13230 KEYBOARD.report[0][0] +.sym 13231 $abc$51270$n27 +.sym 13232 $abc$51270$n912 .sym 13233 $false -.sym 13234 $true +.sym 13234 $abc$51270$n1381 .sym 13235 CLK$2$2 -.sym 13236 $false -.sym 13238 $abc$56607$n1201 -.sym 13239 $abc$56607$n1497 -.sym 13240 $abc$56607$n1528 -.sym 13241 $abc$56607$n1116 -.sym 13242 $abc$56607$n1124 -.sym 13243 $abc$56607$n2458 -.sym 13244 $abc$56607$n1531 -.sym 13311 $abc$56607$n1120 -.sym 13312 KEYBOARD.report[0][7] -.sym 13313 $abc$56607$n1209 -.sym 13314 $abc$56607$n1129 -.sym 13317 $abc$56607$n1124 -.sym 13318 KEYBOARD.report[0][6] -.sym 13319 $abc$56607$n1201 -.sym 13320 $abc$56607$n1129 -.sym 13323 KEYBOARD.report[0][0] -.sym 13324 $abc$56607$n1120 -.sym 13325 $abc$56607$n1518 -.sym 13326 $abc$56607$n1129 -.sym 13329 KEYBOARD.report[1][7] -.sym 13330 $abc$56607$n1126 -.sym 13331 KEYBOARD.report[0][7] -.sym 13332 $abc$56607$n1124 -.sym 13335 $abc$56607$n1124 -.sym 13336 KEYBOARD.report[0][0] -.sym 13337 $abc$56607$n1497 -.sym 13338 $abc$56607$n1129 -.sym 13341 $abc$56607$n1120 -.sym 13342 KEYBOARD.report[0][6] -.sym 13343 $abc$56607$n1531 -.sym 13344 $abc$56607$n1129 -.sym 13353 I2C.FLT_SDA.out +.sym 13236 $abc$51270$n35$2 +.sym 13237 $abc$51270$n1420 +.sym 13238 UART_TX_DATA[7] +.sym 13239 UART_TX_DATA[3] +.sym 13241 UART_TX_DATA[1] +.sym 13242 UART_TX_DATA[4] +.sym 13243 UART_TX_DATA[0] +.sym 13244 UART_TX_DATA[5] +.sym 13311 UART_TX_DATA[3] +.sym 13312 UART_TX_DATA[1] +.sym 13313 $abc$51270$n680 +.sym 13314 $false +.sym 13317 REPORT_DATA.r_data[4] +.sym 13318 I2C_TX_DESC[4] +.sym 13319 I2C_OUT_DESC_MASK[4] +.sym 13320 $false +.sym 13323 KEYBOARD.kbd_code_hid[0] +.sym 13324 KEYBOARD.kbd_code_hid[1] +.sym 13325 KEYBOARD.kbd_code_hid[2] +.sym 13326 $false +.sym 13329 $abc$51270$n27 +.sym 13330 KEYBOARD.kbd_code_hid[0] +.sym 13331 $false +.sym 13332 $false +.sym 13335 UART_TX_DATA[7] +.sym 13336 UART_TX_DATA[5] +.sym 13337 $abc$51270$n680 +.sym 13338 $false +.sym 13341 UART_TX_DATA[2] +.sym 13342 UART_TX_DATA[0] +.sym 13343 $abc$51270$n680 +.sym 13344 $false +.sym 13347 KEYBOARD.kbd_code_hid[1] +.sym 13348 KEYBOARD.kbd_code_hid[2] +.sym 13349 KEYBOARD.kbd_code_hid[0] +.sym 13350 $false +.sym 13353 I2C.byte_counter[3] .sym 13354 $false .sym 13355 $false .sym 13356 $false -.sym 13357 $abc$56607$n1290 +.sym 13357 $abc$51270$n1420 .sym 13358 CLK$2$2 -.sym 13359 $false -.sym 13360 $abc$56607$n893 -.sym 13361 $abc$56607$n1126 -.sym 13362 $abc$56607$n1139 -.sym 13363 $abc$56607$n1132 -.sym 13364 $abc$56607$n1130 -.sym 13365 $abc$56607$n1133 -.sym 13366 UART.TX_sig_last -.sym 13367 UART.tx_activity -.sym 13434 I2C.FLT_SCL.RESET -.sym 13435 $abc$56607$n893 -.sym 13436 $false -.sym 13437 $false -.sym 13446 I2C.received_byte[7] -.sym 13447 I2C.received_byte[3] -.sym 13448 $abc$56607$n1279 -.sym 13449 I2C.received_byte[6] -.sym 13452 I2C.received_byte[4] -.sym 13453 I2C.received_byte[1] -.sym 13454 I2C.received_byte[2] -.sym 13455 I2C.received_byte[5] -.sym 13458 $abc$56607$n895 -.sym 13459 $abc$56607$n898 -.sym 13460 $abc$56607$n893 -.sym 13461 I2C.FLT_SCL.RESET -.sym 13464 $abc$56607$n670 -.sym 13465 $abc$56607$n1130 +.sym 13359 $abc$51270$n35$2 +.sym 13360 $abc$51270$n1046_1 +.sym 13361 $abc$51270$n937 +.sym 13362 $abc$51270$n1054 +.sym 13365 KEYBOARD.ROWS_EN[14] +.sym 13366 KEYBOARD.ROWS_EN[13] +.sym 13434 $2\uart_double_ff[0:0] +.sym 13435 I2C.wr +.sym 13436 last_wr +.sym 13437 $abc$51270$n769 +.sym 13458 REPORT_DATA.r_data[0] +.sym 13459 I2C_HID_DESC.VAL[0] +.sym 13460 I2C_OUT_DESC_MASK[0] +.sym 13461 $false +.sym 13464 last_wr +.sym 13465 I2C.wr .sym 13466 $false .sym 13467 $false -.sym 13470 $abc$56607$n22 -.sym 13471 $false +.sym 13470 I2C.wr +.sym 13471 last_wr .sym 13472 $false .sym 13473 $false -.sym 13476 I2C.FLT_SDA.out +.sym 13476 I2C.wr .sym 13477 $false .sym 13478 $false .sym 13479 $false -.sym 13480 $abc$56607$n1302 +.sym 13480 $abc$51270$n1149 .sym 13481 CLK$2$2 .sym 13482 $false -.sym 13483 $abc$56607$n832 -.sym 13484 $abc$56607$n1540 -.sym 13485 $abc$56607$n1113 -.sym 13486 $abc$56607$n1504 -.sym 13487 $abc$56607$n808 -.sym 13488 $abc$56607$n1502_1 -.sym 13489 $abc$56607$n804 -.sym 13490 $abc$56607$n1503 -.sym 13557 $abc$56607$n22 -.sym 13558 I2C.byte_counter[1] -.sym 13559 $abc$56607$n810 -.sym 13560 $false -.sym 13563 $abc$56607$n1536_1 -.sym 13564 $abc$56607$n1543 -.sym 13565 $abc$56607$n816_1 -.sym 13566 $abc$56607$n1542 -.sym 13569 I2C.byte_counter[1] -.sym 13570 $abc$56607$n1541_1 -.sym 13571 $abc$56607$n833 -.sym 13572 $abc$56607$n754 -.sym 13575 I2C.byte_counter[0] -.sym 13576 I2C.byte_counter[1] -.sym 13577 $abc$56607$n807 +.sym 13483 $abc$51270$n837 +.sym 13484 $abc$51270$n836 +.sym 13485 $abc$51270$n828 +.sym 13486 $abc$51270$n847 +.sym 13487 $abc$51270$n827 +.sym 13488 $abc$51270$n830 +.sym 13489 $abc$51270$n1079 +.sym 13490 $abc$51270$n860 +.sym 13557 $abc$51270$n796_1 +.sym 13558 $abc$51270$n757 +.sym 13559 $abc$51270$n780 +.sym 13560 $abc$51270$n795 +.sym 13563 $abc$51270$n769 +.sym 13564 I2C.FLT_SCL.RESET +.sym 13565 $false +.sym 13566 $false +.sym 13569 $abc$51270$n829 +.sym 13570 $abc$51270$n848 +.sym 13571 I2C_OUTPUT_TYPE[1] +.sym 13572 $false +.sym 13575 $abc$51270$n19 +.sym 13576 $abc$51270$n769 +.sym 13577 $abc$51270$n759 .sym 13578 $false -.sym 13581 I2C.byte_counter[0] -.sym 13582 $abc$56607$n673 -.sym 13583 $abc$56607$n834 -.sym 13584 I2C.is_read -.sym 13587 $abc$56607$n834 -.sym 13588 $abc$56607$n809 -.sym 13589 $abc$56607$n1537 -.sym 13590 I2C.byte_counter[0] -.sym 13593 $abc$56607$n673 -.sym 13594 $abc$56607$n807 -.sym 13595 I2C.is_read -.sym 13596 $abc$56607$n754 -.sym 13599 I2C.FLT_SDA.out -.sym 13600 $false +.sym 13581 i2c_input_data_type[0] +.sym 13582 i2c_input_data_type[1] +.sym 13583 i2c_input_data_type[3] +.sym 13584 $abc$51270$n848 +.sym 13587 $abc$51270$n796_1 +.sym 13588 $abc$51270$n757 +.sym 13589 $abc$51270$n795 +.sym 13590 $false +.sym 13593 $abc$51270$n1079 +.sym 13594 $abc$51270$n1099 +.sym 13595 $abc$51270$n860 +.sym 13596 $abc$51270$n1096 +.sym 13599 $abc$51270$n729 +.sym 13600 $abc$51270$n690 .sym 13601 $false .sym 13602 $false -.sym 13603 $abc$56607$n1278 +.sym 13603 $abc$51270$n945 .sym 13604 CLK$2$2 -.sym 13605 $false -.sym 13606 $abc$56607$n1095 -.sym 13607 $abc$56607$n809 -.sym 13608 $abc$56607$n1077 -.sym 13609 $abc$56607$n1478 -.sym 13610 $abc$56607$n1251 -.sym 13611 $abc$56607$n1096 -.sym 13612 i2c_input_data_type[2] -.sym 13613 i2c_input_data_type[1] -.sym 13680 $abc$56607$n1079 -.sym 13681 $abc$56607$n1082 -.sym 13682 $abc$56607$n745 +.sym 13605 $abc$51270$n23$2 +.sym 13606 $abc$51270$n728 +.sym 13607 $abc$51270$n754 +.sym 13608 $abc$51270$n737 +.sym 13609 $abc$51270$n690 +.sym 13610 $abc$51270$n1163 +.sym 13611 $abc$51270$n756 +.sym 13612 $abc$51270$n733 +.sym 13613 last_isr +.sym 13680 $abc$51270$n772 +.sym 13681 $2\uart_double_ff[0:0] +.sym 13682 $abc$51270$n842_1 .sym 13683 $false -.sym 13686 $abc$56607$n1540 -.sym 13687 $abc$56607$n825 -.sym 13688 $abc$56607$n822 -.sym 13689 I2C.byte_counter[0] -.sym 13692 $abc$56607$n1073 -.sym 13693 I2C.received_byte[2] -.sym 13694 $abc$56607$n834 -.sym 13695 $abc$56607$n812 -.sym 13698 I2C.received_byte[1] -.sym 13699 $abc$56607$n1073 -.sym 13700 $abc$56607$n1079 -.sym 13701 $abc$56607$n745 -.sym 13704 I2C.received_byte[0] -.sym 13705 I2C.received_byte[3] -.sym 13706 I2C.received_byte[1] -.sym 13707 I2C.received_byte[2] -.sym 13710 I2C.received_byte[4] -.sym 13711 I2C.received_byte[5] -.sym 13712 $abc$56607$n828 -.sym 13713 $abc$56607$n835 -.sym 13716 $abc$56607$n812 -.sym 13717 $abc$56607$n828 -.sym 13718 $abc$56607$n835 +.sym 13686 $abc$51270$n780 +.sym 13687 $abc$51270$n779 +.sym 13688 $abc$51270$n758 +.sym 13689 I2C.FLT_SCL.RESET +.sym 13692 $abc$51270$n729 +.sym 13693 $abc$51270$n22 +.sym 13694 $false +.sym 13695 $false +.sym 13698 $abc$51270$n756 +.sym 13699 $abc$51270$n764 +.sym 13700 $abc$51270$n757 +.sym 13701 $false +.sym 13704 $abc$51270$n758 +.sym 13705 $abc$51270$n728 +.sym 13706 $abc$51270$n755 +.sym 13707 $false +.sym 13710 $abc$51270$n756 +.sym 13711 $abc$51270$n764 +.sym 13712 $abc$51270$n776 +.sym 13713 $false +.sym 13716 $abc$51270$n22 +.sym 13717 $abc$51270$n729 +.sym 13718 I2C.FLT_SCL.RESET .sym 13719 $false -.sym 13722 I2C.received_byte[4] -.sym 13723 I2C.received_byte[5] -.sym 13724 $abc$56607$n1074 -.sym 13725 $false -.sym 13729 $abc$56607$n848 -.sym 13730 $abc$56607$n1509 -.sym 13731 $abc$56607$n863 -.sym 13732 $abc$56607$n1075 -.sym 13733 $abc$56607$n1211 -.sym 13734 $abc$56607$n849 -.sym 13735 i2c_input_data_type[0] -.sym 13736 i2c_input_data_type[3] -.sym 13803 $abc$56607$n1073 -.sym 13804 I2C.received_byte[0] -.sym 13805 I2C.received_byte[4] -.sym 13806 $abc$56607$n1074 -.sym 13809 $abc$56607$n988 -.sym 13810 I2C.is_read -.sym 13811 $false +.sym 13722 $abc$51270$n761 +.sym 13723 $abc$51270$n1149 +.sym 13724 $abc$51270$n755 +.sym 13725 $abc$51270$n779 +.sym 13729 $abc$51270$n791 +.sym 13730 $abc$51270$n769 +.sym 13731 $abc$51270$n788 +.sym 13732 $abc$51270$n735 +.sym 13733 $abc$51270$n734 +.sym 13734 $abc$51270$n736 +.sym 13735 $abc$51270$n759 +.sym 13736 LED1$2 +.sym 13803 $abc$51270$n771 +.sym 13804 $abc$51270$n1149 +.sym 13805 $abc$51270$n917 +.sym 13806 $abc$51270$n776 +.sym 13809 $abc$51270$n759 +.sym 13810 $abc$51270$n917 +.sym 13811 $abc$51270$n774 .sym 13812 $false -.sym 13815 $abc$56607$n667 -.sym 13816 $abc$56607$n747 -.sym 13817 $abc$56607$n742 -.sym 13818 $false -.sym 13821 $abc$56607$n1073 -.sym 13822 $abc$56607$n745 -.sym 13823 I2C.received_byte[3] +.sym 13815 $abc$51270$n739 +.sym 13816 UART.tx_activity +.sym 13817 uart_double_ff +.sym 13818 last_uart_active +.sym 13821 $abc$51270$n739 +.sym 13822 $abc$51270$n769 +.sym 13823 $false .sym 13824 $false -.sym 13827 $abc$56607$n769 -.sym 13828 I2C.FLT_SCL.RESET -.sym 13829 $false +.sym 13827 $abc$51270$n771 +.sym 13828 $abc$51270$n778 +.sym 13829 $abc$51270$n773 .sym 13830 $false -.sym 13833 $abc$56607$n741 -.sym 13834 $abc$56607$n774 -.sym 13835 $abc$56607$n776 -.sym 13836 $abc$56607$n772 -.sym 13839 $abc$56607$n836 -.sym 13840 $abc$56607$n776 -.sym 13841 $abc$56607$n819 -.sym 13842 $abc$56607$n1544_1 -.sym 13845 $3\report_wr_en[0:0] +.sym 13833 $abc$51270$n772 +.sym 13834 $abc$51270$n744 +.sym 13835 $false +.sym 13836 $false +.sym 13839 $abc$51270$n769 +.sym 13840 $abc$51270$n762 +.sym 13841 $abc$51270$n775 +.sym 13842 $false +.sym 13845 $2\uart_double_ff[0:0] .sym 13846 $false .sym 13847 $false .sym 13848 $false -.sym 13849 $abc$56607$n1014 +.sym 13849 $abc$51270$n1168 .sym 13850 CLK$2$2 -.sym 13851 $abc$56607$n23 -.sym 13852 $abc$56607$n873 -.sym 13853 $abc$56607$n760 -.sym 13854 $abc$56607$n901 -.sym 13855 $abc$56607$n1191 -.sym 13856 $abc$56607$n766 -.sym 13857 $abc$56607$n778 -.sym 13858 $abc$56607$n779 -.sym 13859 uart_double_ff -.sym 13926 $abc$56607$n741 -.sym 13927 $abc$56607$n774 -.sym 13928 $abc$56607$n754 -.sym 13929 $abc$56607$n988 -.sym 13932 last_trans -.sym 13933 I2C_TRANS -.sym 13934 $false -.sym 13935 $false -.sym 13938 $2\uart_double_ff[0:0] -.sym 13939 $abc$56607$n19 -.sym 13940 $false -.sym 13941 $false -.sym 13944 $abc$56607$n742 -.sym 13945 $abc$56607$n19 -.sym 13946 $abc$56607$n741 -.sym 13947 $false -.sym 13950 $abc$56607$n742 -.sym 13951 $abc$56607$n19 -.sym 13952 $abc$56607$n776 -.sym 13953 $false -.sym 13956 $abc$56607$n754 -.sym 13957 $abc$56607$n819 -.sym 13958 $abc$56607$n769 +.sym 13851 $abc$51270$n35$2 +.sym 13852 $abc$51270$n761 +.sym 13853 $abc$51270$n687 +.sym 13854 $abc$51270$n917 +.sym 13855 $abc$51270$n974 +.sym 13856 $abc$51270$n762 +.sym 13857 $abc$51270$n767 +.sym 13858 $abc$51270$n838 +.sym 13859 report_data_radr[0] +.sym 13888 $true +.sym 13925 ring_wr[0]$2 +.sym 13926 $false +.sym 13927 ring_wr[0] +.sym 13928 $false +.sym 13929 $false +.sym 13931 $auto$alumacc.cc:474:replace_alu$9579.C[2] +.sym 13933 $false +.sym 13934 ring_wr[1] +.sym 13937 $auto$alumacc.cc:474:replace_alu$9579.C[3] +.sym 13938 $false +.sym 13939 $false +.sym 13940 ring_wr[2] +.sym 13941 $auto$alumacc.cc:474:replace_alu$9579.C[2] +.sym 13943 $auto$alumacc.cc:474:replace_alu$9579.C[4] +.sym 13944 $false +.sym 13945 $false +.sym 13946 ring_wr[3] +.sym 13947 $auto$alumacc.cc:474:replace_alu$9579.C[3] +.sym 13950 $false +.sym 13951 $false +.sym 13952 $false +.sym 13953 $auto$alumacc.cc:474:replace_alu$9579.C[4] +.sym 13956 $abc$51270$n759 +.sym 13957 $abc$51270$n768 +.sym 13958 $abc$51270$n776 .sym 13959 $false -.sym 13962 $abc$56607$n742 -.sym 13963 $abc$56607$n19 -.sym 13964 $abc$56607$n769 -.sym 13965 $abc$56607$n870 -.sym 13968 I2C_TRANS +.sym 13968 $2\ring_wr[3:0][2] .sym 13969 $false .sym 13970 $false .sym 13971 $false -.sym 13972 $abc$56607$n1221 +.sym 13972 $abc$51270$n921 .sym 13973 CLK$2$2 -.sym 13974 $abc$56607$n35$2 -.sym 13975 $abc$56607$n2551 -.sym 13976 $abc$56607$n2548 -.sym 13977 $abc$56607$n1230 -.sym 13978 $abc$56607$n1233 -.sym 13979 $abc$56607$n1232_1 -.sym 13980 $abc$56607$n1231 -.sym 13981 report_data_wadr[3] -.sym 14049 $abc$56607$n733 -.sym 14050 $abc$56607$n670 -.sym 14051 $false -.sym 14052 $false -.sym 14055 $abc$56607$n22 -.sym 14056 $3\report_wr_en[0:0] -.sym 14057 I2C.FLT_SCL.RESET +.sym 13974 $abc$51270$n35$2 +.sym 13975 $abc$51270$n740 +.sym 13976 $abc$51270$n1089 +.sym 13977 $abc$51270$n1865 +.sym 13978 $2\ring_wr[3:0][0] +.sym 13979 $abc$51270$n741 +.sym 13980 $abc$51270$n742 +.sym 13981 $abc$51270$n1091 +.sym 13982 $abc$51270$n1088 +.sym 14055 $abc$51270$n1874 +.sym 14056 ring_wr[3] +.sym 14057 $abc$51270$n1091 .sym 14058 $false -.sym 14067 ring_wr[3] -.sym 14068 $abc$56607$n1911 -.sym 14069 $abc$56607$n1089 +.sym 14061 $abc$51270$n1871 +.sym 14062 ring_wr[2] +.sym 14063 $abc$51270$n1091 +.sym 14064 $false +.sym 14067 $abc$51270$n1091 +.sym 14068 ring_wr[0] +.sym 14069 ring_wr[1] .sym 14070 $false -.sym 14073 $2\ring_wr[3:0][1] +.sym 14073 $2\ring_wr[3:0][3] .sym 14074 $false .sym 14075 $false .sym 14076 $false -.sym 14079 $2\ring_wr[3:0][2] +.sym 14079 $2\ring_wr[3:0][0] .sym 14080 $false .sym 14081 $false .sym 14082 $false -.sym 14085 $2\ring_wr[3:0][0] +.sym 14085 $2\ring_wr[3:0][1] .sym 14086 $false .sym 14087 $false .sym 14088 $false -.sym 14091 $2\ring_wr[3:0][3] -.sym 14092 $false -.sym 14093 $false -.sym 14094 $false -.sym 14095 $abc$56607$n992 +.sym 14095 $abc$51270$n921 .sym 14096 CLK$2$2 -.sym 14097 $abc$56607$n35$2 -.sym 14098 $abc$56607$n1223 -.sym 14099 $abc$56607$n1228 -.sym 14100 $abc$56607$n1226 -.sym 14101 $abc$56607$n895 -.sym 14102 $abc$56607$n1227_1 -.sym 14103 $abc$56607$n1224 -.sym 14104 report_data_wadr[2] -.sym 14105 report_data_wadr[1] -.sym 14214 $abc$56607$n1089 -.sym 14215 ring_wr[0] -.sym 14216 ring_wr[1] -.sym 14217 $false -.sym 14221 $abc$56607$n1541 -.sym 14222 $abc$56607$n896 -.sym 14224 UART.tx_clk_counter[0] -.sym 14226 UART.tx_clk_counter[1] -.sym 14257 $true -.sym 14294 UART.tx_clk_counter[0]$2 -.sym 14295 $false -.sym 14296 UART.tx_clk_counter[0] -.sym 14297 $false -.sym 14298 $false -.sym 14300 $auto$alumacc.cc:470:replace_alu$12197.C[2] -.sym 14302 UART.tx_clk_counter[1] -.sym 14303 $true$2 -.sym 14306 $auto$alumacc.cc:470:replace_alu$12197.C[3] -.sym 14307 $false -.sym 14308 UART.tx_clk_counter[2] -.sym 14309 $true$2 -.sym 14310 $auto$alumacc.cc:470:replace_alu$12197.C[2] -.sym 14313 $false -.sym 14314 UART.tx_clk_counter[3] -.sym 14315 $true$2 -.sym 14316 $auto$alumacc.cc:470:replace_alu$12197.C[3] -.sym 14319 KEYBOARD.init_ram_cnt[8] +.sym 14097 $abc$51270$n35$2 +.sym 14098 $abc$51270$n2413 +.sym 14099 report_data_radr[7] +.sym 14100 report_data_radr[5] +.sym 14101 report_data_radr[6] +.sym 14102 report_data_radr[4] +.sym 14104 report_data_radr[3] +.sym 14105 report_data_radr[2] +.sym 14134 $true +.sym 14171 $abc$51270$n194$2 +.sym 14172 $false +.sym 14173 $abc$51270$n194 +.sym 14174 $false +.sym 14175 $false +.sym 14177 $auto$alumacc.cc:474:replace_alu$9534.C[2] +.sym 14179 $true$2 +.sym 14180 $abc$51270$n1918 +.sym 14183 $auto$alumacc.cc:474:replace_alu$9534.C[3] +.sym 14185 $false +.sym 14186 $abc$51270$n191 +.sym 14189 $auto$alumacc.cc:474:replace_alu$9534.C[4] +.sym 14191 $true$2 +.sym 14192 $abc$51270$n2475 +.sym 14195 $auto$alumacc.cc:474:replace_alu$9534.C[5] +.sym 14197 $false +.sym 14198 $abc$51270$n188 +.sym 14201 $auto$alumacc.cc:474:replace_alu$9534.C[6] +.sym 14203 $false +.sym 14204 $abc$51270$n187 +.sym 14207 $auto$alumacc.cc:474:replace_alu$9534.C[7] +.sym 14209 $false +.sym 14210 $abc$51270$n185 +.sym 14213 $abc$51270$n2423 +.sym 14215 $false +.sym 14216 $abc$51270$n184 +.sym 14223 $abc$51270$n1788 +.sym 14224 $abc$51270$n1791 +.sym 14225 $abc$51270$n1794 +.sym 14226 $abc$51270$n1797 +.sym 14227 $abc$51270$n1800 +.sym 14228 $abc$51270$n1803 +.sym 14295 init_ram_cnt[7] +.sym 14296 init_ram_cnt[5] +.sym 14297 $abc$51270$n730 +.sym 14298 $abc$51270$n2423 +.sym 14301 $abc$51270$n730 +.sym 14302 init_ram_cnt[7] +.sym 14303 init_ram_cnt[5] +.sym 14304 $false +.sym 14319 init_ram_cnt[7] .sym 14320 $false .sym 14321 $false .sym 14322 $false -.sym 14325 $abc$56607$n1541 -.sym 14326 $abc$56607$n1544 -.sym 14327 $abc$56607$n1545 +.sym 14325 $abc$51270$n22 +.sym 14326 $false +.sym 14327 $false .sym 14328 $false -.sym 14331 $abc$56607$n1544 -.sym 14332 $abc$56607$n895 +.sym 14331 init_ram_cnt[2] +.sym 14332 $false .sym 14333 $false .sym 14334 $false -.sym 14337 $abc$56607$n1545 -.sym 14338 $abc$56607$n895 +.sym 14337 init_ram_cnt[5] +.sym 14338 $false .sym 14339 $false .sym 14340 $false -.sym 14341 $abc$56607$n1315 -.sym 14342 CLK$2$2 -.sym 14343 $abc$56607$n35$2 -.sym 14388 $abc$56607$n31 -.sym 14418 $abc$56607$n33 -.sym 14444 $abc$56607$n33 -.sym 14445 $abc$56607$n697 -.sym 14446 $abc$56607$n706 -.sym 14447 $abc$56607$n699 -.sym 14448 $abc$56607$n700 -.sym 14450 $abc$56607$n707 -.sym 14451 I2C.FLT_SDA.out -.sym 14519 KBD_COLUMNS[4]$2 -.sym 14520 $false -.sym 14521 $false +.sym 14388 $abc$51270$n23 +.sym 14418 $abc$51270$n33 +.sym 14446 $abc$51270$n706 +.sym 14447 $abc$51270$n942 +.sym 14448 KEYBOARD.COLS_SHADOW[5] +.sym 14449 KEYBOARD.COLS_SHADOW[4] +.sym 14519 $abc$51270$n394 +.sym 14520 $abc$51270$n383 +.sym 14521 $abc$51270$n396 .sym 14522 $false -.sym 14525 KBD_COLUMNS[3]$2 +.sym 14525 KEYBOARD.init_ram_cnt[8] .sym 14526 $false .sym 14527 $false .sym 14528 $false -.sym 14531 KBD_COLUMNS[0]$2 -.sym 14532 $false +.sym 14531 KEYBOARD.COLS_SHADOW[5] +.sym 14532 $abc$51270$n711 .sym 14533 $false .sym 14534 $false -.sym 14543 KBD_COLUMNS[7]$2 +.sym 14543 KEYBOARD.kbd_code_hid[4] .sym 14544 $false .sym 14545 $false .sym 14546 $false -.sym 14549 KBD_COLUMNS[2]$2 +.sym 14549 KEYBOARD.kbd_code_hid[6] .sym 14550 $false .sym 14551 $false .sym 14552 $false -.sym 14555 KBD_COLUMNS[1]$2 +.sym 14555 KEYBOARD.kbd_code_hid[3] .sym 14556 $false .sym 14557 $false .sym 14558 $false -.sym 14561 KBD_COLUMNS[5]$2 +.sym 14561 KEYBOARD.kbd_code_hid[1] .sym 14562 $false .sym 14563 $false .sym 14564 $false -.sym 14565 $true +.sym 14565 $abc$51270$n1313 .sym 14566 CLK$2$2 -.sym 14567 $false +.sym 14567 $abc$51270$n27 .sym 14570 KBD_COLUMNS[5]$2 -.sym 14572 $abc$56607$n2480 -.sym 14573 $abc$56607$n1501 -.sym 14574 $abc$56607$n1004 -.sym 14575 $abc$56607$n1632 -.sym 14576 $abc$56607$n1502 -.sym 14577 I2C.FLT_SDA.counter[1] -.sym 14578 I2C.FLT_SDA.counter[2] -.sym 14579 I2C.FLT_SDA.counter[0] -.sym 14644 $true -.sym 14681 I2C.FLT_SDA.counter[0]$2 -.sym 14682 $false -.sym 14683 I2C.FLT_SDA.counter[0] -.sym 14684 $false +.sym 14572 $abc$51270$n938 +.sym 14573 $abc$51270$n985 +.sym 14574 $abc$51270$n910 +.sym 14575 $abc$51270$n900 +.sym 14576 $abc$51270$n925 +.sym 14577 $abc$51270$n935 +.sym 14578 $abc$51270$n898 +.sym 14579 $abc$51270$n968_1 +.sym 14682 $abc$51270$n27 +.sym 14683 $abc$51270$n915 +.sym 14684 $abc$51270$n910 .sym 14685 $false -.sym 14687 $auto$alumacc.cc:470:replace_alu$12161.C[2] -.sym 14689 I2C.FLT_SDA.counter[1] -.sym 14690 $true$2 -.sym 14694 $false -.sym 14695 I2C.FLT_SDA.counter[2] -.sym 14696 $true$2 -.sym 14697 $auto$alumacc.cc:470:replace_alu$12161.C[2] -.sym 14700 $abc$56607$n2231 -.sym 14701 KEYBOARD.row_counter[0] -.sym 14702 $abc$56607$n33$2 +.sym 14688 $abc$51270$n926 +.sym 14689 $abc$51270$n925 +.sym 14690 $false +.sym 14691 $false +.sym 14694 $abc$51270$n953 +.sym 14695 $abc$51270$n1418$2 +.sym 14696 $abc$51270$n926 +.sym 14697 $false +.sym 14700 $abc$51270$n924 +.sym 14701 $abc$51270$n930 +.sym 14702 $abc$51270$n27 .sym 14703 $false -.sym 14706 I2C.FLT_SDA.counter[0] -.sym 14707 I2C.FLT_SDA.counter[1] -.sym 14708 I2C.FLT_SDA.counter[2] -.sym 14709 $false -.sym 14712 $abc$56607$n1003 -.sym 14713 $abc$56607$n1635 -.sym 14714 $false -.sym 14715 $false -.sym 14718 $abc$56607$n27 -.sym 14719 $false -.sym 14720 $false -.sym 14721 $false -.sym 14728 $abc$56607$n1490$2 +.sym 14706 $abc$51270$n1418$2 +.sym 14707 $abc$51270$n923 +.sym 14708 $abc$51270$n935 +.sym 14709 $abc$51270$n898 +.sym 14712 $abc$51270$n920 +.sym 14713 $abc$51270$n899 +.sym 14714 $abc$51270$n1457_1 +.sym 14715 $abc$51270$n935 +.sym 14718 $abc$51270$n952_1 +.sym 14719 $abc$51270$n946 +.sym 14720 $abc$51270$n27 +.sym 14721 $abc$51270$n910 +.sym 14724 $abc$51270$n27 +.sym 14725 $abc$51270$n953 +.sym 14726 $abc$51270$n924 +.sym 14727 $abc$51270$n911 +.sym 14728 $abc$51270$n1415 .sym 14729 CLK$2$2 -.sym 14730 $false -.sym 14731 $abc$56607$n994 -.sym 14732 $abc$56607$n690 -.sym 14733 $abc$56607$n683 -.sym 14734 $abc$56607$n689 -.sym 14735 $abc$56607$n695 -.sym 14736 $abc$56607$n680 -.sym 14737 $abc$56607$n686 -.sym 14738 $abc$56607$n730 -.sym 14805 $abc$56607$n698 -.sym 14806 $abc$56607$n710 -.sym 14807 $abc$56607$n695 +.sym 14730 $abc$51270$n35$2 +.sym 14733 $abc$51270$n1860 +.sym 14734 $abc$51270$n1862 +.sym 14735 $abc$51270$n958 +.sym 14736 $abc$51270$n1325 +.sym 14737 $abc$51270$n959 +.sym 14738 $abc$51270$n1354 +.sym 14805 $false +.sym 14806 $false +.sym 14807 wr_cnt[0] .sym 14808 $false -.sym 14811 $abc$56607$n680 -.sym 14812 $abc$56607$n683 -.sym 14813 $abc$56607$n684 +.sym 14811 $abc$51270$n27 +.sym 14812 KEYBOARD.kbd_code_hid[4] +.sym 14813 $false .sym 14814 $false -.sym 14817 KEYBOARD.row_time[2] -.sym 14818 $abc$56607$n684 -.sym 14819 KEYBOARD.row_time[3] +.sym 14817 $abc$51270$n916 +.sym 14818 $abc$51270$n917_1 +.sym 14819 $false .sym 14820 $false -.sym 14823 $abc$56607$n680 -.sym 14824 $abc$56607$n694 -.sym 14825 $abc$56607$n695 +.sym 14823 $abc$51270$n908 +.sym 14824 $abc$51270$n909 +.sym 14825 $false .sym 14826 $false -.sym 14829 $abc$56607$n698 -.sym 14830 $abc$56607$n724 -.sym 14831 $abc$56607$n683 -.sym 14832 $false -.sym 14835 KEYBOARD.row_time[2] -.sym 14836 $abc$56607$n694 -.sym 14837 $abc$56607$n686 -.sym 14838 KEYBOARD.row_time[3] -.sym 14841 $abc$56607$n694 -.sym 14842 KEYBOARD.row_time[2] -.sym 14843 KEYBOARD.row_time[3] +.sym 14829 $abc$51270$n690 +.sym 14830 $abc$51270$n796_1 +.sym 14831 wr_cnt[0] +.sym 14832 wr_cnt[1] +.sym 14835 $abc$51270$n796_1 +.sym 14836 $abc$51270$n1857 +.sym 14837 $abc$51270$n690 +.sym 14838 $false +.sym 14841 $abc$51270$n690 +.sym 14842 $abc$51270$n796_1 +.sym 14843 $abc$51270$n1860 .sym 14844 $false -.sym 14847 $abc$56607$n2250 -.sym 14848 $false -.sym 14849 $false +.sym 14847 $abc$51270$n690 +.sym 14848 $abc$51270$n796_1 +.sym 14849 $abc$51270$n1862 .sym 14850 $false -.sym 14851 $abc$56607$n1490$2 +.sym 14851 $abc$51270$n937 .sym 14852 CLK$2$2 -.sym 14853 $false -.sym 14854 $abc$56607$n953 -.sym 14855 $abc$56607$n954 -.sym 14856 $abc$56607$n936 -.sym 14857 $abc$56607$n957 -.sym 14858 $abc$56607$n955 -.sym 14859 kbd_report[5][3] -.sym 14860 kbd_report[5][7] -.sym 14861 kbd_report[5][0] -.sym 14890 $true -.sym 14927 KEYBOARD.row_counter[0]$2 -.sym 14928 $false -.sym 14929 KEYBOARD.row_counter[0] -.sym 14930 $false -.sym 14931 $false -.sym 14933 $auto$alumacc.cc:470:replace_alu$12191.C[2] -.sym 14935 $false -.sym 14936 KEYBOARD.row_counter[1] -.sym 14939 $auto$alumacc.cc:470:replace_alu$12191.C[3] -.sym 14940 $false -.sym 14941 $false -.sym 14942 KEYBOARD.row_counter[2] -.sym 14943 $auto$alumacc.cc:470:replace_alu$12191.C[2] -.sym 14946 $false -.sym 14947 $false -.sym 14948 KEYBOARD.row_counter[3] -.sym 14949 $auto$alumacc.cc:470:replace_alu$12191.C[3] -.sym 14952 $abc$56607$n28 -.sym 14953 KEYBOARD.row_counter[3] -.sym 14954 $abc$56607$n33$2 -.sym 14955 $false -.sym 14958 $abc$56607$n27 -.sym 14959 KEYBOARD.kbd_code_hid[5] -.sym 14960 $false -.sym 14961 $false -.sym 14964 KEYBOARD.kbd_code_hid[0] +.sym 14853 $abc$51270$n35$2 +.sym 14854 $abc$51270$n936 +.sym 14855 $abc$51270$n1190 +.sym 14856 $abc$51270$n1365 +.sym 14857 $abc$51270$n970 +.sym 14858 $abc$51270$n937_1 +.sym 14859 $abc$51270$n979 +.sym 14860 $abc$51270$n960 +.sym 14861 I2C.received_byte[1] +.sym 14928 $abc$51270$n1461 +.sym 14929 $abc$51270$n930 +.sym 14930 $abc$51270$n946 +.sym 14931 $abc$51270$n980 +.sym 14934 KEYBOARD.kbd_code_hid[3] +.sym 14935 KEYBOARD.report[2][3] +.sym 14936 KEYBOARD.kbd_code_hid[7] +.sym 14937 KEYBOARD.report[2][7] +.sym 14940 $abc$51270$n981 +.sym 14941 $abc$51270$n982 +.sym 14942 $abc$51270$n983 +.sym 14943 $abc$51270$n984 +.sym 14946 KEYBOARD.report[2][4] +.sym 14947 KEYBOARD.report[2][5] +.sym 14948 KEYBOARD.report[2][6] +.sym 14949 KEYBOARD.report[2][7] +.sym 14952 KEYBOARD.kbd_code_hid[4] +.sym 14953 KEYBOARD.report[2][4] +.sym 14954 KEYBOARD.kbd_code_hid[5] +.sym 14955 KEYBOARD.report[2][5] +.sym 14958 KEYBOARD.kbd_code_hid[0] +.sym 14959 KEYBOARD.report[2][0] +.sym 14960 KEYBOARD.kbd_code_hid[6] +.sym 14961 KEYBOARD.report[2][6] +.sym 14964 $abc$51270$n2317 .sym 14965 $false .sym 14966 $false .sym 14967 $false -.sym 14970 KEYBOARD.kbd_code_hid[3] +.sym 14970 $abc$51270$n2318 .sym 14971 $false .sym 14972 $false .sym 14973 $false -.sym 14974 $abc$56607$n1328 +.sym 14974 $abc$51270$n1365 .sym 14975 CLK$2$2 -.sym 14976 $abc$56607$n27 -.sym 14977 $abc$56607$n952 -.sym 14978 $abc$56607$n1435 -.sym 14979 $abc$56607$n1477 -.sym 14980 $abc$56607$n951 -.sym 14981 $abc$56607$n998 -.sym 14982 $abc$56607$n961 -.sym 14983 KEYBOARD.report[1][7] -.sym 14984 KEYBOARD.report[1][6] -.sym 15051 $abc$56607$n27 -.sym 15052 $abc$56607$n909 -.sym 15053 $abc$56607$n927 -.sym 15054 $false -.sym 15057 $abc$56607$n27 -.sym 15058 $abc$56607$n905 -.sym 15059 $abc$56607$n927 -.sym 15060 $false -.sym 15063 $abc$56607$n1516 -.sym 15064 $abc$56607$n989 -.sym 15065 $abc$56607$n959 -.sym 15066 $false -.sym 15069 $abc$56607$n912 -.sym 15070 $abc$56607$n905 -.sym 15071 $false -.sym 15072 $false -.sym 15075 $abc$56607$n976 -.sym 15076 $abc$56607$n1516 -.sym 15077 $abc$56607$n998 -.sym 15078 $abc$56607$n997 -.sym 15081 $abc$56607$n906 -.sym 15082 $abc$56607$n909 -.sym 15083 $abc$56607$n27 -.sym 15084 $abc$56607$n927 -.sym 15087 $abc$56607$n906 -.sym 15088 $abc$56607$n909 -.sym 15089 $false -.sym 15090 $false -.sym 15093 $abc$56607$n27 -.sym 15094 $abc$56607$n904 -.sym 15095 $abc$56607$n932 -.sym 15096 $abc$56607$n920 -.sym 15097 $abc$56607$n1483 +.sym 14976 $abc$51270$n35$2 +.sym 14977 $abc$51270$n1265 +.sym 14978 $abc$51270$n901 +.sym 14979 $abc$51270$n995 +.sym 14980 I2C_TRANS +.sym 14981 $abc$51270$n871_1 +.sym 14982 $abc$51270$n869 +.sym 14983 $abc$51270$n1374 +.sym 14984 I2C.is_read +.sym 15051 KEYBOARD.report[4][4] +.sym 15052 KEYBOARD.report[4][5] +.sym 15053 KEYBOARD.report[4][6] +.sym 15054 KEYBOARD.report[4][7] +.sym 15057 KEYBOARD.kbd_code_hid[1] +.sym 15058 KEYBOARD.report[4][1] +.sym 15059 KEYBOARD.kbd_code_hid[6] +.sym 15060 KEYBOARD.report[4][6] +.sym 15063 $abc$51270$n1459 +.sym 15064 $abc$51270$n1460_1 +.sym 15065 $abc$51270$n963 +.sym 15066 $abc$51270$n966 +.sym 15069 $abc$51270$n1495 +.sym 15070 $abc$51270$n1006 +.sym 15071 $abc$51270$n972 +.sym 15072 $abc$51270$n1257 +.sym 15075 $abc$51270$n921_1 +.sym 15076 $abc$51270$n922 +.sym 15077 $false +.sym 15078 $false +.sym 15081 KEYBOARD.kbd_code_hid[3] +.sym 15082 KEYBOARD.report[4][3] +.sym 15083 KEYBOARD.kbd_code_hid[4] +.sym 15084 KEYBOARD.report[4][4] +.sym 15087 KEYBOARD.kbd_code_hid[5] +.sym 15088 KEYBOARD.report[4][5] +.sym 15089 KEYBOARD.kbd_code_hid[7] +.sym 15090 KEYBOARD.report[4][7] +.sym 15093 $abc$51270$n2317 +.sym 15094 $false +.sym 15095 $false +.sym 15096 $false +.sym 15097 $abc$51270$n1325 .sym 15098 CLK$2$2 -.sym 15099 $abc$56607$n35$2 -.sym 15100 $abc$56607$n985_1 -.sym 15101 $abc$56607$n987 -.sym 15102 $abc$56607$n1212 -.sym 15103 $abc$56607$n1514 -.sym 15104 $abc$56607$n1516 -.sym 15105 kbd_report[5][1] -.sym 15106 kbd_report[5][6] -.sym 15107 kbd_report[5][2] -.sym 15174 $abc$56607$n910 -.sym 15175 $abc$56607$n911 +.sym 15099 $abc$51270$n35$2 +.sym 15100 $abc$51270$n1495 +.sym 15101 $abc$51270$n2193 +.sym 15102 $abc$51270$n1320 +.sym 15103 $abc$51270$n1381 +.sym 15104 $abc$51270$n918 +.sym 15105 $abc$51270$n971 +.sym 15106 $abc$51270$n919 +.sym 15107 KEYBOARD.report[1][3] +.sym 15174 $abc$51270$n27 +.sym 15175 KEYBOARD.kbd_code_hid[7] .sym 15176 $false .sym 15177 $false -.sym 15180 KEYBOARD.report[1][4] -.sym 15181 KEYBOARD.report[1][5] -.sym 15182 KEYBOARD.report[1][6] -.sym 15183 KEYBOARD.report[1][7] -.sym 15186 KEYBOARD.report[1][3] -.sym 15187 kbd_report[5][3] -.sym 15188 $abc$56607$n312 -.sym 15189 $abc$56607$n1113_1 -.sym 15192 KEYBOARD.kbd_code_hid[5] -.sym 15193 KEYBOARD.report[1][5] -.sym 15194 KEYBOARD.kbd_code_hid[3] -.sym 15195 KEYBOARD.report[1][3] -.sym 15198 $abc$56607$n2460 -.sym 15199 $false -.sym 15200 $false +.sym 15180 KEYBOARD.kbd_code_hid[0] +.sym 15181 KEYBOARD.kbd_code_hid[1] +.sym 15182 KEYBOARD.kbd_code_hid[2] +.sym 15183 $false +.sym 15186 KEYBOARD.kbd_code_hid[6] +.sym 15187 $abc$51270$n913 +.sym 15188 $abc$51270$n912 +.sym 15189 $false +.sym 15192 KEYBOARD.kbd_code_hid[3] +.sym 15193 KEYBOARD.kbd_code_hid[4] +.sym 15194 $abc$51270$n903 +.sym 15195 $false +.sym 15198 KEYBOARD.kbd_code_hid[5] +.sym 15199 KEYBOARD.kbd_code_hid[6] +.sym 15200 KEYBOARD.kbd_code_hid[7] .sym 15201 $false -.sym 15204 $abc$56607$n2458 -.sym 15205 $false -.sym 15206 $false -.sym 15207 $false -.sym 15210 $abc$56607$n2463 +.sym 15204 KEYBOARD.kbd_code_hid[3] +.sym 15205 KEYBOARD.kbd_code_hid[4] +.sym 15206 KEYBOARD.kbd_code_hid[5] +.sym 15207 KEYBOARD.kbd_code_hid[7] +.sym 15210 $abc$51270$n2315 .sym 15211 $false .sym 15212 $false .sym 15213 $false -.sym 15216 $abc$56607$n2461 +.sym 15216 $abc$51270$n2314 .sym 15217 $false .sym 15218 $false .sym 15219 $false -.sym 15220 $abc$56607$n1450 +.sym 15220 $abc$51270$n1365 .sym 15221 CLK$2$2 -.sym 15222 $abc$56607$n35$2 -.sym 15223 $abc$56607$n1150 -.sym 15224 $abc$56607$n1111 -.sym 15225 $abc$56607$n1112 -.sym 15226 $abc$56607$n1151 -.sym 15227 $abc$56607$n1517 -.sym 15228 $abc$56607$n1523_1 -.sym 15229 $abc$56607$n1518 -.sym 15230 $abc$56607$n1149 -.sym 15297 KEYBOARD.report[1][0] -.sym 15298 KEYBOARD.report[1][1] -.sym 15299 KEYBOARD.report[1][2] -.sym 15300 KEYBOARD.report[1][3] -.sym 15303 KEYBOARD.kbd_code_hid[1] -.sym 15304 KEYBOARD.kbd_code_hid[2] -.sym 15305 KEYBOARD.kbd_code_hid[0] -.sym 15306 $false -.sym 15309 $abc$56607$n1120 -.sym 15310 KEYBOARD.report[0][3] -.sym 15311 $abc$56607$n1164 +.sym 15222 $abc$51270$n35$2 +.sym 15223 $abc$51270$n1252 +.sym 15224 $abc$51270$n1283 +.sym 15225 $abc$51270$n1225 +.sym 15226 $abc$51270$n1257 +.sym 15227 $abc$51270$n1200 +.sym 15228 $abc$51270$n1282 +.sym 15229 $abc$51270$n1497 +.sym 15230 I2C.received_byte[3] +.sym 15297 $abc$51270$n1050 +.sym 15298 $abc$51270$n1058 +.sym 15299 $abc$51270$n307 +.sym 15300 $abc$51270$n880 +.sym 15303 $abc$51270$n1048 +.sym 15304 $abc$51270$n1044 +.sym 15305 $abc$51270$n307 +.sym 15306 $abc$51270$n1505 +.sym 15309 $abc$51270$n875 +.sym 15310 $abc$51270$n305 +.sym 15311 $false .sym 15312 $false -.sym 15315 $abc$56607$n1116 -.sym 15316 $abc$56607$n1523_1 -.sym 15317 $abc$56607$n1522 -.sym 15318 $abc$56607$n1163 -.sym 15321 KEYBOARD.report[0][5] -.sym 15322 $abc$56607$n1120 -.sym 15323 $abc$56607$n1189 -.sym 15324 $abc$56607$n1116 -.sym 15327 KEYBOARD.report[0][5] -.sym 15328 KEYBOARD.report[1][5] -.sym 15329 $abc$56607$n1124 -.sym 15330 $abc$56607$n1116 -.sym 15333 $abc$56607$n27 -.sym 15334 KEYBOARD.report[0][6] -.sym 15335 $abc$56607$n1377 -.sym 15336 $false -.sym 15339 $abc$56607$n27 -.sym 15340 KEYBOARD.report[0][5] -.sym 15341 $abc$56607$n1375 +.sym 15315 $abc$51270$n1046_1 +.sym 15316 $abc$51270$n1054 +.sym 15317 $abc$51270$n307 +.sym 15318 $abc$51270$n877 +.sym 15321 $abc$51270$n1506 +.sym 15322 $abc$51270$n305 +.sym 15323 $abc$51270$n1478_1 +.sym 15324 $abc$51270$n1479 +.sym 15327 $abc$51270$n1056 +.sym 15328 $abc$51270$n1052 +.sym 15329 $abc$51270$n307 +.sym 15330 $abc$51270$n875 +.sym 15333 wr_cnt[0] +.sym 15334 wr_cnt[2] +.sym 15335 wr_cnt[1] +.sym 15336 wr_cnt[3] +.sym 15339 I2C.wr +.sym 15340 $false +.sym 15341 $false .sym 15342 $false -.sym 15343 $abc$56607$n1457 +.sym 15343 $true .sym 15344 CLK$2$2 -.sym 15345 $abc$56607$n35$2 -.sym 15346 $abc$56607$n1529_1 -.sym 15347 $abc$56607$n1204 -.sym 15348 $abc$56607$n1203 -.sym 15349 $abc$56607$n1202 -.sym 15350 $abc$56607$n1138 -.sym 15351 $abc$56607$n1145 -.sym 15352 $abc$56607$n1128 -.sym 15353 KEYBOARD.report[2][6] -.sym 15426 $abc$56607$n1126 -.sym 15427 KEYBOARD.report[1][6] -.sym 15428 $abc$56607$n1124 -.sym 15429 $abc$56607$n1202 -.sym 15432 $abc$56607$n1126 -.sym 15433 KEYBOARD.report[1][0] -.sym 15434 $false +.sym 15345 $abc$51270$n35$2 +.sym 15346 $abc$51270$n694 +.sym 15347 $abc$51270$n1254 +.sym 15349 $abc$51270$n1418 +.sym 15350 $abc$51270$n695 +.sym 15351 $abc$51270$n1253 +.sym 15352 $abc$51270$n1255 +.sym 15353 I2C.received_byte[7] +.sym 15420 I2C_HID_DESC.last_rd_request +.sym 15421 I2C.wr +.sym 15422 I2C.FLT_SCL.RESET +.sym 15423 $false +.sym 15426 I2C.received_byte[7] +.sym 15427 $abc$51270$n1058 +.sym 15428 I2C.is_read +.sym 15429 $false +.sym 15432 I2C.received_byte[3] +.sym 15433 $abc$51270$n1050 +.sym 15434 I2C.is_read .sym 15435 $false -.sym 15438 KEYBOARD.report[1][6] -.sym 15439 kbd_report[5][6] -.sym 15440 $abc$56607$n312 -.sym 15441 $abc$56607$n1113_1 -.sym 15444 wr_cnt[0] -.sym 15445 wr_cnt[2] -.sym 15446 wr_cnt[3] -.sym 15447 wr_cnt[1] -.sym 15450 wr_cnt[2] -.sym 15451 wr_cnt[1] -.sym 15452 $abc$56607$n321 +.sym 15444 I2C.received_byte[1] +.sym 15445 $abc$51270$n1046_1 +.sym 15446 I2C.is_read +.sym 15447 $false +.sym 15450 I2C.received_byte[4] +.sym 15451 $abc$51270$n1052 +.sym 15452 I2C.is_read .sym 15453 $false -.sym 15456 $abc$56607$n27 -.sym 15457 KEYBOARD.kbd_code_hid[0] -.sym 15458 $false +.sym 15456 I2C.received_byte[0] +.sym 15457 $abc$51270$n1044 +.sym 15458 I2C.is_read .sym 15459 $false -.sym 15462 $abc$56607$n1528 -.sym 15463 $abc$56607$n1529_1 -.sym 15464 $abc$56607$n1530_1 +.sym 15462 I2C.received_byte[5] +.sym 15463 $abc$51270$n1054 +.sym 15464 I2C.is_read .sym 15465 $false -.sym 15469 $abc$56607$n1115 -.sym 15470 $abc$56607$n1140 -.sym 15471 $abc$56607$n1127 -.sym 15472 $abc$56607$n1144 -.sym 15473 $abc$56607$n1134 -.sym 15475 $abc$56607$n1146 -.sym 15476 KEYBOARD.report[2][1] -.sym 15543 UART_WR -.sym 15544 UART.TX_sig_last -.sym 15545 UART.tx_activity +.sym 15466 $abc$51270$n799 +.sym 15467 CLK$2$2 +.sym 15468 $abc$51270$n19 +.sym 15469 $abc$51270$n1497_1 +.sym 15470 $abc$51270$n861 +.sym 15471 $abc$51270$n814 +.sym 15472 $abc$51270$n1498 +.sym 15473 $abc$51270$n1499_1 +.sym 15474 i2c_input_data_type[1] +.sym 15475 i2c_input_data_type[0] +.sym 15476 i2c_input_data_type[2] +.sym 15543 REPORT_DATA.r_data[1] +.sym 15544 I2C_HID_DESC.VAL[1] +.sym 15545 I2C_OUT_DESC_MASK[1] .sym 15546 $false -.sym 15549 wr_cnt[2] -.sym 15550 wr_cnt[1] -.sym 15551 $abc$56607$n321 +.sym 15549 $abc$51270$n769 +.sym 15550 $abc$51270$n754 +.sym 15551 $false .sym 15552 $false -.sym 15555 $abc$56607$n1140 -.sym 15556 $abc$56607$n1145 -.sym 15557 KEYBOARD.report[0][1] -.sym 15558 $abc$56607$n1124 -.sym 15561 $abc$56607$n1133 -.sym 15562 $abc$56607$n1139 -.sym 15563 $abc$56607$n1130 -.sym 15564 $abc$56607$n1116 -.sym 15567 wr_cnt[2] -.sym 15568 wr_cnt[3] -.sym 15569 wr_cnt[0] -.sym 15570 $false -.sym 15573 $abc$56607$n1120 -.sym 15574 KEYBOARD.report[0][1] -.sym 15575 $abc$56607$n1134 +.sym 15555 REPORT_DATA.r_data[5] +.sym 15556 I2C_TX_DESC[5] +.sym 15557 I2C_OUT_DESC_MASK[5] +.sym 15558 $false +.sym 15573 $abc$51270$n2581 +.sym 15574 $false +.sym 15575 $false .sym 15576 $false -.sym 15579 UART_WR +.sym 15579 $abc$51270$n2580 .sym 15580 $false .sym 15581 $false .sym 15582 $false -.sym 15585 $abc$56607$n896 -.sym 15586 $abc$56607$n898 -.sym 15587 $abc$56607$n893 -.sym 15588 $false -.sym 15589 I2C.FLT_SCL.RESET +.sym 15589 $abc$51270$n1404$2 .sym 15590 CLK$2$2 -.sym 15591 $false -.sym 15592 $abc$56607$n1014 -.sym 15593 $abc$56607$n756 -.sym 15594 $abc$56607$n770 -.sym 15595 $abc$56607$n811 -.sym 15596 $abc$56607$n759 -.sym 15597 $abc$56607$n758 -.sym 15598 $abc$56607$n810 -.sym 15599 $abc$56607$n769 -.sym 15666 I2C.received_byte[0] -.sym 15667 I2C.received_byte[1] -.sym 15668 i2c_input_data_type[0] -.sym 15669 $abc$56607$n809 +.sym 15591 $abc$51270$n31 +.sym 15592 $abc$51270$n839 +.sym 15593 $abc$51270$n1112 +.sym 15594 $abc$51270$n1455 +.sym 15595 $abc$51270$n1086 +.sym 15596 $abc$51270$n21 +.sym 15597 $abc$51270$n693 +.sym 15598 $abc$51270$n840 +.sym 15599 i2c_input_data_type[3] +.sym 15666 I2C.is_read +.sym 15667 $abc$51270$n917 +.sym 15668 I2C.FLT_SCL.RESET +.sym 15669 $abc$51270$n776 .sym 15672 I2C.is_read -.sym 15673 $abc$56607$n832 -.sym 15674 $abc$56607$n807 -.sym 15675 $abc$56607$n736 -.sym 15678 $abc$56607$n1503 -.sym 15679 $abc$56607$n1504 -.sym 15680 $abc$56607$n769 +.sym 15673 $abc$51270$n759 +.sym 15674 $abc$51270$n837 +.sym 15675 $false +.sym 15678 I2C.received_byte[1] +.sym 15679 I2C.received_byte[0] +.sym 15680 $abc$51270$n829 .sym 15681 $false -.sym 15684 $abc$56607$n816_1 -.sym 15685 $abc$56607$n805 -.sym 15686 $abc$56607$n810 -.sym 15687 I2C.FLT_SCL.RESET -.sym 15690 $abc$56607$n809 -.sym 15691 i2c_input_data_type[0] -.sym 15692 $false -.sym 15693 $false -.sym 15696 $abc$56607$n815_1 -.sym 15697 $abc$56607$n806 -.sym 15698 $abc$56607$n807 -.sym 15699 $abc$56607$n22 -.sym 15702 $abc$56607$n808 -.sym 15703 $abc$56607$n805 -.sym 15704 $abc$56607$n806 -.sym 15705 $abc$56607$n807 -.sym 15708 $abc$56607$n804 -.sym 15709 $abc$56607$n754 -.sym 15710 $abc$56607$n1502_1 -.sym 15711 I2C.is_read -.sym 15715 $abc$56607$n270 -.sym 15716 $abc$56607$n771 -.sym 15717 $abc$56607$n754 -.sym 15718 $abc$56607$n815_1 -.sym 15719 $abc$56607$n822 -.sym 15720 $abc$56607$n2620 -.sym 15721 $abc$56607$n269 -.sym 15722 temp_output_report[2] -.sym 15789 i2c_input_data_type[3] -.sym 15790 i2c_input_data_type[2] -.sym 15791 $abc$56607$n1513 -.sym 15792 i2c_input_data_type[0] -.sym 15795 i2c_input_data_type[3] -.sym 15796 i2c_input_data_type[1] -.sym 15797 i2c_input_data_type[2] +.sym 15684 $abc$51270$n848 +.sym 15685 i2c_input_data_type[0] +.sym 15686 $false +.sym 15687 $false +.sym 15690 $abc$51270$n729 +.sym 15691 $abc$51270$n828 +.sym 15692 $abc$51270$n809 +.sym 15693 $abc$51270$n830 +.sym 15696 I2C.is_read +.sym 15697 I2C.byte_counter[1] +.sym 15698 I2C.FLT_SCL.RESET +.sym 15699 I2C.byte_counter[0] +.sym 15702 $abc$51270$n848 +.sym 15703 i2c_input_data_type[1] +.sym 15704 $false +.sym 15705 $false +.sym 15708 $abc$51270$n848 +.sym 15709 i2c_input_data_type[2] +.sym 15710 $false +.sym 15711 $false +.sym 15715 $abc$51270$n835 +.sym 15716 $abc$51270$n834 +.sym 15717 $abc$51270$n812 +.sym 15718 $abc$51270$n829 +.sym 15719 $abc$51270$n832 +.sym 15720 $abc$51270$n831 +.sym 15721 $abc$51270$n833 +.sym 15722 $abc$51270$n850 +.sym 15789 $abc$51270$n729 +.sym 15790 I2C.FLT_SCL.RESET +.sym 15791 $false +.sym 15792 $false +.sym 15795 $abc$51270$n756 +.sym 15796 $abc$51270$n764 +.sym 15797 $abc$51270$n755 .sym 15798 $false -.sym 15801 $abc$56607$n1513 -.sym 15802 i2c_input_data_type[1] -.sym 15803 $false +.sym 15801 KEYBOARD.isr +.sym 15802 last_isr +.sym 15803 $abc$51270$n738 .sym 15804 $false -.sym 15807 i2c_input_data_type[0] -.sym 15808 i2c_input_data_type[3] -.sym 15809 $abc$56607$n1077 +.sym 15807 last_isr +.sym 15808 KEYBOARD.isr +.sym 15809 $false .sym 15810 $false -.sym 15813 i2c_input_data_type[3] -.sym 15814 $abc$56607$n848 -.sym 15815 i2c_input_data_type[2] -.sym 15816 $false -.sym 15819 i2c_input_data_type[3] -.sym 15820 i2c_input_data_type[2] -.sym 15821 $abc$56607$n1513 -.sym 15822 i2c_input_data_type[1] -.sym 15825 $abc$56607$n1075 -.sym 15826 i2c_input_data_type[2] -.sym 15827 $abc$56607$n1513 -.sym 15828 $abc$56607$n1081 -.sym 15831 $abc$56607$n1075 -.sym 15832 $abc$56607$n1077 -.sym 15833 $abc$56607$n1078 +.sym 15813 I2C.FLT_SCL.RESET +.sym 15814 KEYBOARD.isr +.sym 15815 last_isr +.sym 15816 $abc$51270$n755 +.sym 15819 KEYBOARD.isr +.sym 15820 last_isr +.sym 15821 $false +.sym 15822 $false +.sym 15825 $abc$51270$n734 +.sym 15826 $abc$51270$n757 +.sym 15827 $abc$51270$n759 +.sym 15828 $abc$51270$n754 +.sym 15831 KEYBOARD.isr +.sym 15832 $false +.sym 15833 $false .sym 15834 $false -.sym 15835 $abc$56607$n1155 +.sym 15835 $abc$51270$n1163 .sym 15836 CLK$2$2 -.sym 15837 $false -.sym 15840 $abc$56607$n312 -.sym 15841 $abc$56607$n772 -.sym 15842 $abc$56607$n881 -.sym 15843 $abc$56607$n773 -.sym 15844 $abc$56607$n768 -.sym 15845 UART_WR -.sym 15912 i2c_input_data_type[1] -.sym 15913 I2C.is_read -.sym 15914 $abc$56607$n849 -.sym 15915 $abc$56607$n988 -.sym 15918 I2C.is_read -.sym 15919 $abc$56607$n1513 -.sym 15920 $abc$56607$n863 -.sym 15921 $abc$56607$n988 -.sym 15924 i2c_input_data_type[1] -.sym 15925 i2c_input_data_type[0] -.sym 15926 i2c_input_data_type[3] -.sym 15927 i2c_input_data_type[2] -.sym 15930 $2\uart_double_ff[0:0] -.sym 15931 $abc$56607$n745 -.sym 15932 $false -.sym 15933 $false -.sym 15936 $abc$56607$n741 -.sym 15937 $abc$56607$n848 -.sym 15938 $abc$56607$n778 -.sym 15939 $abc$56607$n1509 -.sym 15942 $abc$56607$n1513 -.sym 15943 i2c_input_data_type[0] +.sym 15837 $abc$51270$n35$2 +.sym 15838 $abc$51270$n789 +.sym 15839 $abc$51270$n765 +.sym 15840 $abc$51270$n799_1 +.sym 15841 $abc$51270$n968 +.sym 15842 $abc$51270$n1117 +.sym 15843 $abc$51270$n934 +.sym 15844 $abc$51270$n790 +.sym 15845 COM_DCD$2 +.sym 15912 $abc$51270$n737 +.sym 15913 $abc$51270$n739 +.sym 15914 $abc$51270$n22 +.sym 15915 $false +.sym 15918 $abc$51270$n729 +.sym 15919 $abc$51270$n737 +.sym 15920 I2C.FLT_SCL.RESET +.sym 15921 $abc$51270$n22 +.sym 15924 $abc$51270$n765 +.sym 15925 $abc$51270$n768 +.sym 15926 $abc$51270$n1448 +.sym 15927 $abc$51270$n733 +.sym 15930 $abc$51270$n743 +.sym 15931 UART_WR +.sym 15932 $abc$51270$n736 +.sym 15933 $abc$51270$n740 +.sym 15936 $abc$51270$n764 +.sym 15937 $abc$51270$n735 +.sym 15938 $abc$51270$n744 +.sym 15939 $false +.sym 15942 $abc$51270$n739 +.sym 15943 $abc$51270$n737 .sym 15944 $false .sym 15945 $false -.sym 15948 $abc$56607$n849 -.sym 15949 $abc$56607$n1075 -.sym 15950 $abc$56607$n1072 -.sym 15951 $abc$56607$n745 -.sym 15954 $abc$56607$n1075 -.sym 15955 i2c_input_data_type[3] -.sym 15956 $abc$56607$n1513 -.sym 15957 $abc$56607$n1084 -.sym 15958 $abc$56607$n1155 +.sym 15948 $abc$51270$n728 +.sym 15949 $abc$51270$n737 +.sym 15950 $abc$51270$n739 +.sym 15951 $abc$51270$n22 +.sym 15954 $abc$51270$n690 +.sym 15955 $abc$51270$n687 +.sym 15956 I2C.FLT_SCL.RESET +.sym 15957 $false +.sym 15958 $abc$51270$n788 .sym 15959 CLK$2$2 .sym 15960 $false -.sym 15962 $abc$56607$n1943 -.sym 15963 $abc$56607$n1946 -.sym 15964 $abc$56607$n1949 -.sym 15965 $abc$56607$n867 -.sym 15966 $abc$56607$n781 -.sym 15967 $abc$56607$n1227 -.sym 15968 last_uart_active -.sym 16035 $abc$56607$n760 -.sym 16036 $abc$56607$n753 -.sym 16037 $abc$56607$n756 -.sym 16038 $abc$56607$n1501_1 -.sym 16041 $abc$56607$n763 -.sym 16042 $abc$56607$n766 -.sym 16043 $abc$56607$n761 +.sym 15961 $abc$51270$n1392 +.sym 15963 $abc$51270$n1152 +.sym 15964 $abc$51270$n2581 +.sym 15965 $abc$51270$n2580 +.sym 15966 $2\uart_double_ff[0:0] +.sym 15968 last_trans +.sym 16035 $abc$51270$n764 +.sym 16036 $abc$51270$n736 +.sym 16037 $abc$51270$n762 +.sym 16038 $false +.sym 16041 I2C_TRANS +.sym 16042 last_trans +.sym 16043 $false .sym 16044 $false -.sym 16047 $abc$56607$n779 -.sym 16048 $abc$56607$n778 -.sym 16049 $abc$56607$n1501_1 +.sym 16047 $abc$51270$n728 +.sym 16048 $abc$51270$n736 +.sym 16049 $abc$51270$n767 .sym 16050 $false -.sym 16053 $abc$56607$n741 -.sym 16054 $abc$56607$n753 -.sym 16055 $abc$56607$n756 +.sym 16053 $abc$51270$n759 +.sym 16054 $abc$51270$n753 +.sym 16055 I2C.is_read .sym 16056 $false .sym 16059 I2C_TRANS -.sym 16060 UART_WR -.sym 16061 $abc$56607$n751 -.sym 16062 int_tmr[19] -.sym 16065 $abc$56607$n769 -.sym 16066 $abc$56607$n753 -.sym 16067 $false +.sym 16060 last_trans +.sym 16061 $abc$51270$n19 +.sym 16062 $false +.sym 16065 $abc$51270$n687 +.sym 16066 $abc$51270$n19 +.sym 16067 $abc$51270$n22 .sym 16068 $false -.sym 16071 UART_WR -.sym 16072 int_tmr[19] -.sym 16073 $abc$56607$n761 +.sym 16071 $abc$51270$n19 +.sym 16072 $abc$51270$n769 +.sym 16073 $abc$51270$n761 .sym 16074 $false -.sym 16077 $2\uart_double_ff[0:0] +.sym 16077 $false .sym 16078 $false -.sym 16079 $false +.sym 16079 report_data_radr[0] .sym 16080 $false -.sym 16081 $abc$56607$n1236 +.sym 16081 $abc$51270$n974 .sym 16082 CLK$2$2 -.sym 16083 $abc$56607$n35$2 -.sym 16084 $6\report_data_wadr[7:0][0] -.sym 16085 $abc$56607$n2671 -.sym 16086 $abc$56607$n1249_1 -.sym 16087 $abc$56607$n2549 -.sym 16088 $abc$56607$n1236_1 -.sym 16089 report_data_wadr[4] -.sym 16090 report_data_wadr[7] -.sym 16091 report_data_wadr[0] -.sym 16158 $2\ring_wr[3:0][2] -.sym 16159 $2\ring_wr[3:0][0] -.sym 16160 $false -.sym 16161 $false -.sym 16164 $abc$56607$n1233 -.sym 16165 $abc$56607$n1232_1 -.sym 16166 ring_wr[0] -.sym 16167 $abc$56607$n1234 -.sym 16170 $abc$56607$n1231 -.sym 16171 $2\ring_wr[3:0][0] -.sym 16172 $2\ring_wr[3:0][2] -.sym 16173 $abc$56607$n670 -.sym 16176 wr_cnt[2] -.sym 16177 ring_wr[1] +.sym 16083 $abc$51270$n21 +.sym 16084 $abc$51270$n2532 +.sym 16085 $10\ring_rd[3:0][2] +.sym 16087 $10\ring_rd[3:0][3] +.sym 16088 $10\ring_rd[3:0][0] +.sym 16089 $abc$51270$n2411 +.sym 16090 $10\ring_rd[3:0][1] +.sym 16091 report_data_radr[1] +.sym 16158 ring_rd[2] +.sym 16159 ring_wr[2] +.sym 16160 $abc$51270$n741 +.sym 16161 $abc$51270$n742 +.sym 16164 ring_rd[0] +.sym 16165 $abc$51270$n1865 +.sym 16166 ring_rd[2] +.sym 16167 $abc$51270$n1871 +.sym 16170 $false +.sym 16171 $false +.sym 16172 ring_wr[0] +.sym 16173 $false +.sym 16176 $abc$51270$n741 +.sym 16177 $abc$51270$n1088 .sym 16178 ring_wr[0] -.sym 16179 wr_cnt[1] -.sym 16182 wr_cnt[2] +.sym 16179 $abc$51270$n1865 +.sym 16182 ring_rd[1] .sym 16183 ring_wr[1] -.sym 16184 $abc$56607$n1233 +.sym 16184 $false .sym 16185 $false -.sym 16188 $abc$56607$n1232_1 -.sym 16189 $abc$56607$n1234 -.sym 16190 ring_wr[0] -.sym 16191 $false -.sym 16194 $abc$56607$n1220 -.sym 16195 $abc$56607$n1959 -.sym 16196 $abc$56607$n1230 -.sym 16197 $abc$56607$n22 -.sym 16204 $abc$56607$n1018$2 -.sym 16205 CLK$2$2 -.sym 16206 $false -.sym 16208 $abc$56607$n1247 -.sym 16210 $abc$56607$n1245 -.sym 16211 report_data_wadr[6] -.sym 16214 report_data_wadr[5] -.sym 16281 $2\ring_wr[3:0][0] -.sym 16282 $abc$56607$n1224 -.sym 16283 $abc$56607$n670 -.sym 16284 $abc$56607$n22 -.sym 16287 $abc$56607$n1220 -.sym 16288 init_ram_cnt[1] -.sym 16289 init_ram_cnt[2] -.sym 16290 $abc$56607$n22 -.sym 16293 $2\ring_wr[3:0][1] -.sym 16294 $abc$56607$n1227_1 -.sym 16295 $abc$56607$n670 -.sym 16296 $abc$56607$n22 -.sym 16299 $abc$56607$n896 -.sym 16300 UART.tx_activity -.sym 16301 $false +.sym 16188 ring_rd[0] +.sym 16189 ring_wr[0] +.sym 16190 ring_rd[3] +.sym 16191 ring_wr[3] +.sym 16194 $abc$51270$n741 +.sym 16195 ring_wr[0] +.sym 16196 $abc$51270$n1088 +.sym 16197 $false +.sym 16200 $abc$51270$n1454 +.sym 16201 ring_rd[3] +.sym 16202 $abc$51270$n1874 +.sym 16203 $abc$51270$n1089 +.sym 16208 $abc$51270$n1796 +.sym 16209 $abc$51270$n1799 +.sym 16210 $abc$51270$n1802 +.sym 16211 ring_rd[1] +.sym 16212 ring_rd[3] +.sym 16213 ring_rd[2] +.sym 16214 ring_rd[0] +.sym 16281 $10\ring_rd[3:0][0] +.sym 16282 $10\ring_rd[3:0][2] +.sym 16283 $false +.sym 16284 $false +.sym 16287 $abc$51270$n1803 +.sym 16288 $abc$51270$n1802 +.sym 16289 $abc$51270$n21 +.sym 16290 $false +.sym 16293 $abc$51270$n1797 +.sym 16294 $abc$51270$n1796 +.sym 16295 $abc$51270$n21 +.sym 16296 $false +.sym 16299 $abc$51270$n1800 +.sym 16300 $abc$51270$n1799 +.sym 16301 $abc$51270$n21 .sym 16302 $false -.sym 16305 wr_cnt[1] -.sym 16306 ring_wr[0] -.sym 16307 wr_cnt[2] -.sym 16308 ring_wr[1] -.sym 16311 wr_cnt[1] -.sym 16312 ring_wr[0] -.sym 16313 $false -.sym 16314 $false -.sym 16317 $abc$56607$n1226 -.sym 16318 $abc$56607$n1228 -.sym 16319 $false -.sym 16320 $false -.sym 16323 $abc$56607$n22 -.sym 16324 init_ram_cnt[1] -.sym 16325 $abc$56607$n1220 -.sym 16326 $abc$56607$n1223 -.sym 16327 $abc$56607$n1018$2 +.sym 16305 $abc$51270$n1794 +.sym 16306 $abc$51270$n2413 +.sym 16307 $abc$51270$n2411 +.sym 16308 $abc$51270$n21 +.sym 16317 $abc$51270$n1791 +.sym 16318 $10\ring_rd[3:0][0] +.sym 16319 $10\ring_rd[3:0][2] +.sym 16320 $abc$51270$n21 +.sym 16323 $abc$51270$n1788 +.sym 16324 $10\ring_rd[3:0][1] +.sym 16325 $abc$51270$n21 +.sym 16326 $false +.sym 16327 $abc$51270$n974 .sym 16328 CLK$2$2 .sym 16329 $false -.sym 16332 $abc$56607$n1959 -.sym 16333 $abc$56607$n1961 -.sym 16334 $abc$56607$n1963 -.sym 16335 $abc$56607$n1965 -.sym 16336 $abc$56607$n1967 +.sym 16332 $abc$51270$n1781 +.sym 16333 $abc$51270$n1784 +.sym 16335 KEYBOARD.ROWS_EN[6] +.sym 16366 $true +.sym 16403 report_data_radr[0]$2 .sym 16404 $false -.sym 16405 UART.tx_clk_counter[0] +.sym 16405 report_data_radr[0] .sym 16406 $false -.sym 16407 $true$2 -.sym 16410 UART.tx_clk_counter[1] -.sym 16411 UART.tx_clk_counter[0] -.sym 16412 $abc$56607$n897 -.sym 16413 $false -.sym 16422 $abc$56607$n1541 -.sym 16423 $abc$56607$n895 -.sym 16424 $false -.sym 16425 $false -.sym 16434 UART.tx_clk_counter[1] -.sym 16435 UART.tx_clk_counter[0] -.sym 16436 UART.tx_activity -.sym 16437 $false -.sym 16450 $abc$56607$n1315 -.sym 16451 CLK$2$2 -.sym 16452 $abc$56607$n35$2 -.sym 16497 $abc$56607$n901 -.sym 16555 KEYBOARD.row_time[2] -.sym 16556 KEYBOARD.row_time[3] -.sym 16557 KEYBOARD.row_time[4] -.sym 16558 KEYBOARD.row_time[5] -.sym 16559 KEYBOARD.row_time[6] -.sym 16560 KEYBOARD.row_time[7] -.sym 16628 $abc$56607$n730 -.sym 16629 $abc$56607$n707 -.sym 16630 $false -.sym 16631 $false -.sym 16634 $abc$56607$n698 -.sym 16635 $abc$56607$n699 -.sym 16636 $false -.sym 16637 $false -.sym 16640 KEYBOARD.row_time[4] -.sym 16641 KEYBOARD.row_time[5] -.sym 16642 $abc$56607$n698 -.sym 16643 $abc$56607$n707 -.sym 16646 $abc$56607$n684 -.sym 16647 $abc$56607$n700 -.sym 16648 KEYBOARD.row_time[4] -.sym 16649 KEYBOARD.row_time[5] -.sym 16652 KEYBOARD.row_time[2] -.sym 16653 KEYBOARD.row_time[3] -.sym 16654 KEYBOARD.row_time[6] -.sym 16655 KEYBOARD.row_time[7] -.sym 16664 $abc$56607$n694 -.sym 16665 $abc$56607$n700 -.sym 16666 $false -.sym 16667 $false -.sym 16670 I2C.SDAF -.sym 16671 $false -.sym 16672 $false -.sym 16673 $false -.sym 16674 $abc$56607$n1501 +.sym 16407 $false +.sym 16409 $auto$alumacc.cc:474:replace_alu$9588.C[2] +.sym 16411 $false +.sym 16412 report_data_radr[1] +.sym 16415 $auto$alumacc.cc:474:replace_alu$9588.C[3] +.sym 16416 $false +.sym 16417 $false +.sym 16418 report_data_radr[2] +.sym 16419 $auto$alumacc.cc:474:replace_alu$9588.C[2] +.sym 16421 $auto$alumacc.cc:474:replace_alu$9588.C[4] +.sym 16422 $false +.sym 16423 $false +.sym 16424 report_data_radr[3] +.sym 16425 $auto$alumacc.cc:474:replace_alu$9588.C[3] +.sym 16427 $auto$alumacc.cc:474:replace_alu$9588.C[5] +.sym 16428 $false +.sym 16429 $false +.sym 16430 report_data_radr[4] +.sym 16431 $auto$alumacc.cc:474:replace_alu$9588.C[4] +.sym 16433 $auto$alumacc.cc:474:replace_alu$9588.C[6] +.sym 16434 $false +.sym 16435 $false +.sym 16436 report_data_radr[5] +.sym 16437 $auto$alumacc.cc:474:replace_alu$9588.C[5] +.sym 16439 $auto$alumacc.cc:474:replace_alu$9588.C[7] +.sym 16440 $false +.sym 16441 $false +.sym 16442 report_data_radr[6] +.sym 16443 $auto$alumacc.cc:474:replace_alu$9588.C[6] +.sym 16446 $false +.sym 16447 $false +.sym 16448 report_data_radr[7] +.sym 16449 $auto$alumacc.cc:474:replace_alu$9588.C[7] +.sym 16497 $abc$51270$n950 +.sym 16553 KEYBOARD.COLS_SHADOW[7] +.sym 16640 $abc$51270$n394 +.sym 16641 $abc$51270$n396 +.sym 16642 $abc$51270$n383 +.sym 16643 KEYBOARD.COLS_SHADOW[4] +.sym 16646 $abc$51270$n1418$2 +.sym 16647 $abc$51270$n902 +.sym 16648 $false +.sym 16649 $false +.sym 16652 KEYBOARD.COLS_SHADOW[5] +.sym 16653 KBD_COLUMNS[5]$2 +.sym 16654 $abc$51270$n700 +.sym 16655 $false +.sym 16658 KEYBOARD.COLS_SHADOW[4] +.sym 16659 KBD_COLUMNS[4]$2 +.sym 16660 $abc$51270$n700 +.sym 16661 $false +.sym 16674 $abc$51270$n1402 .sym 16675 CLK$2$2 -.sym 16676 $abc$56607$n35$2 +.sym 16676 $false .sym 16677 KBD_COLUMNS[6]$2 .sym 16679 KBD_COLUMNS[7]$2 -.sym 16681 KEYBOARD.row_time[8] -.sym 16682 KEYBOARD.row_time[9] -.sym 16683 KEYBOARD.row_time[10] -.sym 16684 KEYBOARD.row_time[11] -.sym 16685 KEYBOARD.row_time[12] -.sym 16686 KEYBOARD.row_time[13] -.sym 16687 KEYBOARD.row_time[14] -.sym 16688 KEYBOARD.row_time[15] -.sym 16791 I2C.FLT_SDA.counter[0] -.sym 16792 I2C.FLT_SDA.counter[1] -.sym 16793 $false +.sym 16681 $abc$51270$n1487 +.sym 16683 $abc$51270$n1246 +.sym 16684 $abc$51270$n873 +.sym 16685 $abc$51270$n1228 +.sym 16686 $abc$51270$n1485 +.sym 16687 $abc$51270$n1263 +.sym 16688 I2C.i2c_start_latency +.sym 16791 $abc$51270$n27 +.sym 16792 $abc$51270$n1418$2 +.sym 16793 $abc$51270$n907 .sym 16794 $false -.sym 16797 $abc$56607$n2481 -.sym 16798 $abc$56607$n1632 -.sym 16799 $abc$56607$n1004 -.sym 16800 $false -.sym 16803 $abc$56607$n2480 -.sym 16804 I2C.FLT_SDA.out -.sym 16805 I2C.SDAF +.sym 16797 $abc$51270$n27 +.sym 16798 $abc$51270$n900 +.sym 16799 $abc$51270$n910 +.sym 16800 $abc$51270$n968_1 +.sym 16803 $abc$51270$n911 +.sym 16804 $abc$51270$n1418$2 +.sym 16805 $false .sym 16806 $false -.sym 16809 $false -.sym 16810 I2C.FLT_SDA.counter[0] +.sym 16809 $abc$51270$n907 +.sym 16810 $abc$51270$n901 .sym 16811 $false -.sym 16812 $true$2 -.sym 16815 I2C.FLT_SDA.out -.sym 16816 I2C.SDAF -.sym 16817 $abc$56607$n1003 +.sym 16812 $false +.sym 16815 $abc$51270$n920 +.sym 16816 $abc$51270$n915 +.sym 16817 $abc$51270$n900 .sym 16818 $false -.sym 16821 $abc$56607$n2480 -.sym 16822 $false -.sym 16823 $false -.sym 16824 $false -.sym 16827 $abc$56607$n2481 -.sym 16828 $false -.sym 16829 $false +.sym 16821 $abc$51270$n936 +.sym 16822 $abc$51270$n938 +.sym 16823 $abc$51270$n940 +.sym 16824 $abc$51270$n942 +.sym 16827 $abc$51270$n920 +.sym 16828 $abc$51270$n899 +.sym 16829 $abc$51270$n918 .sym 16830 $false -.sym 16833 $abc$56607$n1003 -.sym 16834 $abc$56607$n1632 +.sym 16833 $abc$51270$n918 +.sym 16834 $abc$51270$n942 .sym 16835 $false .sym 16836 $false -.sym 16837 $abc$56607$n1502 -.sym 16838 CLK$2$2 -.sym 16839 $abc$56607$n35$2 -.sym 16840 $abc$56607$n698 -.sym 16841 $abc$56607$n713 -.sym 16842 $abc$56607$n731 -.sym 16843 $abc$56607$n681 -.sym 16844 $abc$56607$n682 -.sym 16845 $abc$56607$n687 -.sym 16846 $abc$56607$n688 -.sym 16847 KEYBOARD.report[2][4] -.sym 16914 $abc$56607$n681 -.sym 16915 $abc$56607$n682 -.sym 16916 $abc$56607$n683 -.sym 16917 $abc$56607$n690 -.sym 16920 KEYBOARD.row_time[3] -.sym 16921 KEYBOARD.row_time[2] -.sym 16922 KEYBOARD.row_time[0] -.sym 16923 KEYBOARD.row_time[1] -.sym 16926 KEYBOARD.row_time[5] -.sym 16927 KEYBOARD.row_time[7] -.sym 16928 KEYBOARD.row_time[6] -.sym 16929 KEYBOARD.row_time[4] -.sym 16932 KEYBOARD.row_time[4] -.sym 16933 KEYBOARD.row_time[5] -.sym 16934 KEYBOARD.row_time[6] -.sym 16935 KEYBOARD.row_time[7] -.sym 16938 KEYBOARD.row_time[4] -.sym 16939 KEYBOARD.row_time[6] -.sym 16940 KEYBOARD.row_time[7] -.sym 16941 KEYBOARD.row_time[5] -.sym 16944 KEYBOARD.row_time[3] -.sym 16945 $abc$56607$n682 -.sym 16946 KEYBOARD.row_time[2] -.sym 16947 $abc$56607$n681 -.sym 16950 $abc$56607$n681 -.sym 16951 $abc$56607$n687 -.sym 16952 $abc$56607$n688 -.sym 16953 $abc$56607$n689 -.sym 16956 KEYBOARD.row_time[4] -.sym 16957 $abc$56607$n681 -.sym 16958 $abc$56607$n731 -.sym 16959 KEYBOARD.row_time[5] -.sym 16963 $abc$56607$n1494 -.sym 16964 $abc$56607$n2707 -.sym 16965 $abc$56607$n1475 -.sym 16966 $abc$56607$n1495 -.sym 16968 kbd_report[5][4] -.sym 16969 kbd_report[5][5] -.sym 17037 $abc$56607$n954 -.sym 17038 $abc$56607$n955 -.sym 17039 $abc$56607$n956 -.sym 17040 $abc$56607$n957 -.sym 17043 KEYBOARD.kbd_code_hid[7] -.sym 17044 kbd_report[5][7] -.sym 17045 kbd_report[5][3] -.sym 17046 KEYBOARD.kbd_code_hid[3] -.sym 17049 kbd_report[5][0] -.sym 17050 kbd_report[5][1] -.sym 17051 kbd_report[5][2] -.sym 17052 kbd_report[5][3] -.sym 17055 KEYBOARD.kbd_code_hid[2] -.sym 17056 kbd_report[5][2] -.sym 17057 kbd_report[5][1] -.sym 17058 KEYBOARD.kbd_code_hid[1] -.sym 17061 KEYBOARD.kbd_code_hid[6] -.sym 17062 kbd_report[5][6] -.sym 17063 kbd_report[5][0] -.sym 17064 KEYBOARD.kbd_code_hid[0] -.sym 17067 $abc$56607$n2461 -.sym 17068 $false -.sym 17069 $false +.sym 16840 $abc$51270$n1270 +.sym 16841 $abc$51270$n1256 +.sym 16842 $abc$51270$n1258_1 +.sym 16843 $abc$51270$n1269 +.sym 16844 $abc$51270$n307 +.sym 16845 $abc$51270$n2014 +.sym 16846 $abc$51270$n319 +.sym 16847 I2C.i2c_state_machine +.sym 16876 $true +.sym 16913 wr_cnt[0]$2 +.sym 16914 $false +.sym 16915 wr_cnt[0] +.sym 16916 $false +.sym 16917 $false +.sym 16919 $auto$alumacc.cc:474:replace_alu$9582.C[2] +.sym 16921 $false +.sym 16922 wr_cnt[1] +.sym 16925 $auto$alumacc.cc:474:replace_alu$9582.C[3] +.sym 16926 $false +.sym 16927 $false +.sym 16928 wr_cnt[2] +.sym 16929 $auto$alumacc.cc:474:replace_alu$9582.C[2] +.sym 16932 $false +.sym 16933 $false +.sym 16934 wr_cnt[3] +.sym 16935 $auto$alumacc.cc:474:replace_alu$9582.C[3] +.sym 16938 $abc$51270$n936 +.sym 16939 $abc$51270$n938 +.sym 16940 $false +.sym 16941 $false +.sym 16944 $abc$51270$n940 +.sym 16945 $abc$51270$n959 +.sym 16946 $abc$51270$n958 +.sym 16947 $false +.sym 16950 $abc$51270$n920 +.sym 16951 $abc$51270$n899 +.sym 16952 $abc$51270$n960 +.sym 16953 $abc$51270$n968_1 +.sym 16956 $abc$51270$n970 +.sym 16957 $abc$51270$n899 +.sym 16958 $abc$51270$n958 +.sym 16959 $abc$51270$n968_1 +.sym 16963 $abc$51270$n2012 +.sym 16964 $abc$51270$n1257_1 +.sym 16965 $abc$51270$n1294 +.sym 16966 $abc$51270$n882 +.sym 16967 $abc$51270$n867_1 +.sym 16968 I2C.i2c_bit_counter[2] +.sym 16969 I2C.i2c_bit_counter[0] +.sym 16970 I2C.i2c_bit_counter[1] +.sym 17037 $abc$51270$n27 +.sym 17038 $abc$51270$n937_1 +.sym 17039 $abc$51270$n904 +.sym 17040 $false +.sym 17043 $abc$51270$n867_1 +.sym 17044 $abc$51270$n877 +.sym 17045 $false +.sym 17046 $false +.sym 17049 $abc$51270$n979 +.sym 17050 $abc$51270$n936 +.sym 17051 $abc$51270$n985 +.sym 17052 $false +.sym 17055 $abc$51270$n971 +.sym 17056 $abc$51270$n972 +.sym 17057 $false +.sym 17058 $false +.sym 17061 $abc$51270$n902 +.sym 17062 $abc$51270$n1418$2 +.sym 17063 $false +.sym 17064 $false +.sym 17067 $abc$51270$n980 +.sym 17068 $abc$51270$n937_1 +.sym 17069 $abc$51270$n971 .sym 17070 $false -.sym 17073 $abc$56607$n2465 -.sym 17074 $false -.sym 17075 $false +.sym 17073 $abc$51270$n1461 +.sym 17074 $abc$51270$n27 +.sym 17075 $abc$51270$n937_1 .sym 17076 $false -.sym 17079 $abc$56607$n2458 +.sym 17079 I2C.FLT_SDA.out .sym 17080 $false .sym 17081 $false .sym 17082 $false -.sym 17083 $abc$56607$n1407 +.sym 17083 $abc$51270$n1190 .sym 17084 CLK$2$2 -.sym 17085 $abc$56607$n35$2 -.sym 17086 $abc$56607$n968 -.sym 17087 $abc$56607$n950 -.sym 17088 $abc$56607$n958 -.sym 17089 $abc$56607$n901_1 -.sym 17090 $abc$56607$n1328 -.sym 17091 $abc$56607$n1422 -.sym 17092 $abc$56607$n1407 -.sym 17093 KEYBOARD.report[1][4] -.sym 17160 $abc$56607$n27 -.sym 17161 $abc$56607$n927 -.sym 17162 $false -.sym 17163 $false -.sym 17166 $abc$56607$n952 -.sym 17167 $abc$56607$n976 -.sym 17168 $abc$56607$n959 -.sym 17169 $abc$56607$n975 -.sym 17172 $abc$56607$n27 -.sym 17173 $abc$56607$n944 -.sym 17174 $abc$56607$n927 +.sym 17085 $false +.sym 17086 $abc$51270$n1483_1 +.sym 17087 $abc$51270$n1490 +.sym 17088 $abc$51270$n1482 +.sym 17089 $abc$51270$n1489 +.sym 17090 $abc$51270$n1301 +.sym 17091 $abc$51270$n1295 +.sym 17092 I2C.wr +.sym 17093 I2C.is_ack +.sym 17160 $abc$51270$n1253 +.sym 17161 $abc$51270$n1256 +.sym 17162 $abc$51270$n871_1 +.sym 17163 I2C.received_byte[0] +.sym 17166 $abc$51270$n902 +.sym 17167 $abc$51270$n904 +.sym 17168 $false +.sym 17169 $false +.sym 17172 $abc$51270$n27 +.sym 17173 $abc$51270$n901 +.sym 17174 $false .sym 17175 $false -.sym 17178 $abc$56607$n953 -.sym 17179 $abc$56607$n952 +.sym 17178 I2C.i2c_state_machine +.sym 17179 I2C.i2c_start_latency .sym 17180 $false .sym 17181 $false -.sym 17184 $abc$56607$n944 -.sym 17185 $abc$56607$n953 -.sym 17186 $abc$56607$n962 -.sym 17187 $abc$56607$n969 -.sym 17190 $abc$56607$n952 -.sym 17191 $abc$56607$n962 -.sym 17192 $abc$56607$n926 -.sym 17193 $false -.sym 17196 $abc$56607$n2465 -.sym 17197 $false -.sym 17198 $false -.sym 17199 $false -.sym 17202 $abc$56607$n2464 -.sym 17203 $false +.sym 17184 I2C.i2c_state_machine +.sym 17185 $abc$51270$n2006 +.sym 17186 $abc$51270$n872 +.sym 17187 $false +.sym 17190 I2C.i2c_start_latency +.sym 17191 I2C.i2c_state_machine +.sym 17192 $abc$51270$n2006 +.sym 17193 I2C.is_read +.sym 17196 $abc$51270$n995 +.sym 17197 $abc$51270$n1495 +.sym 17198 $abc$51270$n1418$2 +.sym 17199 $abc$51270$n968_1 +.sym 17202 $abc$51270$n1265 +.sym 17203 $abc$51270$n869 .sym 17204 $false .sym 17205 $false -.sym 17206 $abc$56607$n1450 +.sym 17206 $true .sym 17207 CLK$2$2 -.sym 17208 $abc$56607$n35$2 -.sym 17209 $abc$56607$n966 -.sym 17210 $abc$56607$n1210 -.sym 17211 $abc$56607$n1179 -.sym 17212 $abc$56607$n930 -.sym 17213 $abc$56607$n1152 -.sym 17214 KEYBOARD.report[4][5] -.sym 17215 KEYBOARD.report[4][7] -.sym 17216 KEYBOARD.report[4][2] -.sym 17283 KEYBOARD.kbd_code_hid[2] -.sym 17284 KEYBOARD.report[1][2] -.sym 17285 $abc$56607$n986 -.sym 17286 $abc$56607$n987 -.sym 17289 KEYBOARD.report[1][5] -.sym 17290 KEYBOARD.kbd_code_hid[5] -.sym 17291 KEYBOARD.kbd_code_hid[4] -.sym 17292 KEYBOARD.report[1][4] -.sym 17295 kbd_report[5][7] -.sym 17296 KEYBOARD.report[1][7] -.sym 17297 $abc$56607$n312 -.sym 17298 $abc$56607$n1113_1 -.sym 17301 KEYBOARD.kbd_code_hid[7] -.sym 17302 KEYBOARD.report[1][7] -.sym 17303 KEYBOARD.report[1][6] -.sym 17304 KEYBOARD.kbd_code_hid[6] -.sym 17307 $abc$56607$n1515_1 -.sym 17308 $abc$56607$n1514 -.sym 17309 $abc$56607$n985_1 -.sym 17310 $abc$56607$n952 -.sym 17313 $abc$56607$n2459 -.sym 17314 $false +.sym 17208 $false +.sym 17209 $abc$51270$n305 +.sym 17210 $abc$51270$n1500 +.sym 17211 $abc$51270$n1281 +.sym 17212 $abc$51270$n2393 +.sym 17213 $abc$51270$n2470 +.sym 17214 $abc$51270$n2473 +.sym 17215 I2C.received_byte[2] +.sym 17283 $abc$51270$n902 +.sym 17284 $abc$51270$n1494_1 +.sym 17285 $abc$51270$n971 +.sym 17286 $false +.sym 17289 $false +.sym 17290 $false +.sym 17291 KEYBOARD.row_counter[0] +.sym 17292 $false +.sym 17295 I2C.FLT_SCL.RESET +.sym 17296 $abc$51270$n896_1 +.sym 17297 $false +.sym 17298 $false +.sym 17301 $abc$51270$n902 +.sym 17302 $abc$51270$n919 +.sym 17303 I2C.FLT_SCL.RESET +.sym 17304 $false +.sym 17307 $abc$51270$n919 +.sym 17308 I2C.FLT_SCL.RESET +.sym 17309 $false +.sym 17310 $false +.sym 17313 $abc$51270$n911 +.sym 17314 $abc$51270$n27 .sym 17315 $false .sym 17316 $false -.sym 17319 $abc$56607$n2464 -.sym 17320 $false -.sym 17321 $false +.sym 17319 $abc$51270$n911 +.sym 17320 $abc$51270$n896_1 +.sym 17321 KEYBOARD.init_ram_cnt[8] .sym 17322 $false -.sym 17325 $abc$56607$n2460 +.sym 17325 $abc$51270$n2314 .sym 17326 $false .sym 17327 $false .sym 17328 $false -.sym 17329 $abc$56607$n1407 +.sym 17329 $abc$51270$n1374 .sym 17330 CLK$2$2 -.sym 17331 $abc$56607$n35$2 -.sym 17332 $abc$56607$n1209 -.sym 17333 $abc$56607$n1211_1 -.sym 17334 $abc$56607$n972 -.sym 17335 $abc$56607$n1164 -.sym 17336 $abc$56607$n969 -.sym 17337 $abc$56607$n973 -.sym 17338 KEYBOARD.report[6][7] -.sym 17339 KEYBOARD.report[6][5] -.sym 17406 $abc$56607$n1112 -.sym 17407 kbd_report[5][2] -.sym 17408 $abc$56607$n1118 -.sym 17409 KEYBOARD.report[3][2] -.sym 17412 $abc$56607$n1112 -.sym 17413 kbd_report[5][0] -.sym 17414 $abc$56607$n1114 -.sym 17415 KEYBOARD.report[2][0] -.sym 17418 $abc$56607$n1113_1 -.sym 17419 $abc$56607$n312 +.sym 17331 $abc$51270$n35$2 +.sym 17339 $abc$51270$n2431 +.sym 17406 $abc$51270$n1253 +.sym 17407 $abc$51270$n1254 +.sym 17408 $false +.sym 17409 $false +.sym 17412 I2C.byte_counter[2] +.sym 17413 I2C.byte_counter[4] +.sym 17414 I2C.byte_counter[5] +.sym 17415 I2C.byte_counter[7] +.sym 17418 $abc$51270$n882 +.sym 17419 $abc$51270$n880 .sym 17420 $false .sym 17421 $false -.sym 17424 $abc$56607$n1115 -.sym 17425 KEYBOARD.report[1][2] -.sym 17426 KEYBOARD.report[2][2] -.sym 17427 $abc$56607$n1114 -.sym 17430 $abc$56607$n1115 -.sym 17431 KEYBOARD.report[1][0] -.sym 17432 KEYBOARD.report[6][0] -.sym 17433 $abc$56607$n1121 -.sym 17436 $abc$56607$n1119 -.sym 17437 KEYBOARD.report[4][3] -.sym 17438 KEYBOARD.report[6][3] -.sym 17439 $abc$56607$n1121 -.sym 17442 $abc$56607$n1517 -.sym 17443 $abc$56607$n1111 -.sym 17444 $abc$56607$n1117 +.sym 17424 $abc$51270$n896_1 +.sym 17425 KEYBOARD.init_ram_cnt[8] +.sym 17426 I2C.FLT_SCL.RESET +.sym 17427 $false +.sym 17430 $abc$51270$n867_1 +.sym 17431 $abc$51270$n880 +.sym 17432 $false +.sym 17433 $false +.sym 17436 $abc$51270$n1497 +.sym 17437 $abc$51270$n1283 +.sym 17438 I2C.byte_counter[0] +.sym 17439 I2C.byte_counter[1] +.sym 17442 $abc$51270$n2006 +.sym 17443 I2C.byte_counter[3] +.sym 17444 $false .sym 17445 $false -.sym 17448 $abc$56607$n1150 -.sym 17449 $abc$56607$n1151 +.sym 17448 I2C.FLT_SDA.out +.sym 17449 $false .sym 17450 $false .sym 17451 $false -.sym 17455 $abc$56607$n907 -.sym 17456 $abc$56607$n977 -.sym 17457 $abc$56607$n976 -.sym 17458 $abc$56607$n906 -.sym 17459 $abc$56607$n978 -.sym 17460 $abc$56607$n908 -.sym 17461 $abc$56607$n980 -.sym 17462 KEYBOARD.report[2][3] -.sym 17529 $abc$56607$n1119 -.sym 17530 KEYBOARD.report[4][6] -.sym 17531 KEYBOARD.report[6][6] -.sym 17532 $abc$56607$n1121 -.sym 17535 $abc$56607$n1127 -.sym 17536 KEYBOARD.report[2][6] -.sym 17537 KEYBOARD.report[3][6] -.sym 17538 $abc$56607$n1146 -.sym 17541 $abc$56607$n1128 -.sym 17542 kbd_report[5][6] -.sym 17543 KEYBOARD.report[6][6] -.sym 17544 $abc$56607$n1142 -.sym 17547 $abc$56607$n1143 -.sym 17548 KEYBOARD.report[4][6] -.sym 17549 $abc$56607$n1203 -.sym 17550 $abc$56607$n1204 -.sym 17553 $abc$56607$n1112 -.sym 17554 kbd_report[5][1] -.sym 17555 $abc$56607$n1118 -.sym 17556 KEYBOARD.report[3][1] -.sym 17559 $abc$56607$n1128 -.sym 17560 kbd_report[5][1] -.sym 17561 $abc$56607$n1146 -.sym 17562 KEYBOARD.report[3][1] -.sym 17565 wr_cnt[1] -.sym 17566 wr_cnt[2] -.sym 17567 $abc$56607$n321 -.sym 17568 $false -.sym 17571 $abc$56607$n2464 +.sym 17452 $abc$51270$n1200 +.sym 17453 CLK$2$2 +.sym 17454 $false +.sym 17455 $abc$51270$n822 +.sym 17456 $abc$51270$n809 +.sym 17457 $2\I2C_INPUT_LEN[7:0][1] +.sym 17458 $abc$51270$n1076 +.sym 17459 $abc$51270$n1493 +.sym 17460 $abc$51270$n1077 +.sym 17461 $abc$51270$n821 +.sym 17529 I2C.byte_counter[3] +.sym 17530 I2C.byte_counter[2] +.sym 17531 $abc$51270$n695 +.sym 17532 $false +.sym 17535 I2C.received_byte[1] +.sym 17536 I2C.received_byte[7] +.sym 17537 $abc$51270$n1255 +.sym 17538 I2C.received_byte[6] +.sym 17547 I2C.FLT_SCL.RESET +.sym 17548 $abc$51270$n896_1 +.sym 17549 KEYBOARD.init_ram_cnt[8] +.sym 17550 $false +.sym 17553 I2C.byte_counter[4] +.sym 17554 I2C.byte_counter[5] +.sym 17555 I2C.byte_counter[6] +.sym 17556 I2C.byte_counter[7] +.sym 17559 I2C.byte_counter[0] +.sym 17560 I2C.byte_counter[1] +.sym 17561 $abc$51270$n694 +.sym 17562 $false +.sym 17565 I2C.received_byte[4] +.sym 17566 I2C.received_byte[2] +.sym 17567 I2C.received_byte[5] +.sym 17568 I2C.received_byte[3] +.sym 17571 I2C.FLT_SDA.out .sym 17572 $false .sym 17573 $false .sym 17574 $false -.sym 17575 $abc$56607$n1435 +.sym 17575 $abc$51270$n1225 .sym 17576 CLK$2$2 -.sym 17577 $abc$56607$n35$2 -.sym 17578 $abc$56607$n791 -.sym 17579 $abc$56607$n1143 -.sym 17580 $abc$56607$n1113_1 -.sym 17581 $abc$56607$n1114 -.sym 17582 $abc$56607$n305 -.sym 17583 $abc$56607$n2708 -.sym 17584 KEYBOARD.report[4][3] -.sym 17585 KEYBOARD.report[4][1] -.sym 17652 $abc$56607$n312 -.sym 17653 $abc$56607$n1113_1 -.sym 17654 $false -.sym 17655 $false -.sym 17658 $abc$56607$n1141 -.sym 17659 $abc$56607$n1144 +.sym 17577 $false +.sym 17578 $abc$51270$n846 +.sym 17579 $abc$51270$n1080 +.sym 17580 $abc$51270$n1083 +.sym 17581 $abc$51270$n823 +.sym 17582 $abc$51270$n1073 +.sym 17583 $abc$51270$n1081 +.sym 17584 $abc$51270$n1228_1 +.sym 17585 $abc$51270$n859 +.sym 17652 $abc$51270$n809 +.sym 17653 I2C.byte_counter[0] +.sym 17654 I2C.received_byte[2] +.sym 17655 I2C.received_byte[1] +.sym 17658 I2C.is_read +.sym 17659 $abc$51270$n917 .sym 17660 $false .sym 17661 $false -.sym 17664 wr_cnt[1] -.sym 17665 $abc$56607$n321 -.sym 17666 wr_cnt[2] +.sym 17664 i2c_input_data_type[3] +.sym 17665 i2c_input_data_type[1] +.sym 17666 i2c_input_data_type[2] .sym 17667 $false -.sym 17670 $abc$56607$n1126 -.sym 17671 KEYBOARD.report[1][1] -.sym 17672 KEYBOARD.report[2][1] -.sym 17673 $abc$56607$n1127 -.sym 17676 $abc$56607$n1114 -.sym 17677 KEYBOARD.report[2][1] -.sym 17678 $abc$56607$n1135 -.sym 17679 $abc$56607$n1138 -.sym 17688 wr_cnt[1] -.sym 17689 wr_cnt[2] -.sym 17690 $abc$56607$n321 -.sym 17691 $false -.sym 17694 $abc$56607$n2459 -.sym 17695 $false -.sym 17696 $false -.sym 17697 $false -.sym 17698 $abc$56607$n1435 +.sym 17670 $abc$51270$n814 +.sym 17671 $abc$51270$n809 +.sym 17672 $abc$51270$n1496_1 +.sym 17673 $abc$51270$n1497_1 +.sym 17676 $abc$51270$n809 +.sym 17677 $abc$51270$n694 +.sym 17678 $abc$51270$n1498 +.sym 17679 I2C.byte_counter[1] +.sym 17682 $2\uart_double_ff[0:0] +.sym 17683 $abc$51270$n1079 +.sym 17684 $abc$51270$n1080 +.sym 17685 $abc$51270$n739 +.sym 17688 $2\uart_double_ff[0:0] +.sym 17689 $abc$51270$n847 +.sym 17690 $abc$51270$n1073 +.sym 17691 $abc$51270$n739 +.sym 17694 $2\uart_double_ff[0:0] +.sym 17695 $abc$51270$n860 +.sym 17696 $abc$51270$n1083 +.sym 17697 $abc$51270$n739 +.sym 17698 $abc$51270$n1112 .sym 17699 CLK$2$2 -.sym 17700 $abc$56607$n35$2 -.sym 17701 $abc$56607$n793 -.sym 17702 $abc$56607$n1232 -.sym 17703 $abc$56607$n736 -.sym 17704 $abc$56607$n1007 -.sym 17705 $abc$56607$n746 -.sym 17706 $abc$56607$n842 -.sym 17707 $abc$56607$n1018 -.sym 17708 last_isr -.sym 17775 $abc$56607$n757 -.sym 17776 $abc$56607$n791 -.sym 17777 $abc$56607$n770 -.sym 17778 $false -.sym 17781 $abc$56607$n759 -.sym 17782 $abc$56607$n758 -.sym 17783 I2C.FLT_SCL.RESET -.sym 17784 $abc$56607$n757 -.sym 17787 $abc$56607$n733 -.sym 17788 $abc$56607$n771 -.sym 17789 I2C.FLT_SCL.RESET -.sym 17790 $abc$56607$n757 -.sym 17793 $abc$56607$n733 -.sym 17794 $abc$56607$n812 -.sym 17795 $abc$56607$n673 -.sym 17796 $false -.sym 17799 KEYBOARD.isr -.sym 17800 last_isr +.sym 17700 $false +.sym 17701 $abc$51270$n813 +.sym 17702 $abc$51270$n222 +.sym 17703 $abc$51270$n1451 +.sym 17704 $abc$51270$n1046 +.sym 17705 $abc$51270$n1450 +.sym 17706 temp_output_report[2] +.sym 17707 temp_output_report[1] +.sym 17708 temp_output_report[0] +.sym 17775 $abc$51270$n840 +.sym 17776 $abc$51270$n809 +.sym 17777 $abc$51270$n693 +.sym 17778 $abc$51270$n790 +.sym 17781 $abc$51270$n1455 +.sym 17782 $abc$51270$n836 +.sym 17783 $abc$51270$n838 +.sym 17784 $abc$51270$n839 +.sym 17787 $abc$51270$n827 +.sym 17788 $abc$51270$n831 +.sym 17789 $abc$51270$n1499_1 +.sym 17790 $abc$51270$n791 +.sym 17793 $2\uart_double_ff[0:0] +.sym 17794 $abc$51270$n739 +.sym 17795 $abc$51270$n848 +.sym 17796 i2c_input_data_type[3] +.sym 17799 I2C.byte_counter[0] +.sym 17800 $abc$51270$n693 .sym 17801 $false .sym 17802 $false -.sym 17805 $abc$56607$n733 -.sym 17806 $abc$56607$n22 +.sym 17805 $abc$51270$n694 +.sym 17806 I2C.byte_counter[1] .sym 17807 $false .sym 17808 $false -.sym 17811 $abc$56607$n811 -.sym 17812 $abc$56607$n755 -.sym 17813 $false +.sym 17811 $abc$51270$n833 +.sym 17812 I2C.byte_counter[0] +.sym 17813 I2C.byte_counter[1] .sym 17814 $false -.sym 17817 $abc$56607$n842 -.sym 17818 $abc$56607$n759 -.sym 17819 $abc$56607$n770 -.sym 17820 $false -.sym 17828 $abc$56607$n2577 -.sym 17829 $abc$56607$n755 -.sym 17831 temp_output_report[1] -.sym 17898 i2c_input_data_type[0] -.sym 17899 $false -.sym 17900 $false +.sym 17817 I2C.received_byte[3] +.sym 17818 $abc$51270$n1074 +.sym 17819 $abc$51270$n739 +.sym 17820 $abc$51270$n1086 +.sym 17821 $abc$51270$n1112 +.sym 17822 CLK$2$2 +.sym 17823 $false +.sym 17824 $abc$51270$n848 +.sym 17825 $abc$51270$n857 +.sym 17826 $abc$51270$n858 +.sym 17827 $abc$51270$n851 +.sym 17828 $abc$51270$n854 +.sym 17829 $abc$51270$n855 +.sym 17830 $abc$51270$n849 +.sym 17831 LED4$2 +.sym 17898 I2C.received_byte[1] +.sym 17899 I2C.received_byte[0] +.sym 17900 i2c_input_data_type[0] .sym 17901 $false -.sym 17904 $abc$56607$n670 -.sym 17905 $abc$56607$n22 -.sym 17906 $false -.sym 17907 $false -.sym 17910 $abc$56607$n842 -.sym 17911 $abc$56607$n755 -.sym 17912 $false -.sym 17913 $false -.sym 17916 i2c_input_data_type[1] -.sym 17917 i2c_input_data_type[0] -.sym 17918 i2c_input_data_type[3] -.sym 17919 i2c_input_data_type[2] -.sym 17922 i2c_input_data_type[1] -.sym 17923 i2c_input_data_type[3] -.sym 17924 i2c_input_data_type[0] -.sym 17925 i2c_input_data_type[2] -.sym 17928 i2c_input_data_type[2] -.sym 17929 $false -.sym 17930 $false +.sym 17904 $abc$51270$n835 +.sym 17905 I2C.received_byte[3] +.sym 17906 I2C.received_byte[2] +.sym 17907 $abc$51270$n823 +.sym 17910 i2c_input_data_type[3] +.sym 17911 i2c_input_data_type[1] +.sym 17912 i2c_input_data_type[0] +.sym 17913 i2c_input_data_type[2] +.sym 17916 i2c_input_data_type[0] +.sym 17917 $abc$51270$n814 +.sym 17918 $false +.sym 17919 $false +.sym 17922 I2C.received_byte[0] +.sym 17923 $abc$51270$n823 +.sym 17924 $abc$51270$n822 +.sym 17925 $abc$51270$n833 +.sym 17928 $abc$51270$n814 +.sym 17929 $abc$51270$n834 +.sym 17930 $abc$51270$n832 .sym 17931 $false -.sym 17934 i2c_input_data_type[1] -.sym 17935 $false -.sym 17936 $false -.sym 17937 $false -.sym 17940 I2C.received_byte[2] -.sym 17941 $false -.sym 17942 $false +.sym 17934 i2c_input_data_type[3] +.sym 17935 i2c_input_data_type[1] +.sym 17936 i2c_input_data_type[0] +.sym 17937 i2c_input_data_type[2] +.sym 17940 $abc$51270$n851 +.sym 17941 $abc$51270$n812 +.sym 17942 $abc$51270$n2425 .sym 17943 $false -.sym 17944 $abc$56607$n1113 -.sym 17945 CLK$2$2 -.sym 17946 $false -.sym 17947 $abc$56607$n742 -.sym 17948 $abc$56607$n762 -.sym 17949 $13\int_tmr[19:0][0] -.sym 17951 $abc$56607$n761 -.sym 17952 $abc$56607$n744 -.sym 17953 $abc$56607$n743 -.sym 17954 int_tmr[1] -.sym 17983 $true -.sym 18020 wr_cnt[0]$3 -.sym 18021 $false -.sym 18022 wr_cnt[0] -.sym 18023 $false +.sym 17947 $abc$51270$n225 +.sym 17948 $abc$51270$n2455 +.sym 17949 $abc$51270$n224 +.sym 17950 $abc$51270$n230 +.sym 17951 $abc$51270$n228 +.sym 17952 KEYBOARD.ROWS_EN[10] +.sym 17953 KEYBOARD.ROWS_EN[11] +.sym 17954 KEYBOARD.ROWS_EN[15] +.sym 18021 $abc$51270$n790 +.sym 18022 $abc$51270$n753 +.sym 18023 $abc$51270$n759 .sym 18024 $false -.sym 18026 $auto$alumacc.cc:470:replace_alu$12138.C[2] -.sym 18028 wr_cnt[1] -.sym 18029 $false -.sym 18033 $false -.sym 18034 wr_cnt[2] -.sym 18035 $true$2 -.sym 18036 $auto$alumacc.cc:470:replace_alu$12138.C[2] -.sym 18039 $abc$56607$n773 -.sym 18040 $abc$56607$n842 -.sym 18041 $abc$56607$n988 -.sym 18042 $abc$56607$n754 -.sym 18045 $abc$56607$n768 -.sym 18046 $abc$56607$n772 +.sym 18027 $abc$51270$n2422 +.sym 18028 I2C.is_read +.sym 18029 $abc$51270$n753 +.sym 18030 $abc$51270$n917 +.sym 18033 $abc$51270$n21 +.sym 18034 report_data_radr[0] +.sym 18035 $false +.sym 18036 $false +.sym 18039 $abc$51270$n772 +.sym 18040 $abc$51270$n799_1 +.sym 18041 $abc$51270$n778 +.sym 18042 $abc$51270$n789 +.sym 18045 $abc$51270$n772 +.sym 18046 $abc$51270$n776 .sym 18047 $false .sym 18048 $false -.sym 18051 uart_double_ff -.sym 18052 $abc$56607$n774 -.sym 18053 $abc$56607$n762 -.sym 18054 $false -.sym 18057 last_uart_active -.sym 18058 $abc$56607$n741 -.sym 18059 UART.tx_activity -.sym 18060 $abc$56607$n769 -.sym 18063 $abc$56607$n774 -.sym 18064 $abc$56607$n747 +.sym 18051 I2C.FLT_SCL.RESET +.sym 18052 $abc$51270$n21 +.sym 18053 $abc$51270$n789 +.sym 18054 $abc$51270$n1117 +.sym 18057 I2C.is_read +.sym 18058 $abc$51270$n791 +.sym 18059 $abc$51270$n728 +.sym 18060 $false +.sym 18063 LED1$2 +.sym 18064 $false .sym 18065 $false .sym 18066 $false -.sym 18067 $abc$56607$n881 -.sym 18068 CLK$2$2 -.sym 18069 $abc$56607$n35$2 -.sym 18070 $abc$56607$n1501_1 -.sym 18071 $abc$56607$n985 -.sym 18072 $abc$56607$n938 -.sym 18074 COM_DCD$2 -.sym 18076 $abc$56607$n782 -.sym 18077 LED1$2 -.sym 18106 $false -.sym 18143 $auto$maccmap.cc:240:synth$13026.C[5] -.sym 18145 $abc$56607$n2549 -.sym 18146 $abc$56607$n2551 -.sym 18149 $auto$maccmap.cc:240:synth$13026.C[6] -.sym 18150 $false -.sym 18151 $2\ring_wr[3:0][2] -.sym 18152 $abc$56607$n2671 -.sym 18153 $auto$maccmap.cc:240:synth$13026.C[5] -.sym 18155 $auto$maccmap.cc:240:synth$13026.C[7] -.sym 18156 $false -.sym 18157 $2\ring_wr[3:0][3] -.sym 18158 $false -.sym 18159 $auto$maccmap.cc:240:synth$13026.C[6] -.sym 18162 $false -.sym 18163 $false -.sym 18164 $false -.sym 18165 $auto$maccmap.cc:240:synth$13026.C[7] -.sym 18168 $abc$56607$n741 -.sym 18169 UART.tx_activity -.sym 18170 last_uart_active -.sym 18171 $abc$56607$n988 -.sym 18174 $abc$56607$n761 -.sym 18175 UART_WR -.sym 18176 $abc$56607$n769 -.sym 18177 $abc$56607$n753 -.sym 18180 $abc$56607$n778 -.sym 18181 $abc$56607$n867 -.sym 18182 $false -.sym 18183 $false -.sym 18186 UART.tx_activity +.sym 18077 $abc$51270$n1075 +.sym 18144 $abc$51270$n1320 +.sym 18145 $false +.sym 18146 $false +.sym 18147 $false +.sym 18156 $abc$51270$n759 +.sym 18157 $abc$51270$n838 +.sym 18158 $abc$51270$n776 +.sym 18159 $false +.sym 18162 $abc$51270$n2193 +.sym 18163 KEYBOARD.row_counter[0] +.sym 18164 KEYBOARD.row_counter[1] +.sym 18165 $abc$51270$n2199 +.sym 18168 KEYBOARD.row_counter[0] +.sym 18169 KEYBOARD.row_counter[1] +.sym 18170 $abc$51270$n2193 +.sym 18171 $abc$51270$n2199 +.sym 18174 last_trans +.sym 18175 I2C_TRANS +.sym 18176 $false +.sym 18177 $false +.sym 18186 I2C_TRANS .sym 18187 $false .sym 18188 $false .sym 18189 $false -.sym 18190 $abc$56607$n1227 +.sym 18190 $abc$51270$n1152 .sym 18191 CLK$2$2 -.sym 18192 $abc$56607$n35$2 -.sym 18193 $abc$56607$n2546 -.sym 18194 $abc$56607$n1221_1 -.sym 18195 $abc$56607$n1243 -.sym 18196 $abc$56607$n1953 -.sym 18197 $abc$56607$n1234 -.sym 18198 $abc$56607$n1239 -.sym 18199 $abc$56607$n1242 -.sym 18200 int_tmr[19] -.sym 18267 $false -.sym 18268 wr_cnt[0] +.sym 18192 $abc$51270$n35$2 +.sym 18193 $abc$51270$n2582 +.sym 18194 $abc$51270$n2578 +.sym 18195 $abc$51270$n2576 +.sym 18198 $abc$51270$n2579 +.sym 18199 $abc$51270$n2575 +.sym 18267 $10\ring_rd[3:0][1] +.sym 18268 $10\ring_rd[3:0][3] .sym 18269 $false .sym 18270 $false -.sym 18273 $2\ring_wr[3:0][1] -.sym 18274 $2\ring_wr[3:0][3] -.sym 18275 $false +.sym 18273 $abc$51270$n1781 +.sym 18274 ring_rd[2] +.sym 18275 $abc$51270$n740 .sym 18276 $false -.sym 18279 $abc$56607$n1949 -.sym 18280 $6\report_data_wadr[7:0][7] -.sym 18281 $abc$56607$n670 -.sym 18282 $abc$56607$n22 -.sym 18285 $2\ring_wr[3:0][1] -.sym 18286 $2\ring_wr[3:0][3] -.sym 18287 $false +.sym 18285 $abc$51270$n1784 +.sym 18286 ring_rd[3] +.sym 18287 $abc$51270$n740 .sym 18288 $false -.sym 18291 $abc$56607$n1239 -.sym 18292 $abc$56607$n2549 -.sym 18293 $abc$56607$n2551 -.sym 18294 $abc$56607$n670 -.sym 18297 $abc$56607$n1220 -.sym 18298 $abc$56607$n1961 -.sym 18299 $abc$56607$n1236_1 -.sym 18300 $abc$56607$n22 -.sym 18303 $abc$56607$n1220 -.sym 18304 $abc$56607$n1967 -.sym 18305 $abc$56607$n22 -.sym 18306 $abc$56607$n1249_1 -.sym 18309 $abc$56607$n1220 -.sym 18310 $abc$56607$n1221_1 -.sym 18311 $abc$56607$n771 -.sym 18312 $6\report_data_wadr[7:0][0] -.sym 18313 $abc$56607$n1018$2 +.sym 18291 $abc$51270$n1775 +.sym 18292 ring_rd[0] +.sym 18293 $abc$51270$n740 +.sym 18294 $false +.sym 18297 $10\ring_rd[3:0][1] +.sym 18298 $10\ring_rd[3:0][3] +.sym 18299 $false +.sym 18300 $false +.sym 18303 $abc$51270$n740 +.sym 18304 ring_rd[0] +.sym 18305 ring_rd[1] +.sym 18306 $false +.sym 18309 report_data_radr[1] +.sym 18310 $10\ring_rd[3:0][0] +.sym 18311 $abc$51270$n21 +.sym 18312 $false +.sym 18313 $abc$51270$n968 .sym 18314 CLK$2$2 .sym 18315 $false -.sym 18317 $6\report_data_wadr[7:0][5] -.sym 18318 $6\report_data_wadr[7:0][6] -.sym 18319 $6\report_data_wadr[7:0][7] -.sym 18320 $abc$56607$n2680 -.sym 18321 $abc$56607$n2681 -.sym 18322 $abc$56607$n2678 -.sym 18396 $abc$56607$n1946 -.sym 18397 $6\report_data_wadr[7:0][6] -.sym 18398 $abc$56607$n670 -.sym 18399 $abc$56607$n22 -.sym 18408 $abc$56607$n1943 -.sym 18409 $6\report_data_wadr[7:0][5] -.sym 18410 $abc$56607$n670 -.sym 18411 $abc$56607$n22 -.sym 18414 $abc$56607$n1220 -.sym 18415 $abc$56607$n1965 -.sym 18416 $abc$56607$n22 -.sym 18417 $abc$56607$n1247 -.sym 18432 $abc$56607$n1220 -.sym 18433 $abc$56607$n1963 -.sym 18434 $abc$56607$n22 -.sym 18435 $abc$56607$n1245 -.sym 18436 $abc$56607$n1018$2 +.sym 18316 $abc$51270$n1609 +.sym 18319 KEYBOARD.ROWS_EN[2] +.sym 18320 KEYBOARD.ROWS_EN[5] +.sym 18322 KEYBOARD.ROWS_EN[3] +.sym 18352 $false +.sym 18389 $auto$maccmap.cc:240:synth$10473.C[5] +.sym 18391 $abc$51270$n2411 +.sym 18392 $abc$51270$n2413 +.sym 18395 $auto$maccmap.cc:240:synth$10473.C[6] +.sym 18396 $false +.sym 18397 $10\ring_rd[3:0][2] +.sym 18398 $abc$51270$n2532 +.sym 18399 $auto$maccmap.cc:240:synth$10473.C[5] +.sym 18401 $auto$maccmap.cc:240:synth$10473.C[7] +.sym 18402 $false +.sym 18403 $10\ring_rd[3:0][3] +.sym 18404 $false +.sym 18405 $auto$maccmap.cc:240:synth$10473.C[6] +.sym 18408 $false +.sym 18409 $false +.sym 18410 $false +.sym 18411 $auto$maccmap.cc:240:synth$10473.C[7] +.sym 18414 $10\ring_rd[3:0][1] +.sym 18415 $false +.sym 18416 $false +.sym 18417 $false +.sym 18420 $10\ring_rd[3:0][3] +.sym 18421 $false +.sym 18422 $false +.sym 18423 $false +.sym 18426 $10\ring_rd[3:0][2] +.sym 18427 $false +.sym 18428 $false +.sym 18429 $false +.sym 18432 $10\ring_rd[3:0][0] +.sym 18433 $false +.sym 18434 $false +.sym 18435 $false +.sym 18436 $abc$51270$n934 .sym 18437 CLK$2$2 -.sym 18438 $false -.sym 18446 $abc$56607$n22 +.sym 18438 $abc$51270$n35$2 +.sym 18440 KEYBOARD.ROWS_EN[7] +.sym 18444 KEYBOARD.ROWS_EN[1] +.sym 18445 KEYBOARD.ROWS_EN[4] +.sym 18446 KEYBOARD.ROWS_EN[0] .sym 18475 $true -.sym 18512 init_ram_cnt[1]$3 +.sym 18512 ring_rd[0]$2 .sym 18513 $false -.sym 18514 init_ram_cnt[1] +.sym 18514 ring_rd[0] .sym 18515 $false .sym 18516 $false -.sym 18518 $auto$alumacc.cc:470:replace_alu$12144.C[3] -.sym 18520 init_ram_cnt[2] -.sym 18521 $true$2 -.sym 18524 $auto$alumacc.cc:470:replace_alu$12144.C[4] +.sym 18518 $auto$alumacc.cc:474:replace_alu$9585.C[2] +.sym 18520 $false +.sym 18521 ring_rd[1] +.sym 18524 $auto$alumacc.cc:474:replace_alu$9585.C[3] .sym 18525 $false -.sym 18526 init_ram_cnt[3] -.sym 18527 $false -.sym 18528 $auto$alumacc.cc:470:replace_alu$12144.C[3] -.sym 18530 $auto$alumacc.cc:470:replace_alu$12144.C[5] +.sym 18526 $false +.sym 18527 ring_rd[2] +.sym 18528 $auto$alumacc.cc:474:replace_alu$9585.C[2] .sym 18531 $false -.sym 18532 init_ram_cnt[4] -.sym 18533 $true$2 -.sym 18534 $auto$alumacc.cc:470:replace_alu$12144.C[4] -.sym 18536 $auto$alumacc.cc:470:replace_alu$12144.C[6] -.sym 18537 $false -.sym 18538 init_ram_cnt[5] -.sym 18539 $true$2 -.sym 18540 $auto$alumacc.cc:470:replace_alu$12144.C[5] -.sym 18542 $auto$alumacc.cc:470:replace_alu$12144.C[7] -.sym 18543 $false -.sym 18544 init_ram_cnt[6] -.sym 18545 $true$2 -.sym 18546 $auto$alumacc.cc:470:replace_alu$12144.C[6] -.sym 18549 $false -.sym 18550 init_ram_cnt[7] -.sym 18551 $true$2 -.sym 18552 $auto$alumacc.cc:470:replace_alu$12144.C[7] -.sym 18698 $true -.sym 18735 KEYBOARD.row_time[0]$2 -.sym 18736 $false -.sym 18737 KEYBOARD.row_time[0] -.sym 18738 $false +.sym 18532 $false +.sym 18533 ring_rd[3] +.sym 18534 $auto$alumacc.cc:474:replace_alu$9585.C[3] +.sym 18543 $abc$51270$n2581 +.sym 18544 $false +.sym 18545 $false +.sym 18546 $false +.sym 18559 $abc$51270$n1404$2 +.sym 18560 CLK$2$2 +.sym 18561 $abc$51270$n30 +.sym 18736 KEYBOARD.COLS_SHADOW[7] +.sym 18737 KBD_COLUMNS[7]$2 +.sym 18738 $abc$51270$n700 .sym 18739 $false -.sym 18741 $auto$alumacc.cc:470:replace_alu$12194.C[2] -.sym 18743 $false -.sym 18744 KEYBOARD.row_time[1] -.sym 18747 $auto$alumacc.cc:470:replace_alu$12194.C[3] -.sym 18748 $false -.sym 18749 $false -.sym 18750 KEYBOARD.row_time[2] -.sym 18751 $auto$alumacc.cc:470:replace_alu$12194.C[2] -.sym 18753 $auto$alumacc.cc:470:replace_alu$12194.C[4] -.sym 18754 $false -.sym 18755 $false -.sym 18756 KEYBOARD.row_time[3] -.sym 18757 $auto$alumacc.cc:470:replace_alu$12194.C[3] -.sym 18759 $auto$alumacc.cc:470:replace_alu$12194.C[5] -.sym 18760 $false -.sym 18761 $false -.sym 18762 KEYBOARD.row_time[4] -.sym 18763 $auto$alumacc.cc:470:replace_alu$12194.C[4] -.sym 18765 $auto$alumacc.cc:470:replace_alu$12194.C[6] -.sym 18766 $false -.sym 18767 $false -.sym 18768 KEYBOARD.row_time[5] -.sym 18769 $auto$alumacc.cc:470:replace_alu$12194.C[5] -.sym 18771 $auto$alumacc.cc:470:replace_alu$12194.C[7] -.sym 18772 $false -.sym 18773 $false -.sym 18774 KEYBOARD.row_time[6] -.sym 18775 $auto$alumacc.cc:470:replace_alu$12194.C[6] -.sym 18777 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18778 $false -.sym 18779 $false -.sym 18780 KEYBOARD.row_time[7] -.sym 18781 $auto$alumacc.cc:470:replace_alu$12194.C[7] -.sym 18782 $abc$56607$n1490$2 +.sym 18782 $abc$51270$n1402 .sym 18783 CLK$2$2 -.sym 18784 $abc$56607$n33$2 -.sym 18861 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18898 $auto$alumacc.cc:470:replace_alu$12194.C[9] -.sym 18899 $false -.sym 18900 $false -.sym 18901 KEYBOARD.row_time[8] -.sym 18902 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18904 $auto$alumacc.cc:470:replace_alu$12194.C[10] -.sym 18905 $false -.sym 18906 $false -.sym 18907 KEYBOARD.row_time[9] -.sym 18908 $auto$alumacc.cc:470:replace_alu$12194.C[9] -.sym 18910 $auto$alumacc.cc:470:replace_alu$12194.C[11] -.sym 18911 $false -.sym 18912 $false -.sym 18913 KEYBOARD.row_time[10] -.sym 18914 $auto$alumacc.cc:470:replace_alu$12194.C[10] -.sym 18916 $auto$alumacc.cc:470:replace_alu$12194.C[12] -.sym 18917 $false -.sym 18918 $false -.sym 18919 KEYBOARD.row_time[11] -.sym 18920 $auto$alumacc.cc:470:replace_alu$12194.C[11] -.sym 18922 $auto$alumacc.cc:470:replace_alu$12194.C[13] -.sym 18923 $false -.sym 18924 $false -.sym 18925 KEYBOARD.row_time[12] -.sym 18926 $auto$alumacc.cc:470:replace_alu$12194.C[12] -.sym 18928 $auto$alumacc.cc:470:replace_alu$12194.C[14] -.sym 18929 $false -.sym 18930 $false -.sym 18931 KEYBOARD.row_time[13] -.sym 18932 $auto$alumacc.cc:470:replace_alu$12194.C[13] -.sym 18934 $auto$alumacc.cc:470:replace_alu$12194.C[15] -.sym 18935 $false -.sym 18936 $false -.sym 18937 KEYBOARD.row_time[14] -.sym 18938 $auto$alumacc.cc:470:replace_alu$12194.C[14] -.sym 18941 $false +.sym 18784 $false +.sym 18899 $abc$51270$n1269 +.sym 18900 $abc$51270$n1263 +.sym 18901 $abc$51270$n869 +.sym 18902 $false +.sym 18911 I2C.FLT_SCL.out +.sym 18912 $abc$51270$n16 +.sym 18913 $false +.sym 18914 $false +.sym 18917 $abc$51270$n1178 +.sym 18918 $abc$51270$n16 +.sym 18919 I2C.FLT_SCL.out +.sym 18920 $false +.sym 18923 I2C.i2c_start_latency +.sym 18924 I2C.i2c_state_machine +.sym 18925 $abc$51270$n2006 +.sym 18926 $false +.sym 18929 $abc$51270$n1178 +.sym 18930 $abc$51270$n1246 +.sym 18931 $abc$51270$n873 +.sym 18932 $abc$51270$n869 +.sym 18935 $abc$51270$n1257_1 +.sym 18936 I2C.FLT_SCL.out +.sym 18937 $abc$51270$n16 +.sym 18938 $abc$51270$n1178 +.sym 18941 $abc$51270$n2006 .sym 18942 $false -.sym 18943 KEYBOARD.row_time[15] -.sym 18944 $auto$alumacc.cc:470:replace_alu$12194.C[15] -.sym 18945 $abc$56607$n1490$2 +.sym 18943 $false +.sym 18944 $false +.sym 18945 $abc$51270$n1228 .sym 18946 CLK$2$2 -.sym 18947 $abc$56607$n33$2 -.sym 19022 $abc$56607$n681 -.sym 19023 $abc$56607$n687 -.sym 19024 KEYBOARD.row_time[8] -.sym 19025 KEYBOARD.row_time[9] -.sym 19028 KEYBOARD.row_time[4] -.sym 19029 KEYBOARD.row_time[5] -.sym 19030 KEYBOARD.row_time[2] -.sym 19031 KEYBOARD.row_time[3] -.sym 19034 $abc$56607$n688 -.sym 19035 KEYBOARD.row_time[10] -.sym 19036 KEYBOARD.row_time[11] +.sym 18947 $false +.sym 19022 $abc$51270$n1178 +.sym 19023 $abc$51270$n1246 +.sym 19024 $abc$51270$n869 +.sym 19025 $false +.sym 19028 $abc$51270$n1257_1 +.sym 19029 $abc$51270$n1258_1 +.sym 19030 $false +.sym 19031 $false +.sym 19034 $abc$51270$n1246 +.sym 19035 $abc$51270$n1178 +.sym 19036 $false .sym 19037 $false -.sym 19040 KEYBOARD.row_time[12] -.sym 19041 KEYBOARD.row_time[14] -.sym 19042 KEYBOARD.row_time[15] -.sym 19043 KEYBOARD.row_time[13] -.sym 19046 KEYBOARD.row_time[8] -.sym 19047 KEYBOARD.row_time[9] -.sym 19048 KEYBOARD.row_time[10] -.sym 19049 KEYBOARD.row_time[11] -.sym 19052 KEYBOARD.row_time[10] -.sym 19053 KEYBOARD.row_time[11] +.sym 19040 $abc$51270$n1257_1 +.sym 19041 $abc$51270$n1258_1 +.sym 19042 $false +.sym 19043 $false +.sym 19046 $false +.sym 19047 $abc$51270$n2012 +.sym 19048 $false +.sym 19049 $auto$alumacc.cc:474:replace_alu$9620.C[2] +.sym 19052 $abc$51270$n2461 +.sym 19053 $false .sym 19054 $false .sym 19055 $false -.sym 19058 KEYBOARD.row_time[8] -.sym 19059 KEYBOARD.row_time[9] +.sym 19058 $false +.sym 19059 $false .sym 19060 $false -.sym 19061 $false -.sym 19064 $abc$56607$n2462 -.sym 19065 $false -.sym 19066 $false -.sym 19067 $false -.sym 19068 $abc$56607$n1435 +.sym 19061 $abc$51270$n2394 +.sym 19064 $abc$51270$n1262 +.sym 19065 $abc$51270$n1261 +.sym 19066 $abc$51270$n869 +.sym 19067 $abc$51270$n871_1 +.sym 19068 $true .sym 19069 CLK$2$2 -.sym 19070 $abc$56607$n35$2 -.sym 19145 $abc$56607$n27 -.sym 19146 $abc$56607$n912 -.sym 19147 I2C.FLT_SCL.RESET +.sym 19070 $abc$51270$n35$2 +.sym 19145 $abc$51270$n2006 +.sym 19146 I2C.i2c_bit_counter[2] +.sym 19147 $false .sym 19148 $false -.sym 19151 $abc$56607$n2231 -.sym 19152 KEYBOARD.row_counter[0] -.sym 19153 KEYBOARD.row_counter[1] -.sym 19154 $abc$56607$n2237 -.sym 19157 $abc$56607$n932 -.sym 19158 $abc$56607$n934 -.sym 19159 $abc$56607$n1494 -.sym 19160 $false -.sym 19163 $abc$56607$n27 -.sym 19164 I2C.FLT_SCL.RESET -.sym 19165 $abc$56607$n912 -.sym 19166 $false -.sym 19175 $abc$56607$n2462 -.sym 19176 $false -.sym 19177 $false -.sym 19178 $false -.sym 19181 $abc$56607$n2463 -.sym 19182 $false -.sym 19183 $false -.sym 19184 $false -.sym 19191 $abc$56607$n1407 +.sym 19151 $abc$51270$n2006 +.sym 19152 I2C.is_ack +.sym 19153 $false +.sym 19154 $false +.sym 19157 $abc$51270$n1252 +.sym 19158 $abc$51270$n1257_1 +.sym 19159 $abc$51270$n1258_1 +.sym 19160 $abc$51270$n868 +.sym 19163 $abc$51270$n868 +.sym 19164 $abc$51270$n873 +.sym 19165 $abc$51270$n874 +.sym 19166 $abc$51270$n307 +.sym 19169 $abc$51270$n307 +.sym 19170 $abc$51270$n873 +.sym 19171 $abc$51270$n874 +.sym 19172 $abc$51270$n868 +.sym 19175 $abc$51270$n1271 +.sym 19176 $abc$51270$n307 +.sym 19177 $abc$51270$n1267 +.sym 19178 $abc$51270$n2012 +.sym 19181 $abc$51270$n1271 +.sym 19182 $abc$51270$n305 +.sym 19183 $abc$51270$n1267 +.sym 19184 $abc$51270$n2008 +.sym 19187 $abc$51270$n875 +.sym 19188 $abc$51270$n1271 +.sym 19189 $abc$51270$n1267 +.sym 19190 $abc$51270$n2010 +.sym 19191 $true .sym 19192 CLK$2$2 -.sym 19193 $abc$56607$n35$2 -.sym 19268 $abc$56607$n969 -.sym 19269 $abc$56607$n952 -.sym 19270 $false -.sym 19271 $false -.sym 19274 $abc$56607$n1494 -.sym 19275 $abc$56607$n933 -.sym 19276 $false -.sym 19277 $false -.sym 19280 $abc$56607$n1495 -.sym 19281 $abc$56607$n959 -.sym 19282 $false +.sym 19193 $false +.sym 19268 $abc$51270$n1258_1 +.sym 19269 $abc$51270$n868 +.sym 19270 I2C.i2c_state_machine +.sym 19271 $abc$51270$n1248 +.sym 19274 $abc$51270$n2431 +.sym 19275 $abc$51270$n868 +.sym 19276 $abc$51270$n1263 +.sym 19277 $abc$51270$n1489 +.sym 19280 $abc$51270$n1248 +.sym 19281 $abc$51270$n1481 +.sym 19282 $abc$51270$n1246 .sym 19283 $false -.sym 19286 $abc$56607$n1494 -.sym 19287 $abc$56607$n928 -.sym 19288 $abc$56607$n926 -.sym 19289 $false -.sym 19292 $abc$56607$n1475 -.sym 19293 $abc$56607$n1495 -.sym 19294 $abc$56607$n901_1 -.sym 19295 $abc$56607$n1477 -.sym 19298 $abc$56607$n1494 -.sym 19299 $abc$56607$n928 -.sym 19300 $abc$56607$n958 -.sym 19301 $abc$56607$n961 -.sym 19304 $abc$56607$n950 -.sym 19305 $abc$56607$n951 -.sym 19306 $abc$56607$n901_1 -.sym 19307 $abc$56607$n958 -.sym 19310 $abc$56607$n2462 -.sym 19311 $false -.sym 19312 $false -.sym 19313 $false -.sym 19314 $abc$56607$n1450 +.sym 19286 $abc$51270$n1269 +.sym 19287 $abc$51270$n868 +.sym 19288 I2C.i2c_state_machine +.sym 19289 $abc$51270$n1300 +.sym 19292 $abc$51270$n1300 +.sym 19293 $abc$51270$n1263 +.sym 19294 $abc$51270$n871_1 +.sym 19295 $abc$51270$n1270 +.sym 19298 I2C.i2c_state_machine +.sym 19299 $abc$51270$n1246 +.sym 19300 I2C.is_ack +.sym 19301 $abc$51270$n2006 +.sym 19304 $abc$51270$n1262 +.sym 19305 $abc$51270$n1301 +.sym 19306 $abc$51270$n1490 +.sym 19307 $false +.sym 19310 $abc$51270$n1270 +.sym 19311 $abc$51270$n871_1 +.sym 19312 $abc$51270$n1295 +.sym 19313 $abc$51270$n1294 +.sym 19314 $true .sym 19315 CLK$2$2 -.sym 19316 $abc$56607$n35$2 -.sym 19391 KEYBOARD.kbd_code_hid[3] -.sym 19392 KEYBOARD.report[4][3] -.sym 19393 KEYBOARD.report[4][1] -.sym 19394 KEYBOARD.kbd_code_hid[1] -.sym 19397 $abc$56607$n1119 -.sym 19398 KEYBOARD.report[4][7] -.sym 19399 $abc$56607$n1211_1 -.sym 19400 $abc$56607$n1212 -.sym 19403 kbd_report[5][4] -.sym 19404 KEYBOARD.report[1][4] -.sym 19405 $abc$56607$n312 -.sym 19406 $abc$56607$n1113_1 -.sym 19409 KEYBOARD.report[4][0] -.sym 19410 KEYBOARD.report[4][1] -.sym 19411 KEYBOARD.report[4][2] -.sym 19412 KEYBOARD.report[4][3] -.sym 19415 $abc$56607$n1119 -.sym 19416 KEYBOARD.report[4][2] -.sym 19417 KEYBOARD.report[6][2] -.sym 19418 $abc$56607$n1121 -.sym 19421 $abc$56607$n2463 +.sym 19316 $false +.sym 19391 $false +.sym 19392 $abc$51270$n2008 +.sym 19393 $false +.sym 19394 $false +.sym 19397 $abc$51270$n2006 +.sym 19398 I2C.byte_counter[5] +.sym 19399 $false +.sym 19400 $false +.sym 19403 $abc$51270$n1282 +.sym 19404 $abc$51270$n1502 +.sym 19405 $false +.sym 19406 $false +.sym 19409 $abc$51270$n1494 +.sym 19410 $false +.sym 19411 $false +.sym 19412 $false +.sym 19415 $abc$51270$n1500 +.sym 19416 $false +.sym 19417 $false +.sym 19418 $false +.sym 19421 $abc$51270$n1497 .sym 19422 $false .sym 19423 $false .sym 19424 $false -.sym 19427 $abc$56607$n2465 +.sym 19427 I2C.FLT_SDA.out .sym 19428 $false .sym 19429 $false .sym 19430 $false -.sym 19433 $abc$56607$n2460 -.sym 19434 $false -.sym 19435 $false -.sym 19436 $false -.sym 19437 $abc$56607$n1422 +.sym 19437 $abc$51270$n1195 .sym 19438 CLK$2$2 -.sym 19439 $abc$56607$n35$2 -.sym 19514 $abc$56607$n1118 -.sym 19515 KEYBOARD.report[3][7] -.sym 19516 $abc$56607$n1213 -.sym 19517 $abc$56607$n1210 -.sym 19520 $abc$56607$n1114 -.sym 19521 KEYBOARD.report[2][7] -.sym 19522 $false -.sym 19523 $false -.sym 19526 KEYBOARD.kbd_code_hid[2] -.sym 19527 KEYBOARD.report[3][2] -.sym 19528 KEYBOARD.report[3][0] -.sym 19529 KEYBOARD.kbd_code_hid[0] -.sym 19532 $abc$56607$n1118 -.sym 19533 KEYBOARD.report[3][3] -.sym 19534 $abc$56607$n1114 -.sym 19535 KEYBOARD.report[2][3] -.sym 19538 $abc$56607$n970 -.sym 19539 $abc$56607$n971 -.sym 19540 $abc$56607$n972 -.sym 19541 $abc$56607$n973 -.sym 19544 KEYBOARD.kbd_code_hid[6] -.sym 19545 KEYBOARD.report[3][6] -.sym 19546 KEYBOARD.report[3][1] -.sym 19547 KEYBOARD.kbd_code_hid[1] -.sym 19550 KEYBOARD.kbd_code_hid[7] +.sym 19439 $false +.sym 19476 $true +.sym 19513 $abc$51270$n2393$2 +.sym 19514 $false +.sym 19515 $abc$51270$n2393 +.sym 19516 $false +.sym 19517 $false +.sym 19519 $auto$alumacc.cc:474:replace_alu$9612.C[3] +.sym 19521 $false +.sym 19522 $abc$51270$n2472 +.sym 19525 $auto$alumacc.cc:474:replace_alu$9612.C[4] +.sym 19527 $false +.sym 19528 $abc$51270$n2473 +.sym 19531 $auto$alumacc.cc:474:replace_alu$9612.C[5] +.sym 19533 $false +.sym 19534 $abc$51270$n2469 +.sym 19537 $auto$alumacc.cc:474:replace_alu$9612.C[6] +.sym 19539 $false +.sym 19540 $abc$51270$n2470 +.sym 19543 $auto$alumacc.cc:474:replace_alu$9612.C[7] +.sym 19545 $false +.sym 19546 $abc$51270$n2474 +.sym 19549 $abc$51270$n2431$2 .sym 19551 $false -.sym 19552 $false -.sym 19553 $false -.sym 19556 KEYBOARD.kbd_code_hid[5] -.sym 19557 $false -.sym 19558 $false -.sym 19559 $false -.sym 19560 $abc$56607$n1328 -.sym 19561 CLK$2$2 -.sym 19562 $abc$56607$n27 -.sym 19637 KEYBOARD.report[2][4] -.sym 19638 KEYBOARD.report[2][5] -.sym 19639 KEYBOARD.report[2][6] -.sym 19640 KEYBOARD.report[2][7] -.sym 19643 KEYBOARD.kbd_code_hid[7] -.sym 19644 KEYBOARD.report[2][7] -.sym 19645 KEYBOARD.report[2][3] -.sym 19646 KEYBOARD.kbd_code_hid[3] -.sym 19649 $abc$56607$n977 -.sym 19650 $abc$56607$n978 -.sym 19651 $abc$56607$n979_1 -.sym 19652 $abc$56607$n980 -.sym 19655 $abc$56607$n907 -.sym 19656 $abc$56607$n908 -.sym 19657 $false -.sym 19658 $false -.sym 19661 KEYBOARD.kbd_code_hid[4] -.sym 19662 KEYBOARD.report[2][4] -.sym 19663 KEYBOARD.report[2][0] -.sym 19664 KEYBOARD.kbd_code_hid[0] -.sym 19667 KEYBOARD.report[2][0] -.sym 19668 KEYBOARD.report[2][1] -.sym 19669 KEYBOARD.report[2][2] -.sym 19670 KEYBOARD.report[2][3] -.sym 19673 KEYBOARD.kbd_code_hid[6] -.sym 19674 KEYBOARD.report[2][6] -.sym 19675 KEYBOARD.report[2][1] -.sym 19676 KEYBOARD.kbd_code_hid[1] -.sym 19679 $abc$56607$n2461 -.sym 19680 $false -.sym 19681 $false -.sym 19682 $false -.sym 19683 $abc$56607$n1435 -.sym 19684 CLK$2$2 -.sym 19685 $abc$56607$n35$2 -.sym 19760 wr_cnt[0] -.sym 19761 wr_cnt[2] -.sym 19762 wr_cnt[1] -.sym 19763 wr_cnt[3] -.sym 19766 $abc$56607$n321 -.sym 19767 wr_cnt[2] -.sym 19768 wr_cnt[1] +.sym 19552 $abc$51270$n2471 +.sym 19559 $abc$51270$n2431$2 +.sym 19637 I2C.received_byte[3] +.sym 19638 I2C.received_byte[1] +.sym 19639 I2C.received_byte[2] +.sym 19640 $false +.sym 19643 I2C.byte_counter[3] +.sym 19644 $abc$51270$n695 +.sym 19645 I2C.byte_counter[2] +.sym 19646 $false +.sym 19649 I2C.byte_counter[0] +.sym 19650 I2C.byte_counter[1] +.sym 19651 $false +.sym 19652 $false +.sym 19655 I2C.received_byte[7] +.sym 19656 I2C.received_byte[6] +.sym 19657 $abc$51270$n1077 +.sym 19658 $abc$51270$n821 +.sym 19661 $abc$51270$n2006 +.sym 19662 I2C.byte_counter[0] +.sym 19663 $false +.sym 19664 $false +.sym 19667 I2C.byte_counter[0] +.sym 19668 I2C.byte_counter[1] +.sym 19669 $abc$51270$n809 +.sym 19670 $false +.sym 19673 I2C.received_byte[0] +.sym 19674 $abc$51270$n822 +.sym 19675 $false +.sym 19676 $false +.sym 19760 i2c_input_data_type[1] +.sym 19761 $abc$51270$n847 +.sym 19762 $false +.sym 19763 $false +.sym 19766 I2C.received_byte[1] +.sym 19767 $abc$51270$n1074 +.sym 19768 $abc$51270$n1081 .sym 19769 $false -.sym 19772 wr_cnt[0] -.sym 19773 wr_cnt[1] -.sym 19774 $abc$56607$n305 -.sym 19775 $false -.sym 19778 $abc$56607$n305 -.sym 19779 $abc$56607$n312 -.sym 19780 wr_cnt[0] -.sym 19781 wr_cnt[1] -.sym 19784 $false -.sym 19785 wr_cnt[0] -.sym 19786 $false -.sym 19787 $true$2 -.sym 19790 KEYBOARD.row_counter[0] -.sym 19791 KEYBOARD.row_counter[1] -.sym 19792 $abc$56607$n2231 -.sym 19793 $abc$56607$n2237 -.sym 19796 $abc$56607$n2461 -.sym 19797 $false +.sym 19772 $abc$51270$n1081 +.sym 19773 $abc$51270$n1073 +.sym 19774 I2C.received_byte[2] +.sym 19775 $abc$51270$n1074 +.sym 19778 I2C.received_byte[4] +.sym 19779 I2C.received_byte[5] +.sym 19780 I2C.received_byte[7] +.sym 19781 I2C.received_byte[6] +.sym 19784 I2C.received_byte[4] +.sym 19785 $abc$51270$n1076 +.sym 19786 $abc$51270$n1074 +.sym 19787 I2C.received_byte[0] +.sym 19790 I2C.received_byte[4] +.sym 19791 I2C.received_byte[5] +.sym 19792 $abc$51270$n1076 +.sym 19793 $false +.sym 19796 i2c_input_data_type[3] +.sym 19797 $abc$51270$n860 .sym 19798 $false .sym 19799 $false -.sym 19802 $abc$56607$n2459 -.sym 19803 $false -.sym 19804 $false -.sym 19805 $false -.sym 19806 $abc$56607$n1422 -.sym 19807 CLK$2$2 -.sym 19808 $abc$56607$n35$2 -.sym 19883 $abc$56607$n757 -.sym 19884 $abc$56607$n791 -.sym 19885 $abc$56607$n736 -.sym 19886 $abc$56607$n733 -.sym 19889 $abc$56607$n746 -.sym 19890 $abc$56607$n758 -.sym 19891 I2C.FLT_SCL.RESET +.sym 19802 i2c_input_data_type[0] +.sym 19803 i2c_input_data_type[1] +.sym 19804 i2c_input_data_type[3] +.sym 19805 $abc$51270$n860 +.sym 19883 $abc$51270$n814 +.sym 19884 i2c_input_data_type[0] +.sym 19885 $false +.sym 19886 $false +.sym 19889 I2C.received_byte[6] +.sym 19890 $false +.sym 19891 $false .sym 19892 $false -.sym 19895 I2C.FLT_SCL.RESET -.sym 19896 $abc$56607$n22 -.sym 19897 $false -.sym 19898 $false -.sym 19901 $abc$56607$n770 -.sym 19902 $abc$56607$n758 -.sym 19903 I2C.FLT_SCL.RESET +.sym 19895 I2C.byte_counter[0] +.sym 19896 $abc$51270$n1450 +.sym 19897 I2C.byte_counter[1] +.sym 19898 $abc$51270$n695 +.sym 19901 $abc$51270$n1451 +.sym 19902 $abc$51270$n790 +.sym 19903 $false .sym 19904 $false -.sym 19907 KEYBOARD.isr -.sym 19908 last_isr -.sym 19909 $false -.sym 19910 $false -.sym 19913 $abc$56607$n733 -.sym 19914 $abc$56607$n736 +.sym 19907 $abc$51270$n812 +.sym 19908 $abc$51270$n813 +.sym 19909 I2C.byte_counter[3] +.sym 19910 I2C.byte_counter[2] +.sym 19913 I2C.received_byte[2] +.sym 19914 $false .sym 19915 $false .sym 19916 $false -.sym 19919 $abc$56607$n770 -.sym 19920 $abc$56607$n793 +.sym 19919 I2C.received_byte[1] +.sym 19920 $false .sym 19921 $false .sym 19922 $false -.sym 19925 KEYBOARD.isr +.sym 19925 I2C.received_byte[0] .sym 19926 $false .sym 19927 $false .sym 19928 $false -.sym 19929 $abc$56607$n1232 +.sym 19929 $abc$51270$n1046 .sym 19930 CLK$2$2 -.sym 19931 $abc$56607$n35$2 -.sym 19968 $true -.sym 20005 $abc$56607$n270$2 -.sym 20006 $false -.sym 20007 $abc$56607$n270 -.sym 20008 $false -.sym 20009 $false -.sym 20011 $auto$alumacc.cc:470:replace_alu$12087.C[2] -.sym 20013 $false -.sym 20014 $abc$56607$n269 -.sym 20017 $auto$alumacc.cc:470:replace_alu$12087.C[3] -.sym 20019 $true$2 -.sym 20020 $abc$56607$n2620 -.sym 20023 $abc$56607$n2577$2 -.sym 20025 $false -.sym 20026 $abc$56607$n266 -.sym 20033 $abc$56607$n2577$2 -.sym 20036 $abc$56607$n744 -.sym 20037 $abc$56607$n745 -.sym 20038 $abc$56607$n746 -.sym 20039 $false -.sym 20048 I2C.received_byte[1] +.sym 19931 $false +.sym 20006 $abc$51270$n855 +.sym 20007 $abc$51270$n857 +.sym 20008 $abc$51270$n858 +.sym 20009 $abc$51270$n849 +.sym 20012 I2C_INPUT_LEN[1] +.sym 20013 I2C_INPUT_LEN[0] +.sym 20014 $abc$51270$n856 +.sym 20015 $abc$51270$n812 +.sym 20018 I2C_INPUT_LEN[0] +.sym 20019 I2C_INPUT_LEN[1] +.sym 20020 $abc$51270$n856 +.sym 20021 $abc$51270$n833 +.sym 20024 I2C_INPUT_LEN[3] +.sym 20025 $abc$51270$n853 +.sym 20026 $abc$51270$n852 +.sym 20027 $false +.sym 20030 I2C_INPUT_LEN[2] +.sym 20031 I2C_INPUT_LEN[1] +.sym 20032 I2C_INPUT_LEN[0] +.sym 20033 I2C_INPUT_LEN[3] +.sym 20036 I2C_INPUT_LEN[0] +.sym 20037 $abc$51270$n856 +.sym 20038 I2C_INPUT_LEN[1] +.sym 20039 $abc$51270$n829 +.sym 20042 $abc$51270$n852 +.sym 20043 $abc$51270$n854 +.sym 20044 $abc$51270$n813 +.sym 20045 $abc$51270$n850 +.sym 20048 temp_output_report[2] .sym 20049 $false .sym 20050 $false .sym 20051 $false -.sym 20052 $abc$56607$n1113 +.sym 20052 $abc$51270$n1138 .sym 20053 CLK$2$2 -.sym 20054 $false -.sym 20129 $abc$56607$n842 -.sym 20130 $abc$56607$n743 -.sym 20131 $abc$56607$n746 +.sym 20054 $abc$51270$n35$2 +.sym 20129 I2C.received_byte[4] +.sym 20130 $false +.sym 20131 $false .sym 20132 $false -.sym 20135 $abc$56607$n667 -.sym 20136 $abc$56607$n747 -.sym 20137 $abc$56607$n743 -.sym 20138 $abc$56607$n746 -.sym 20141 $false -.sym 20142 $true$2 -.sym 20143 int_tmr[0] +.sym 20135 I2C_INPUT_LEN[4] +.sym 20136 $false +.sym 20137 $false +.sym 20138 $false +.sym 20141 I2C.received_byte[5] +.sym 20142 $false +.sym 20143 $false .sym 20144 $false -.sym 20153 $abc$56607$n842 -.sym 20154 UART.tx_activity -.sym 20155 last_uart_active -.sym 20156 $abc$56607$n762 -.sym 20159 wr_cnt[0] -.sym 20160 wr_cnt[1] -.sym 20161 wr_cnt[2] -.sym 20162 wr_cnt[3] -.sym 20165 $abc$56607$n745 -.sym 20166 $abc$56607$n744 +.sym 20147 I2C.received_byte[1] +.sym 20148 $false +.sym 20149 $false +.sym 20150 $false +.sym 20153 I2C.received_byte[2] +.sym 20154 $false +.sym 20155 $false +.sym 20156 $false +.sym 20159 $abc$51270$n2577 +.sym 20160 $false +.sym 20161 $false +.sym 20162 $false +.sym 20165 $abc$51270$n2578 +.sym 20166 $false .sym 20167 $false .sym 20168 $false -.sym 20171 $abc$56607$n667 -.sym 20172 int_tmr[1] +.sym 20171 $abc$51270$n2582 +.sym 20172 $false .sym 20173 $false .sym 20174 $false -.sym 20175 $abc$56607$n938 +.sym 20175 $abc$51270$n1404$2 .sym 20176 CLK$2$2 -.sym 20177 $abc$56607$n35$2 -.sym 20252 $abc$56607$n1500 -.sym 20253 $abc$56607$n1498 -.sym 20254 $abc$56607$n667 +.sym 20177 $abc$51270$n31 +.sym 20214 $true +.sym 20251 $abc$51270$n230$3 +.sym 20252 $false +.sym 20253 $abc$51270$n230 +.sym 20254 $false .sym 20255 $false -.sym 20258 $abc$56607$n1501_1 -.sym 20259 $abc$56607$n781 -.sym 20260 $false -.sym 20261 $false -.sym 20264 $abc$56607$n782 -.sym 20265 $abc$56607$n781 -.sym 20266 $abc$56607$n1501_1 -.sym 20267 $false -.sym 20276 LED1$2 +.sym 20257 $auto$alumacc.cc:474:replace_alu$9563.C[3] +.sym 20259 $false +.sym 20260 $abc$51270$n228 +.sym 20263 $auto$alumacc.cc:474:replace_alu$9563.C[4] +.sym 20265 $false +.sym 20266 $abc$51270$n227 +.sym 20269 $auto$alumacc.cc:474:replace_alu$9563.C[5] +.sym 20271 $false +.sym 20272 $abc$51270$n225 +.sym 20275 $auto$alumacc.cc:474:replace_alu$9563.C[6] .sym 20277 $false -.sym 20278 $false -.sym 20279 $false -.sym 20288 int_tmr[19] -.sym 20289 int_tmr[0] -.sym 20290 UART_WR -.sym 20291 $abc$56607$n761 -.sym 20294 $abc$56607$n667 -.sym 20295 $abc$56607$n670 -.sym 20296 I2C.FLT_SCL.RESET -.sym 20297 $false -.sym 20298 $abc$56607$n873 -.sym 20299 CLK$2$2 -.sym 20300 $false -.sym 20375 $abc$56607$n1242 -.sym 20376 $abc$56607$n1243 -.sym 20377 ring_wr[1] -.sym 20378 ring_wr[3] -.sym 20381 $abc$56607$n22 -.sym 20382 $abc$56607$n1953 -.sym 20383 $false -.sym 20384 $false -.sym 20387 wr_cnt[3] -.sym 20388 ring_wr[2] -.sym 20389 $false -.sym 20390 $false -.sym 20393 $false -.sym 20394 init_ram_cnt[0] -.sym 20395 $true$2 -.sym 20396 $true$2 -.sym 20399 wr_cnt[3] -.sym 20400 ring_wr[2] -.sym 20401 $false -.sym 20402 $false -.sym 20405 $abc$56607$n2548 -.sym 20406 $abc$56607$n2546 -.sym 20407 $false -.sym 20408 $false -.sym 20411 $abc$56607$n1234 -.sym 20412 wr_cnt[2] -.sym 20413 ring_wr[1] -.sym 20414 $false -.sym 20417 int_tmr[19] -.sym 20418 $abc$56607$n1733 -.sym 20419 $abc$56607$n667 -.sym 20420 $false -.sym 20421 $abc$56607$n985 -.sym 20422 CLK$2$2 -.sym 20423 $abc$56607$n35$2 -.sym 20460 $false -.sym 20497 $auto$maccmap.cc:240:synth$13003.C[5] -.sym 20499 $abc$56607$n2546 -.sym 20500 $abc$56607$n2548 -.sym 20503 $auto$maccmap.cc:240:synth$13003.C[6] -.sym 20504 $false -.sym 20505 $abc$56607$n2678 -.sym 20506 $abc$56607$n2680 -.sym 20507 $auto$maccmap.cc:240:synth$13003.C[5] -.sym 20509 $auto$maccmap.cc:240:synth$13003.C[7] -.sym 20510 $false -.sym 20511 ring_wr[3] -.sym 20512 $abc$56607$n2681 -.sym 20513 $auto$maccmap.cc:240:synth$13003.C[6] -.sym 20516 $false +.sym 20278 $abc$51270$n224 +.sym 20281 $auto$alumacc.cc:474:replace_alu$9563.C[7] +.sym 20283 $false +.sym 20284 $abc$51270$n222 +.sym 20287 $abc$51270$n2428 +.sym 20289 $false +.sym 20290 $abc$51270$n221 +.sym 20294 $abc$51270$n823 +.sym 20295 $abc$51270$n822 +.sym 20296 I2C.received_byte[0] +.sym 20297 $abc$51270$n2428 +.sym 20375 KEYBOARD.row_counter[0] +.sym 20376 KEYBOARD.row_counter[1] +.sym 20377 $abc$51270$n2193 +.sym 20378 $abc$51270$n2199 +.sym 20381 $abc$51270$n2199 +.sym 20382 KEYBOARD.row_counter[0] +.sym 20383 KEYBOARD.row_counter[1] +.sym 20384 $abc$51270$n2193 +.sym 20387 $abc$51270$n2199 +.sym 20388 KEYBOARD.row_counter[0] +.sym 20389 KEYBOARD.row_counter[1] +.sym 20390 $abc$51270$n2193 +.sym 20405 $abc$51270$n2193 +.sym 20406 KEYBOARD.row_counter[0] +.sym 20407 KEYBOARD.row_counter[1] +.sym 20408 $abc$51270$n2199 +.sym 20411 $abc$51270$n2193 +.sym 20412 $abc$51270$n2199 +.sym 20413 KEYBOARD.row_counter[0] +.sym 20414 KEYBOARD.row_counter[1] +.sym 20498 $false +.sym 20499 $false +.sym 20500 KEYBOARD.row_time[0] +.sym 20501 $false +.sym 20516 $abc$51270$n2577 .sym 20517 $false .sym 20518 $false -.sym 20519 $auto$maccmap.cc:240:synth$13003.C[7] -.sym 20522 ring_wr[3] -.sym 20523 $abc$56607$n1242 +.sym 20519 $false +.sym 20522 $abc$51270$n2580 +.sym 20523 $false .sym 20524 $false .sym 20525 $false -.sym 20528 wr_cnt[3] -.sym 20529 ring_wr[1] -.sym 20530 ring_wr[3] -.sym 20531 ring_wr[2] -.sym 20534 wr_cnt[3] -.sym 20535 ring_wr[1] -.sym 20536 ring_wr[3] -.sym 20537 ring_wr[2] -.sym 20583 $true -.sym 20620 init_ram_cnt[1]$2 -.sym 20621 $false -.sym 20622 init_ram_cnt[1] -.sym 20623 $false -.sym 20624 $false -.sym 20626 $auto$alumacc.cc:470:replace_alu$12080.C[3] -.sym 20628 init_ram_cnt[2] -.sym 20629 $true$2 -.sym 20632 $auto$alumacc.cc:470:replace_alu$12080.C[4] -.sym 20634 init_ram_cnt[3] -.sym 20635 $false -.sym 20638 $auto$alumacc.cc:470:replace_alu$12080.C[5] -.sym 20640 init_ram_cnt[4] -.sym 20641 $true$2 -.sym 20644 $auto$alumacc.cc:470:replace_alu$12080.C[6] -.sym 20646 init_ram_cnt[5] -.sym 20647 $false -.sym 20650 $auto$alumacc.cc:470:replace_alu$12080.C[7] -.sym 20652 init_ram_cnt[6] -.sym 20653 $true$2 -.sym 20656 $abc$56607$n22$2 -.sym 20658 init_ram_cnt[7] +.sym 20534 $abc$51270$n2578 +.sym 20535 $false +.sym 20536 $false +.sym 20537 $false +.sym 20544 $abc$51270$n1404$2 +.sym 20545 CLK$2$2 +.sym 20546 $abc$51270$n30 +.sym 20627 $abc$51270$n2582 +.sym 20628 $false +.sym 20629 $false +.sym 20630 $false +.sym 20651 $abc$51270$n2576 +.sym 20652 $false +.sym 20653 $false +.sym 20654 $false +.sym 20657 $abc$51270$n2579 +.sym 20658 $false .sym 20659 $false -.sym 20666 $abc$56607$n22$2 +.sym 20660 $false +.sym 20663 $abc$51270$n2575 +.sym 20664 $false +.sym 20665 $false +.sym 20666 $false +.sym 20667 $abc$51270$n1404$2 +.sym 20668 CLK$2$2 +.sym 20669 $abc$51270$n30 .sym 20715 $false .sym 20717 KEYBOARD.ROWS_EN[0] .sym 20718 $false .sym 20720 KEYBOARD.ROWS_EN[1] -.sym 20771 I2C.SCLF -.sym 20774 KEYBOARD.COLS_SHADOW[6] -.sym 20851 $abc$56607$n2571 -.sym 20852 $abc$56607$n684 -.sym 20853 KEYBOARD.row_counter[0] -.sym 20984 $abc$56607$n694 -.sym 20985 $abc$56607$n917 -.sym 20986 $abc$56607$n712 -.sym 20987 $abc$56607$n916 -.sym 20988 $abc$56607$n1489 -.sym 20989 $abc$56607$n711 -.sym 20990 $abc$56607$n918 -.sym 20991 KEYBOARD.row_time[1] -.sym 21086 $abc$56607$n933 -.sym 21087 $abc$56607$n934 -.sym 21088 $abc$56607$n956 -.sym 21089 $abc$56607$n935 -.sym 21090 wr_cnt[1] -.sym 21091 wr_cnt[3] -.sym 21092 wr_cnt[2] -.sym 21093 wr_cnt[0] -.sym 21188 $abc$56607$n1431 -.sym 21189 $abc$56607$n928 -.sym 21190 $abc$56607$n929 -.sym 21191 $abc$56607$n965 -.sym 21192 $abc$56607$n912 -.sym 21193 KEYBOARD.report[3][4] -.sym 21194 KEYBOARD.report[3][7] -.sym 21195 KEYBOARD.report[3][6] -.sym 21290 $abc$56607$n971 -.sym 21291 $abc$56607$n1191_1 -.sym 21292 $abc$56607$n1189 -.sym 21293 $abc$56607$n913 -.sym 21294 $abc$56607$n1178 -.sym 21295 $abc$56607$n1181 -.sym 21296 $abc$56607$n1192 -.sym 21297 $abc$56607$n1190 -.sym 21392 $abc$56607$n1117 -.sym 21393 $abc$56607$n970 -.sym 21394 $abc$56607$n914 -.sym 21395 KEYBOARD.report[3][3] -.sym 21396 KEYBOARD.report[3][0] -.sym 21397 KEYBOARD.report[3][5] -.sym 21398 KEYBOARD.report[3][2] -.sym 21399 KEYBOARD.report[3][1] -.sym 21494 $abc$56607$n986 -.sym 21495 $abc$56607$n979_1 -.sym 21496 $abc$56607$n2459 -.sym 21497 $abc$56607$n1530_1 -.sym 21498 $abc$56607$n2461 -.sym 21499 $abc$56607$n2460 -.sym 21500 $abc$56607$n2465 -.sym 21501 KEYBOARD.report[1][1] -.sym 21596 $abc$56607$n1135 -.sym 21597 $abc$56607$n1141 -.sym 21598 $abc$56607$n1136 -.sym 21599 $abc$56607$n1119 -.sym 21600 KEYBOARD.report[2][5] -.sym 21601 KEYBOARD.report[2][7] -.sym 21602 KEYBOARD.report[2][2] -.sym 21603 KEYBOARD.report[2][0] -.sym 21698 $abc$56607$n757 -.sym 21699 $abc$56607$n1142 -.sym 21700 $abc$56607$n670 -.sym 21702 $abc$56607$n321 -.sym 21704 $abc$56607$n21 -.sym 21705 temp_output_report[0] -.sym 21801 $abc$56607$n266 -.sym 21803 LED4$2 -.sym 21804 LED2$2 -.sym 21805 LED3$2 -.sym 21905 $abc$56607$n774 -.sym 21907 int_tmr[0] -.sym 21908 int_tmr[3] -.sym 21909 int_tmr[7] -.sym 22004 $abc$56607$n1498 -.sym 22005 int_tmr[10] -.sym 22006 int_tmr[13] -.sym 22007 int_tmr[11] -.sym 22008 int_tmr[9] -.sym 22009 int_tmr[12] -.sym 22010 int_tmr[8] -.sym 22011 int_tmr[14] -.sym 22106 $abc$56607$n246 -.sym 22107 $abc$56607$n1955 -.sym 22110 $abc$56607$n2618 -.sym 22112 init_ram_cnt[0] -.sym 22113 init_ram_cnt[1] -.sym 22208 $abc$56607$n734 -.sym 22209 $abc$56607$n733 -.sym 22210 $abc$56607$n243 -.sym 22211 $abc$56607$n236 -.sym 22212 $abc$56607$n237 -.sym 22213 $abc$56607$n240 -.sym 22214 $abc$56607$n735 -.sym 22215 $abc$56607$n239 -.sym 22312 init_ram_cnt[2] -.sym 22313 init_ram_cnt[3] -.sym 22314 init_ram_cnt[4] -.sym 22315 init_ram_cnt[5] -.sym 22316 init_ram_cnt[6] -.sym 22317 init_ram_cnt[7] +.sym 20774 $abc$51270$n1178 +.sym 20776 $abc$51270$n2460 +.sym 20777 $abc$51270$n1402 +.sym 20846 $abc$51270$n2461 +.sym 20847 $abc$51270$n2395 +.sym 20848 $abc$51270$n1486 +.sym 20849 $abc$51270$n2459 +.sym 20851 $abc$51270$n16 +.sym 20852 $abc$51270$n14 +.sym 20853 I2C.i2c_bit_counter[3] +.sym 20984 $abc$51270$n874 +.sym 20985 $abc$51270$n2006 +.sym 20986 $abc$51270$n308 +.sym 20987 $abc$51270$n872 +.sym 20988 $abc$51270$n940 +.sym 20989 $abc$51270$n1268 +.sym 20990 $abc$51270$n1267 +.sym 20991 $abc$51270$n1261 +.sym 21086 $abc$51270$n1271 +.sym 21087 $abc$51270$n2008 +.sym 21088 $abc$51270$n2010 +.sym 21089 $abc$51270$n1210 +.sym 21090 $abc$51270$n877 +.sym 21091 $abc$51270$n875 +.sym 21092 $abc$51270$n868 +.sym 21093 I2C.received_byte[5] +.sym 21188 $abc$51270$n1300 +.sym 21189 $abc$51270$n1248 +.sym 21190 $abc$51270$n1481 +.sym 21191 $abc$51270$n1484 +.sym 21192 $abc$51270$n1262 +.sym 21193 $abc$51270$n1177 +.sym 21194 $abc$51270$n1280 +.sym 21195 I2C.SDA_DIR +.sym 21290 $abc$51270$n1195 +.sym 21291 $abc$51270$n1502 +.sym 21292 $abc$51270$n2474 +.sym 21293 $abc$51270$n1503 +.sym 21294 $abc$51270$n2471 +.sym 21295 I2C.byte_counter[6] +.sym 21296 I2C.byte_counter[5] +.sym 21297 I2C.byte_counter[7] +.sym 21393 $abc$51270$n1942 +.sym 21394 $abc$51270$n1944 +.sym 21395 $abc$51270$n1946 +.sym 21396 $abc$51270$n1499 +.sym 21397 I2C.FLT_SCL.RESET +.sym 21398 $abc$51270$n2469 +.sym 21399 I2C.received_byte[6] +.sym 21496 $abc$51270$n1496 +.sym 21497 $abc$51270$n1494 +.sym 21498 $abc$51270$n1217 +.sym 21499 $abc$51270$n1205 +.sym 21500 $abc$51270$n2472 +.sym 21501 I2C.received_byte[4] +.sym 21596 $abc$51270$n1138 +.sym 21597 $abc$51270$n1496_1 +.sym 21598 $abc$51270$n221 +.sym 21599 $abc$51270$n31 +.sym 21600 $abc$51270$n2173 +.sym 21601 rststate[2] +.sym 21602 I2C.byte_counter[0] +.sym 21698 $abc$51270$n1074 +.sym 21699 I2C_INPUT_LEN[3] +.sym 21700 I2C_INPUT_LEN[4] +.sym 21701 I2C_INPUT_LEN[2] +.sym 21702 I2C_INPUT_LEN[0] +.sym 21703 I2C_INPUT_LEN[6] +.sym 21704 I2C_INPUT_LEN[1] +.sym 21705 I2C_INPUT_LEN[5] +.sym 21800 $abc$51270$n853 +.sym 21801 $abc$51270$n2454 +.sym 21802 $abc$51270$n2456 +.sym 21803 $abc$51270$n2453 +.sym 21804 $abc$51270$n856 +.sym 21805 $abc$51270$n852 +.sym 21806 $abc$51270$n227 +.sym 21807 $abc$51270$n2452 +.sym 21909 $abc$51270$n2422 +.sym 22011 $abc$51270$n2421 +.sym 22106 $abc$51270$n1775 +.sym 22107 $abc$51270$n2458 +.sym 22108 KEYBOARD.row_time[5] +.sym 22109 KEYBOARD.row_time[6] +.sym 22111 KEYBOARD.row_time[7] +.sym 22210 $abc$51270$n1612 +.sym 22211 $abc$51270$n1613 +.sym 22212 $abc$51270$n1607 +.sym 22213 $abc$51270$n396 +.sym 22214 $abc$51270$n394 +.sym 22215 $abc$51270$n383 +.sym 22310 $abc$51270$n1617 +.sym 22311 $abc$51270$n1618 +.sym 22312 $abc$51270$n1620 +.sym 22313 $abc$51270$n1621 +.sym 22314 $abc$51270$n1626 +.sym 22315 KEYBOARD.row_time[9] +.sym 22316 KEYBOARD.row_time[12] +.sym 22317 KEYBOARD.row_time[8] .sym 22487 $false .sym 22489 KEYBOARD.ROWS_EN[2] .sym 22490 $false .sym 22492 KEYBOARD.ROWS_EN[3] -.sym 22544 I2C.SDAF -.sym 22623 SCL$2 +.sym 22543 I2C.SCLF +.sym 22547 I2C.SDAF +.sym 22579 $true +.sym 22616 $abc$51270$n2459$2 +.sym 22617 $false +.sym 22618 $abc$51270$n2459 +.sym 22619 $false +.sym 22620 $false +.sym 22622 $auto$alumacc.cc:474:replace_alu$9529.C[2] .sym 22624 $false -.sym 22625 $false -.sym 22626 $false -.sym 22641 KBD_COLUMNS[6]$2 -.sym 22642 $false -.sym 22643 $false -.sym 22644 $false -.sym 22663 $true -.sym 22664 CLK$2$2 -.sym 22665 $false -.sym 22742 $true -.sym 22779 KEYBOARD.kbd_code_hid[3]$2 -.sym 22780 $false -.sym 22781 KEYBOARD.kbd_code_hid[3] +.sym 22625 $abc$51270$n2395 +.sym 22628 $auto$alumacc.cc:474:replace_alu$9529.C[3] +.sym 22630 $false +.sym 22631 $abc$51270$n2460 +.sym 22634 $abc$51270$n1178$2 +.sym 22636 $false +.sym 22637 $abc$51270$n2461 +.sym 22644 $abc$51270$n1178$2 +.sym 22653 $abc$51270$n2012 +.sym 22654 $false +.sym 22655 $false +.sym 22656 $false +.sym 22659 $abc$51270$n29 +.sym 22660 $abc$51270$n1418$2 +.sym 22661 $false +.sym 22662 $false +.sym 22676 I2C.FLT_SDA.out +.sym 22780 I2C.i2c_bit_counter[3] +.sym 22781 $abc$51270$n2006 .sym 22782 $false .sym 22783 $false -.sym 22785 $auto$alumacc.cc:470:replace_alu$12041.C[5] -.sym 22787 KEYBOARD.kbd_code_hid[4] -.sym 22788 $true$2 -.sym 22791 $auto$alumacc.cc:470:replace_alu$12041.C[6] -.sym 22793 KEYBOARD.kbd_code_hid[5] -.sym 22794 $false -.sym 22797 $auto$alumacc.cc:470:replace_alu$12041.C[7] -.sym 22799 KEYBOARD.kbd_code_hid[6] +.sym 22786 $abc$51270$n2010 +.sym 22787 $false +.sym 22788 $false +.sym 22789 $false +.sym 22792 $abc$51270$n308 +.sym 22793 $abc$51270$n1485 +.sym 22794 $abc$51270$n871_1 +.sym 22795 $abc$51270$n2461 +.sym 22798 $abc$51270$n2008 +.sym 22799 $false .sym 22800 $false -.sym 22803 $abc$56607$n2571$2 -.sym 22805 KEYBOARD.kbd_code_hid[7] -.sym 22806 $false -.sym 22813 $abc$56607$n2571$2 -.sym 22816 KEYBOARD.row_time[0] -.sym 22817 KEYBOARD.row_time[1] +.sym 22801 $false +.sym 22810 I2C.FLT_SCL.out +.sym 22811 $false +.sym 22812 $false +.sym 22813 $false +.sym 22816 I2C.FLT_SDA.out +.sym 22817 $false .sym 22818 $false .sym 22819 $false -.sym 22822 $abc$56607$n2244 -.sym 22823 $false -.sym 22824 $false +.sym 22822 $abc$51270$n1487 +.sym 22823 $abc$51270$n1486 +.sym 22824 $abc$51270$n871_1 .sym 22825 $false -.sym 22826 $abc$56607$n1490$2 +.sym 22826 $true .sym 22827 CLK$2$2 .sym 22828 $false -.sym 22829 $abc$56607$n2572 -.sym 22830 $abc$56607$n2462 -.sym 22833 $abc$56607$n919 -.sym 22834 $abc$56607$n2231 -.sym 22836 KEYBOARD.row_time[0] -.sym 22903 KEYBOARD.row_time[0] -.sym 22904 KEYBOARD.row_time[1] -.sym 22905 $false +.sym 22831 $auto$alumacc.cc:474:replace_alu$9620.C[2] +.sym 22832 $auto$alumacc.cc:474:replace_alu$9620.C[3] +.sym 22833 $abc$51270$n2394 +.sym 22835 $abc$51270$n1017 +.sym 22836 I2C.FLT_SCL.out +.sym 22903 $abc$51270$n308 +.sym 22904 $abc$51270$n319 +.sym 22905 $abc$51270$n2394 .sym 22906 $false -.sym 22909 $abc$56607$n918 -.sym 22910 $abc$56607$n919 -.sym 22911 KEYBOARD.kbd_code_hid[3] +.sym 22909 I2C.FLT_SDA.out +.sym 22910 $abc$51270$n14 +.sym 22911 I2C.FLT_SCL.out .sym 22912 $false -.sym 22915 KEYBOARD.row_time[6] -.sym 22916 $abc$56607$n684 -.sym 22917 KEYBOARD.row_time[7] -.sym 22918 $false -.sym 22921 $abc$56607$n917 -.sym 22922 $abc$56607$n2571 -.sym 22923 $abc$56607$n2572 +.sym 22915 $false +.sym 22916 $abc$51270$n2014 +.sym 22917 $false +.sym 22918 $auto$alumacc.cc:474:replace_alu$9620.C[3] +.sym 22921 I2C.FLT_SDA.out +.sym 22922 I2C.FLT_SCL.out +.sym 22923 $abc$51270$n14 .sym 22924 $false -.sym 22927 $abc$56607$n33$2 -.sym 22928 KEYBOARD.row_time[0] -.sym 22929 $abc$56607$n1490$2 +.sym 22927 $abc$51270$n27 +.sym 22928 I2C.FLT_SCL.RESET +.sym 22929 $abc$51270$n915 .sym 22930 $false -.sym 22933 $abc$56607$n698 -.sym 22934 $abc$56607$n712 -.sym 22935 $abc$56607$n713 -.sym 22936 $false -.sym 22939 KEYBOARD.kbd_code_hid[2] -.sym 22940 KEYBOARD.kbd_code_hid[1] -.sym 22941 KEYBOARD.kbd_code_hid[0] +.sym 22933 $abc$51270$n873 +.sym 22934 $abc$51270$n869 +.sym 22935 $abc$51270$n1269 +.sym 22936 $abc$51270$n871_1 +.sym 22939 $abc$51270$n1270 +.sym 22940 $abc$51270$n1263 +.sym 22941 $abc$51270$n1268 .sym 22942 $false -.sym 22945 KEYBOARD.row_time[1] -.sym 22946 $false +.sym 22945 $abc$51270$n1252 +.sym 22946 $abc$51270$n1256 .sym 22947 $false .sym 22948 $false -.sym 22949 $abc$56607$n1489 -.sym 22950 CLK$2$2 -.sym 22951 $abc$56607$n33$2 -.sym 22954 $abc$56607$n1897 -.sym 22955 $abc$56607$n1899 -.sym 22956 $abc$56607$n1894 -.sym 22957 KEYBOARD.ROWS_EN[14] -.sym 22959 KEYBOARD.ROWS_EN[8] -.sym 23026 $abc$56607$n928 -.sym 23027 $abc$56607$n934 -.sym 23028 $false -.sym 23029 $false -.sym 23032 $abc$56607$n935 -.sym 23033 $abc$56607$n936 +.sym 22952 $abc$51270$n2336 +.sym 22953 $abc$51270$n1633 +.sym 22954 $abc$51270$n2335 +.sym 22955 $abc$51270$n1439 +.sym 22956 $abc$51270$n1440 +.sym 22957 I2C.FLT_SCL.counter[0] +.sym 22958 I2C.FLT_SCL.counter[2] +.sym 22959 I2C.FLT_SCL.counter[1] +.sym 23026 $abc$51270$n873 +.sym 23027 $abc$51270$n869 +.sym 23028 $abc$51270$n1270 +.sym 23029 $abc$51270$n871_1 +.sym 23032 $abc$51270$n2006 +.sym 23033 I2C.i2c_bit_counter[0] .sym 23034 $false .sym 23035 $false -.sym 23038 KEYBOARD.kbd_code_hid[4] -.sym 23039 kbd_report[5][4] -.sym 23040 KEYBOARD.kbd_code_hid[5] -.sym 23041 kbd_report[5][5] -.sym 23044 kbd_report[5][4] -.sym 23045 kbd_report[5][5] -.sym 23046 kbd_report[5][6] -.sym 23047 kbd_report[5][7] -.sym 23050 $abc$56607$n670 -.sym 23051 $abc$56607$n791 -.sym 23052 wr_cnt[0] -.sym 23053 wr_cnt[1] -.sym 23056 $abc$56607$n670 -.sym 23057 $abc$56607$n791 -.sym 23058 $abc$56607$n1899 +.sym 23038 $abc$51270$n2006 +.sym 23039 I2C.i2c_bit_counter[1] +.sym 23040 $false +.sym 23041 $false +.sym 23044 $abc$51270$n882 +.sym 23045 $abc$51270$n877 +.sym 23046 $false +.sym 23047 $false +.sym 23050 $abc$51270$n875 +.sym 23051 $abc$51270$n305 +.sym 23052 $false +.sym 23053 $false +.sym 23056 I2C.i2c_bit_counter[0] +.sym 23057 I2C.i2c_bit_counter[1] +.sym 23058 $abc$51270$n2006 .sym 23059 $false -.sym 23062 $abc$56607$n670 -.sym 23063 $abc$56607$n791 -.sym 23064 $abc$56607$n1897 +.sym 23062 $abc$51270$n869 +.sym 23063 $abc$51270$n871_1 +.sym 23064 $false .sym 23065 $false -.sym 23068 $abc$56607$n791 -.sym 23069 $abc$56607$n1894 -.sym 23070 $abc$56607$n670 +.sym 23068 I2C.FLT_SDA.out +.sym 23069 $false +.sym 23070 $false .sym 23071 $false -.sym 23072 $abc$56607$n1007 +.sym 23072 $abc$51270$n1210 .sym 23073 CLK$2$2 -.sym 23074 $abc$56607$n35$2 -.sym 23075 $abc$56607$n964 -.sym 23076 $abc$56607$n29 -.sym 23077 $abc$56607$n932 -.sym 23078 $abc$56607$n962 -.sym 23079 $abc$56607$n963 -.sym 23080 KEYBOARD.report[4][4] -.sym 23081 KEYBOARD.report[4][0] -.sym 23082 KEYBOARD.report[4][6] -.sym 23149 $abc$56607$n968 -.sym 23150 $abc$56607$n1494 -.sym 23151 $abc$56607$n926 -.sym 23152 $abc$56607$n959 -.sym 23155 $abc$56607$n929 -.sym 23156 $abc$56607$n930 -.sym 23157 $false +.sym 23074 $false +.sym 23077 $abc$51270$n1636 +.sym 23079 I2C.received_byte[0] +.sym 23149 $abc$51270$n872 +.sym 23150 $abc$51270$n2006 +.sym 23151 I2C.wr +.sym 23152 $false +.sym 23155 $abc$51270$n872 +.sym 23156 $abc$51270$n2006 +.sym 23157 I2C.SDA_DIR .sym 23158 $false -.sym 23161 KEYBOARD.report[4][4] -.sym 23162 KEYBOARD.report[4][5] -.sym 23163 KEYBOARD.report[4][6] -.sym 23164 KEYBOARD.report[4][7] -.sym 23167 KEYBOARD.kbd_code_hid[7] -.sym 23168 KEYBOARD.report[4][7] -.sym 23169 KEYBOARD.report[4][2] -.sym 23170 KEYBOARD.kbd_code_hid[2] -.sym 23173 $abc$56607$n913 -.sym 23174 $abc$56607$n914 -.sym 23175 $false -.sym 23176 $false -.sym 23179 $abc$56607$n2462 -.sym 23180 $false -.sym 23181 $false +.sym 23161 I2C.is_ack +.sym 23162 $abc$51270$n1248 +.sym 23163 $abc$51270$n1507 +.sym 23164 $abc$51270$n1178 +.sym 23167 $abc$51270$n1252 +.sym 23168 $abc$51270$n868 +.sym 23169 $abc$51270$n1256 +.sym 23170 $abc$51270$n1483_1 +.sym 23173 I2C.SDA_DIR +.sym 23174 $abc$51270$n872 +.sym 23175 I2C.FLT_SDA.out +.sym 23176 $abc$51270$n1263 +.sym 23179 $abc$51270$n305 +.sym 23180 $abc$51270$n875 +.sym 23181 $abc$51270$n867_1 .sym 23182 $false -.sym 23185 $abc$56607$n2465 -.sym 23186 $false -.sym 23187 $false -.sym 23188 $false -.sym 23191 $abc$56607$n2464 -.sym 23192 $false -.sym 23193 $false -.sym 23194 $false -.sym 23195 $abc$56607$n1431 +.sym 23185 $abc$51270$n1263 +.sym 23186 $abc$51270$n1256 +.sym 23187 $abc$51270$n869 +.sym 23188 $abc$51270$n871_1 +.sym 23191 $abc$51270$n1482 +.sym 23192 $abc$51270$n869 +.sym 23193 $abc$51270$n871_1 +.sym 23194 $abc$51270$n1484 +.sym 23195 $true .sym 23196 CLK$2$2 -.sym 23197 $abc$56607$n35$2 -.sym 23198 $abc$56607$n947 -.sym 23199 $abc$56607$n938_1 -.sym 23200 $abc$56607$n948 -.sym 23201 $abc$56607$n944 -.sym 23202 $abc$56607$n945 -.sym 23203 $abc$56607$n937 -.sym 23204 $abc$56607$n946 -.sym 23205 $abc$56607$n1180_1 -.sym 23272 KEYBOARD.kbd_code_hid[7] -.sym 23273 KEYBOARD.report[3][7] -.sym 23274 KEYBOARD.report[3][4] -.sym 23275 KEYBOARD.kbd_code_hid[4] -.sym 23278 $abc$56607$n1118 -.sym 23279 KEYBOARD.report[3][5] -.sym 23280 KEYBOARD.report[6][5] -.sym 23281 $abc$56607$n1121 -.sym 23284 $abc$56607$n1190 -.sym 23285 $abc$56607$n1191_1 -.sym 23286 $abc$56607$n1192 +.sym 23197 $false +.sym 23200 $abc$51270$n2175 +.sym 23201 $abc$51270$n2176 +.sym 23202 $abc$51270$n2177 +.sym 23203 $abc$51270$n2178 +.sym 23204 $abc$51270$n2179 +.sym 23205 $abc$51270$n2180 +.sym 23272 $abc$51270$n875 +.sym 23273 $abc$51270$n305 +.sym 23274 $abc$51270$n867_1 +.sym 23275 $false +.sym 23278 $abc$51270$n2006 +.sym 23279 I2C.byte_counter[6] +.sym 23280 $false +.sym 23281 $false +.sym 23284 $abc$51270$n1502 +.sym 23285 $false +.sym 23286 $false .sym 23287 $false -.sym 23290 KEYBOARD.report[3][4] -.sym 23291 KEYBOARD.report[3][5] -.sym 23292 KEYBOARD.report[3][6] -.sym 23293 KEYBOARD.report[3][7] -.sym 23296 $abc$56607$n1179 -.sym 23297 $abc$56607$n1180_1 -.sym 23298 $abc$56607$n1181 +.sym 23290 $abc$51270$n2006 +.sym 23291 I2C.byte_counter[7] +.sym 23292 $false +.sym 23293 $false +.sym 23296 $abc$51270$n1503 +.sym 23297 $false +.sym 23298 $false .sym 23299 $false -.sym 23302 $abc$56607$n1114 -.sym 23303 KEYBOARD.report[2][4] -.sym 23304 KEYBOARD.report[4][4] -.sym 23305 $abc$56607$n1119 -.sym 23308 $abc$56607$n1114 -.sym 23309 KEYBOARD.report[2][5] -.sym 23310 KEYBOARD.report[4][5] -.sym 23311 $abc$56607$n1119 -.sym 23314 kbd_report[5][5] -.sym 23315 KEYBOARD.report[1][5] -.sym 23316 $abc$56607$n312 -.sym 23317 $abc$56607$n1113_1 -.sym 23321 $abc$56607$n1377 -.sym 23322 $abc$56607$n1213 -.sym 23323 KEYBOARD.ROWS_EN[11] -.sym 23324 KEYBOARD.ROWS_EN[10] -.sym 23325 KEYBOARD.ROWS_EN[15] -.sym 23326 KEYBOARD.ROWS_EN[12] -.sym 23327 KEYBOARD.ROWS_EN[13] -.sym 23328 KEYBOARD.ROWS_EN[9] -.sym 23395 $abc$56607$n1118 -.sym 23396 KEYBOARD.report[3][0] -.sym 23397 KEYBOARD.report[4][0] -.sym 23398 $abc$56607$n1119 -.sym 23401 KEYBOARD.kbd_code_hid[3] -.sym 23402 KEYBOARD.report[3][3] -.sym 23403 KEYBOARD.kbd_code_hid[5] -.sym 23404 KEYBOARD.report[3][5] -.sym 23407 KEYBOARD.report[3][0] -.sym 23408 KEYBOARD.report[3][1] -.sym 23409 KEYBOARD.report[3][2] -.sym 23410 KEYBOARD.report[3][3] -.sym 23413 $abc$56607$n2461 +.sym 23302 $abc$51270$n1281 +.sym 23303 $abc$51270$n2179 +.sym 23304 $abc$51270$n1502 +.sym 23305 $abc$51270$n1280 +.sym 23308 $abc$51270$n1281 +.sym 23309 $abc$51270$n2178 +.sym 23310 $abc$51270$n1500 +.sym 23311 $abc$51270$n1280 +.sym 23314 $abc$51270$n1281 +.sym 23315 $abc$51270$n2180 +.sym 23316 $abc$51270$n1503 +.sym 23317 $abc$51270$n1280 +.sym 23318 $true +.sym 23319 CLK$2$2 +.sym 23320 $false +.sym 23321 $abc$51270$n1940 +.sym 23322 I2C.byte_counter[3] +.sym 23323 I2C.byte_counter[2] +.sym 23324 rststate[1] +.sym 23325 I2C.byte_counter[1] +.sym 23326 I2C.byte_counter[4] +.sym 23327 rststate[0] +.sym 23328 rststate[3] +.sym 23357 $false +.sym 23394 $auto$alumacc.cc:474:replace_alu$9594.C[1] +.sym 23396 $abc$51270$n35$2 +.sym 23397 rststate[0] +.sym 23400 $auto$alumacc.cc:474:replace_alu$9594.C[2] +.sym 23401 $false +.sym 23402 $false +.sym 23403 rststate[1] +.sym 23404 $auto$alumacc.cc:474:replace_alu$9594.C[1] +.sym 23406 $auto$alumacc.cc:474:replace_alu$9594.C[3] +.sym 23407 $false +.sym 23408 $false +.sym 23409 rststate[2] +.sym 23410 $auto$alumacc.cc:474:replace_alu$9594.C[2] +.sym 23413 $false .sym 23414 $false -.sym 23415 $false -.sym 23416 $false -.sym 23419 $abc$56607$n2458 -.sym 23420 $false +.sym 23415 rststate[3] +.sym 23416 $auto$alumacc.cc:474:replace_alu$9594.C[3] +.sym 23419 $abc$51270$n2006 +.sym 23420 I2C.byte_counter[4] .sym 23421 $false .sym 23422 $false -.sym 23425 $abc$56607$n2463 -.sym 23426 $false -.sym 23427 $false -.sym 23428 $false -.sym 23431 $abc$56607$n2460 +.sym 23425 rststate[3] +.sym 23426 rststate[2] +.sym 23427 rststate[1] +.sym 23428 rststate[0] +.sym 23431 $abc$51270$n1499 .sym 23432 $false .sym 23433 $false .sym 23434 $false -.sym 23437 $abc$56607$n2459 +.sym 23437 I2C.FLT_SDA.out .sym 23438 $false .sym 23439 $false .sym 23440 $false -.sym 23441 $abc$56607$n1431 +.sym 23441 $abc$51270$n1217 .sym 23442 CLK$2$2 -.sym 23443 $abc$56607$n35$2 -.sym 23444 $abc$56607$n2464 -.sym 23445 $abc$56607$n2705 -.sym 23446 $abc$56607$n2706 -.sym 23447 $abc$56607$n2702 -.sym 23448 $abc$56607$n1118 -.sym 23449 KEYBOARD.report[6][6] -.sym 23450 KEYBOARD.report[6][1] -.sym 23451 KEYBOARD.report[6][4] -.sym 23518 KEYBOARD.kbd_code_hid[1] -.sym 23519 KEYBOARD.report[1][1] -.sym 23520 KEYBOARD.report[1][0] -.sym 23521 KEYBOARD.kbd_code_hid[0] -.sym 23524 KEYBOARD.kbd_code_hid[2] -.sym 23525 KEYBOARD.report[2][2] -.sym 23526 KEYBOARD.kbd_code_hid[5] -.sym 23527 KEYBOARD.report[2][5] -.sym 23530 $abc$56607$n27 -.sym 23531 KEYBOARD.kbd_code_hid[1] +.sym 23443 $false +.sym 23447 $abc$51270$n1285 +.sym 23449 KEYBOARD.ROWS_EN[9] +.sym 23530 $abc$51270$n2006 +.sym 23531 I2C.byte_counter[2] .sym 23532 $false .sym 23533 $false -.sym 23536 $abc$56607$n1114 -.sym 23537 KEYBOARD.report[2][6] -.sym 23538 KEYBOARD.report[3][6] -.sym 23539 $abc$56607$n1118 -.sym 23542 $abc$56607$n27 -.sym 23543 KEYBOARD.kbd_code_hid[3] -.sym 23544 $false +.sym 23536 $abc$51270$n2006 +.sym 23537 I2C.byte_counter[1] +.sym 23538 $false +.sym 23539 $false +.sym 23542 $abc$51270$n875 +.sym 23543 $abc$51270$n305 +.sym 23544 $abc$51270$n882 .sym 23545 $false -.sym 23548 $abc$56607$n27 -.sym 23549 KEYBOARD.kbd_code_hid[2] -.sym 23550 $false +.sym 23548 $abc$51270$n305 +.sym 23549 $abc$51270$n875 +.sym 23550 $abc$51270$n882 .sym 23551 $false -.sym 23554 $abc$56607$n27 -.sym 23555 KEYBOARD.kbd_code_hid[7] +.sym 23554 $abc$51270$n1496 +.sym 23555 $false .sym 23556 $false .sym 23557 $false -.sym 23560 $abc$56607$n2459 +.sym 23560 I2C.FLT_SDA.out .sym 23561 $false .sym 23562 $false .sym 23563 $false -.sym 23564 $abc$56607$n1450 +.sym 23564 $abc$51270$n1205 .sym 23565 CLK$2$2 -.sym 23566 $abc$56607$n35$2 -.sym 23567 $abc$56607$n2704 -.sym 23568 $abc$56607$n1137 -.sym 23569 $abc$56607$n1121 -.sym 23570 $abc$56607$n2701 -.sym 23572 $abc$56607$n35 -.sym 23573 $abc$56607$n1120 -.sym 23574 $abc$56607$n2703 -.sym 23641 $abc$56607$n1115 -.sym 23642 KEYBOARD.report[1][1] -.sym 23643 $abc$56607$n1136 -.sym 23644 $false -.sym 23647 $abc$56607$n1142 -.sym 23648 KEYBOARD.report[6][1] -.sym 23649 $abc$56607$n1143 -.sym 23650 KEYBOARD.report[4][1] -.sym 23653 $abc$56607$n1119 -.sym 23654 KEYBOARD.report[4][1] -.sym 23655 $abc$56607$n1137 +.sym 23566 $false +.sym 23567 $abc$51270$n35 +.sym 23568 I2C_OUT_DESC_MASK[2] +.sym 23569 I2C_OUT_DESC_MASK[5] +.sym 23570 I2C_OUT_DESC_MASK[4] +.sym 23571 I2C_OUT_DESC_MASK[1] +.sym 23573 I2C_OUT_DESC_MASK[0] +.sym 23641 $abc$51270$n846 +.sym 23642 $abc$51270$n859 +.sym 23643 I2C.FLT_SCL.RESET +.sym 23644 $abc$51270$n861 +.sym 23647 I2C.received_byte[0] +.sym 23648 I2C.received_byte[3] +.sym 23649 $abc$51270$n823 +.sym 23650 $false +.sym 23653 I2C.received_byte[7] +.sym 23654 $false +.sym 23655 $false .sym 23656 $false -.sym 23659 $abc$56607$n305 -.sym 23660 wr_cnt[1] -.sym 23661 wr_cnt[0] -.sym 23662 $abc$56607$n312 -.sym 23665 $abc$56607$n2463 +.sym 23659 $abc$51270$n30 +.sym 23660 $false +.sym 23661 $false +.sym 23662 $false +.sym 23665 $false .sym 23666 $false -.sym 23667 $false +.sym 23667 $abc$51270$n1493 .sym 23668 $false -.sym 23671 $abc$56607$n2465 -.sym 23672 $false -.sym 23673 $false -.sym 23674 $false -.sym 23677 $abc$56607$n2460 -.sym 23678 $false -.sym 23679 $false -.sym 23680 $false -.sym 23683 $abc$56607$n2458 -.sym 23684 $false -.sym 23685 $false -.sym 23686 $false -.sym 23687 $abc$56607$n1435 +.sym 23671 $abc$51270$n846 +.sym 23672 $abc$51270$n1228_1 +.sym 23673 $abc$51270$n861 +.sym 23674 $abc$51270$n1944 +.sym 23677 $abc$51270$n1281 +.sym 23678 $abc$51270$n2173 +.sym 23679 $abc$51270$n1493 +.sym 23680 $abc$51270$n1280 +.sym 23687 $true .sym 23688 CLK$2$2 -.sym 23689 $abc$56607$n35$2 -.sym 23692 $true$2 -.sym 23694 KEYBOARD.ROWS_EN[0] -.sym 23764 $abc$56607$n842 -.sym 23765 $abc$56607$n744 -.sym 23766 $abc$56607$n746 +.sym 23689 $false +.sym 23692 $auto$alumacc.cc:474:replace_alu$9606.C[2] +.sym 23693 $auto$alumacc.cc:474:replace_alu$9606.C[3] +.sym 23694 $auto$alumacc.cc:474:replace_alu$9606.C[4] +.sym 23695 $auto$alumacc.cc:474:replace_alu$9606.C[5] +.sym 23696 $auto$alumacc.cc:474:replace_alu$9606.C[6] +.sym 23697 I2C_INPUT_LEN[7] +.sym 23764 $abc$51270$n1075 +.sym 23765 $abc$51270$n21 +.sym 23766 $abc$51270$n2421 .sym 23767 $false -.sym 23770 wr_cnt[1] -.sym 23771 wr_cnt[2] -.sym 23772 $abc$56607$n321 -.sym 23773 $false -.sym 23776 last_isr -.sym 23777 KEYBOARD.isr +.sym 23770 $false +.sym 23771 I2C.byte_counter[3] +.sym 23772 $false +.sym 23773 $auto$alumacc.cc:474:replace_alu$9606.C[3] +.sym 23776 $false +.sym 23777 I2C.byte_counter[4] .sym 23778 $false -.sym 23779 $false +.sym 23779 $auto$alumacc.cc:474:replace_alu$9606.C[4] +.sym 23782 $false +.sym 23783 I2C.byte_counter[2] +.sym 23784 $false +.sym 23785 $auto$alumacc.cc:474:replace_alu$9606.C[2] .sym 23788 $false -.sym 23789 wr_cnt[0] -.sym 23790 $true$2 -.sym 23791 $true$2 -.sym 23800 I2C.byte_counter[0] -.sym 23801 $abc$56607$n673 +.sym 23789 I2C.byte_counter[0] +.sym 23790 $false +.sym 23791 $false +.sym 23794 $false +.sym 23795 I2C.byte_counter[6] +.sym 23796 $false +.sym 23797 $auto$alumacc.cc:474:replace_alu$9606.C[6] +.sym 23800 $2\I2C_INPUT_LEN[7:0][1] +.sym 23801 $false .sym 23802 $false .sym 23803 $false -.sym 23806 I2C.received_byte[0] -.sym 23807 $false +.sym 23806 $false +.sym 23807 I2C.byte_counter[5] .sym 23808 $false -.sym 23809 $false -.sym 23810 $abc$56607$n1113 +.sym 23809 $auto$alumacc.cc:474:replace_alu$9606.C[5] +.sym 23810 $abc$51270$n1117 .sym 23811 CLK$2$2 -.sym 23812 $false -.sym 23814 int_tmr[2] -.sym 23815 int_tmr[4] -.sym 23817 int_tmr[6] -.sym 23820 int_tmr[5] -.sym 23893 i2c_input_data_type[3] +.sym 23812 $abc$51270$n35$2 +.sym 23813 LED2$2 +.sym 23818 LED3$2 +.sym 23887 I2C_INPUT_LEN[2] +.sym 23888 I2C_INPUT_LEN[0] +.sym 23889 I2C_INPUT_LEN[1] +.sym 23890 $false +.sym 23893 I2C_INPUT_LEN[3] .sym 23894 $false .sym 23895 $false .sym 23896 $false -.sym 23905 temp_output_report[2] +.sym 23899 I2C_INPUT_LEN[5] +.sym 23900 $false +.sym 23901 $false +.sym 23902 $false +.sym 23905 I2C_INPUT_LEN[2] .sym 23906 $false .sym 23907 $false .sym 23908 $false -.sym 23911 temp_output_report[0] -.sym 23912 $false -.sym 23913 $false +.sym 23911 I2C_INPUT_LEN[3] +.sym 23912 I2C_INPUT_LEN[2] +.sym 23913 $abc$51270$n852 .sym 23914 $false -.sym 23917 temp_output_report[1] -.sym 23918 $false -.sym 23919 $false -.sym 23920 $false -.sym 23933 $abc$56607$n1211 -.sym 23934 CLK$2$2 -.sym 23935 $abc$56607$n35$2 -.sym 23938 $13\int_tmr[19:0][2] -.sym 23939 $13\int_tmr[19:0][3] -.sym 23940 $13\int_tmr[19:0][4] -.sym 23941 $13\int_tmr[19:0][5] -.sym 23942 $13\int_tmr[19:0][6] -.sym 23943 $13\int_tmr[19:0][7] -.sym 24028 UART.tx_activity -.sym 24029 last_uart_active -.sym 24030 $false -.sym 24031 $false -.sym 24040 $abc$56607$n667 -.sym 24041 $13\int_tmr[19:0][0] -.sym 24042 $false -.sym 24043 $false -.sym 24046 $abc$56607$n667 -.sym 24047 $13\int_tmr[19:0][3] -.sym 24048 $false -.sym 24049 $false -.sym 24052 $abc$56607$n667 -.sym 24053 $13\int_tmr[19:0][7] -.sym 24054 $false -.sym 24055 $false -.sym 24056 $abc$56607$n901$2 -.sym 24057 CLK$2$2 -.sym 24058 $abc$56607$n35$2 -.sym 24059 $13\int_tmr[19:0][8] -.sym 24060 $13\int_tmr[19:0][9] -.sym 24061 $13\int_tmr[19:0][10] -.sym 24062 $13\int_tmr[19:0][11] -.sym 24063 $13\int_tmr[19:0][12] -.sym 24064 $13\int_tmr[19:0][13] -.sym 24065 $13\int_tmr[19:0][14] -.sym 24066 $13\int_tmr[19:0][15] -.sym 24133 UART.tx_activity -.sym 24134 last_uart_active -.sym 24135 $abc$56607$n742 -.sym 24136 $abc$56607$n747 -.sym 24139 $abc$56607$n667 -.sym 24140 $13\int_tmr[19:0][10] -.sym 24141 $false -.sym 24142 $false -.sym 24145 $abc$56607$n667 -.sym 24146 $13\int_tmr[19:0][13] -.sym 24147 $false -.sym 24148 $false -.sym 24151 $abc$56607$n667 -.sym 24152 $13\int_tmr[19:0][11] -.sym 24153 $false -.sym 24154 $false -.sym 24157 $abc$56607$n667 -.sym 24158 $13\int_tmr[19:0][9] -.sym 24159 $false -.sym 24160 $false -.sym 24163 $abc$56607$n667 -.sym 24164 $13\int_tmr[19:0][12] -.sym 24165 $false -.sym 24166 $false -.sym 24169 $abc$56607$n667 -.sym 24170 $13\int_tmr[19:0][8] -.sym 24171 $false -.sym 24172 $false -.sym 24175 $abc$56607$n667 -.sym 24176 $13\int_tmr[19:0][14] -.sym 24177 $false -.sym 24178 $false -.sym 24179 $abc$56607$n901$2 -.sym 24180 CLK$2$2 -.sym 24181 $abc$56607$n35$2 -.sym 24182 $13\int_tmr[19:0][16] -.sym 24183 $13\int_tmr[19:0][17] -.sym 24184 $13\int_tmr[19:0][18] -.sym 24185 $abc$56607$n1733 -.sym 24186 int_tmr[15] -.sym 24187 int_tmr[16] -.sym 24188 int_tmr[18] -.sym 24189 int_tmr[17] -.sym 24256 init_ram_cnt[0] +.sym 23917 I2C_INPUT_LEN[4] +.sym 23918 I2C_INPUT_LEN[5] +.sym 23919 I2C_INPUT_LEN[6] +.sym 23920 I2C_INPUT_LEN[7] +.sym 23923 I2C.received_byte[3] +.sym 23924 $false +.sym 23925 $false +.sym 23926 $false +.sym 23929 I2C_INPUT_LEN[1] +.sym 23930 $false +.sym 23931 $false +.sym 23932 $false +.sym 23938 $abc$51270$n1393 +.sym 23939 $abc$51270$n2457 +.sym 23940 $true$2 +.sym 23941 $abc$51270$n896_1 +.sym 23942 KEYBOARD.init_delay_cnt[1] +.sym 23972 $true +.sym 24009 $abc$51270$n2452$2 +.sym 24010 $false +.sym 24011 $abc$51270$n2452 +.sym 24012 $false +.sym 24013 $false +.sym 24015 $auto$alumacc.cc:474:replace_alu$9519.C[3] +.sym 24017 $false +.sym 24018 $abc$51270$n2453 +.sym 24021 $auto$alumacc.cc:474:replace_alu$9519.C[4] +.sym 24023 $false +.sym 24024 $abc$51270$n2454 +.sym 24027 $auto$alumacc.cc:474:replace_alu$9519.C[5] +.sym 24029 $false +.sym 24030 $abc$51270$n2455 +.sym 24033 $auto$alumacc.cc:474:replace_alu$9519.C[6] +.sym 24035 $false +.sym 24036 $abc$51270$n2456 +.sym 24039 $auto$alumacc.cc:474:replace_alu$9519.C[7] +.sym 24041 $false +.sym 24042 $abc$51270$n2457 +.sym 24045 $abc$51270$n2422$2 +.sym 24047 $false +.sym 24048 $abc$51270$n2458 +.sym 24055 $abc$51270$n2422$2 +.sym 24061 KEYBOARD.init_delay_cnt[2] +.sym 24062 KEYBOARD.init_delay_cnt[3] +.sym 24063 KEYBOARD.init_delay_cnt[0] +.sym 24095 $true +.sym 24132 $abc$51270$n230$2 +.sym 24133 $false +.sym 24134 $abc$51270$n230 +.sym 24135 $false +.sym 24136 $false +.sym 24138 $auto$alumacc.cc:474:replace_alu$9514.C[3] +.sym 24140 $true$2 +.sym 24141 $abc$51270$n228 +.sym 24144 $auto$alumacc.cc:474:replace_alu$9514.C[4] +.sym 24146 $false +.sym 24147 $abc$51270$n227 +.sym 24150 $auto$alumacc.cc:474:replace_alu$9514.C[5] +.sym 24152 $false +.sym 24153 $abc$51270$n225 +.sym 24156 $auto$alumacc.cc:474:replace_alu$9514.C[6] +.sym 24158 $false +.sym 24159 $abc$51270$n224 +.sym 24162 $auto$alumacc.cc:474:replace_alu$9514.C[7] +.sym 24164 $false +.sym 24165 $abc$51270$n222 +.sym 24168 $abc$51270$n2421$2 +.sym 24170 $false +.sym 24171 $abc$51270$n221 +.sym 24178 $abc$51270$n2421$2 +.sym 24185 KEYBOARD.ROWS_EN[12] +.sym 24189 KEYBOARD.ROWS_EN[8] +.sym 24256 $false .sym 24257 $false -.sym 24258 $false +.sym 24258 ring_rd[0] .sym 24259 $false -.sym 24262 init_ram_cnt[1] +.sym 24262 I2C_INPUT_LEN[7] .sym 24263 $false .sym 24264 $false .sym 24265 $false -.sym 24280 init_ram_cnt[3] -.sym 24281 $false -.sym 24282 $false -.sym 24283 $false -.sym 24292 $false -.sym 24293 $true$2 -.sym 24294 init_ram_cnt[0] -.sym 24295 $false -.sym 24298 init_ram_cnt[1] -.sym 24299 init_ram_cnt[0] -.sym 24300 $false -.sym 24301 $false -.sym 24302 $abc$56607$n842 +.sym 24268 $abc$51270$n396 +.sym 24269 $false +.sym 24270 $false +.sym 24271 $false +.sym 24274 $abc$51270$n394 +.sym 24275 $false +.sym 24276 $false +.sym 24277 $false +.sym 24286 $abc$51270$n383 +.sym 24287 $false +.sym 24288 $false +.sym 24289 $false +.sym 24302 $abc$51270$n1257 .sym 24303 CLK$2$2 -.sym 24304 $abc$56607$n35$2 -.sym 24379 init_ram_cnt[0] -.sym 24380 init_ram_cnt[1] -.sym 24381 $abc$56607$n735 +.sym 24304 $abc$51270$n35$2 +.sym 24305 $abc$51270$n1610 +.sym 24306 $abc$51270$n725 +.sym 24307 $abc$51270$n723 +.sym 24308 KEYBOARD.row_time[3] +.sym 24309 KEYBOARD.row_time[4] +.sym 24310 KEYBOARD.row_time[2] +.sym 24311 KEYBOARD.row_time[1] +.sym 24312 KEYBOARD.row_time[0] +.sym 24341 $true +.sym 24378 KEYBOARD.row_time[0]$2 +.sym 24379 $false +.sym 24380 KEYBOARD.row_time[0] +.sym 24381 $false .sym 24382 $false -.sym 24385 $abc$56607$n734 -.sym 24386 init_ram_cnt[7] -.sym 24387 init_ram_cnt[5] -.sym 24388 $false -.sym 24391 init_ram_cnt[2] +.sym 24384 $auto$alumacc.cc:474:replace_alu$9641.C[2] +.sym 24386 $false +.sym 24387 KEYBOARD.row_time[1] +.sym 24390 $auto$alumacc.cc:474:replace_alu$9641.C[3] +.sym 24391 $false .sym 24392 $false -.sym 24393 $false -.sym 24394 $false -.sym 24397 init_ram_cnt[7] +.sym 24393 KEYBOARD.row_time[2] +.sym 24394 $auto$alumacc.cc:474:replace_alu$9641.C[2] +.sym 24396 $auto$alumacc.cc:474:replace_alu$9641.C[4] +.sym 24397 $false .sym 24398 $false -.sym 24399 $false -.sym 24400 $false -.sym 24403 init_ram_cnt[6] +.sym 24399 KEYBOARD.row_time[3] +.sym 24400 $auto$alumacc.cc:474:replace_alu$9641.C[3] +.sym 24402 $auto$alumacc.cc:474:replace_alu$9641.C[5] +.sym 24403 $false .sym 24404 $false -.sym 24405 $false -.sym 24406 $false -.sym 24409 init_ram_cnt[4] +.sym 24405 KEYBOARD.row_time[4] +.sym 24406 $auto$alumacc.cc:474:replace_alu$9641.C[4] +.sym 24408 $auto$alumacc.cc:474:replace_alu$9641.C[6] +.sym 24409 $false .sym 24410 $false -.sym 24411 $false -.sym 24412 $false -.sym 24415 init_ram_cnt[2] -.sym 24416 init_ram_cnt[6] -.sym 24417 init_ram_cnt[4] -.sym 24418 init_ram_cnt[3] -.sym 24421 init_ram_cnt[5] +.sym 24411 KEYBOARD.row_time[5] +.sym 24412 $auto$alumacc.cc:474:replace_alu$9641.C[5] +.sym 24414 $auto$alumacc.cc:474:replace_alu$9641.C[7] +.sym 24415 $false +.sym 24416 $false +.sym 24417 KEYBOARD.row_time[6] +.sym 24418 $auto$alumacc.cc:474:replace_alu$9641.C[6] +.sym 24420 $auto$alumacc.cc:474:replace_alu$9641.C[8] +.sym 24421 $false .sym 24422 $false -.sym 24423 $false -.sym 24424 $false -.sym 24428 $abc$56607$n1220 -.sym 24429 KEYBOARD.ROWS_EN[6] -.sym 24430 KEYBOARD.ROWS_EN[3] -.sym 24431 KEYBOARD.ROWS_EN[5] -.sym 24432 KEYBOARD.ROWS_EN[2] -.sym 24433 KEYBOARD.ROWS_EN[7] -.sym 24434 KEYBOARD.ROWS_EN[4] -.sym 24435 KEYBOARD.ROWS_EN[1] -.sym 24464 $true -.sym 24501 init_ram_cnt[0]$2 +.sym 24423 KEYBOARD.row_time[7] +.sym 24424 $auto$alumacc.cc:474:replace_alu$9641.C[7] +.sym 24429 $abc$51270$n1002 +.sym 24431 $abc$51270$n726 +.sym 24432 $abc$51270$n29 +.sym 24433 $abc$51270$n1001 +.sym 24434 KEYBOARD.row_time[10] +.sym 24435 KEYBOARD.row_time[11] +.sym 24464 $auto$alumacc.cc:474:replace_alu$9641.C[8] +.sym 24501 $auto$alumacc.cc:474:replace_alu$9641.C[9] .sym 24502 $false -.sym 24503 init_ram_cnt[0] -.sym 24504 $false -.sym 24505 $false -.sym 24507 $auto$alumacc.cc:470:replace_alu$12129.C[2] +.sym 24503 $false +.sym 24504 KEYBOARD.row_time[8] +.sym 24505 $auto$alumacc.cc:474:replace_alu$9641.C[8] +.sym 24507 $auto$alumacc.cc:474:replace_alu$9641.C[10] +.sym 24508 $false .sym 24509 $false -.sym 24510 init_ram_cnt[1] -.sym 24513 $auto$alumacc.cc:470:replace_alu$12129.C[3] +.sym 24510 KEYBOARD.row_time[9] +.sym 24511 $auto$alumacc.cc:474:replace_alu$9641.C[9] +.sym 24513 $auto$alumacc.cc:474:replace_alu$9641.C[11] .sym 24514 $false .sym 24515 $false -.sym 24516 init_ram_cnt[2] -.sym 24517 $auto$alumacc.cc:470:replace_alu$12129.C[2] -.sym 24519 $auto$alumacc.cc:470:replace_alu$12129.C[4] +.sym 24516 KEYBOARD.row_time[10] +.sym 24517 $auto$alumacc.cc:474:replace_alu$9641.C[10] +.sym 24519 $auto$alumacc.cc:474:replace_alu$9641.C[12] .sym 24520 $false .sym 24521 $false -.sym 24522 init_ram_cnt[3] -.sym 24523 $auto$alumacc.cc:470:replace_alu$12129.C[3] -.sym 24525 $auto$alumacc.cc:470:replace_alu$12129.C[5] +.sym 24522 KEYBOARD.row_time[11] +.sym 24523 $auto$alumacc.cc:474:replace_alu$9641.C[11] .sym 24526 $false .sym 24527 $false -.sym 24528 init_ram_cnt[4] -.sym 24529 $auto$alumacc.cc:470:replace_alu$12129.C[4] -.sym 24531 $auto$alumacc.cc:470:replace_alu$12129.C[6] -.sym 24532 $false +.sym 24528 KEYBOARD.row_time[12] +.sym 24529 $auto$alumacc.cc:474:replace_alu$9641.C[12] +.sym 24532 $abc$51270$n1618 .sym 24533 $false -.sym 24534 init_ram_cnt[5] -.sym 24535 $auto$alumacc.cc:470:replace_alu$12129.C[5] -.sym 24537 $auto$alumacc.cc:470:replace_alu$12129.C[7] -.sym 24538 $false +.sym 24534 $false +.sym 24535 $false +.sym 24538 $abc$51270$n1626 .sym 24539 $false -.sym 24540 init_ram_cnt[6] -.sym 24541 $auto$alumacc.cc:470:replace_alu$12129.C[6] -.sym 24544 $false +.sym 24540 $false +.sym 24541 $false +.sym 24544 $abc$51270$n1617 .sym 24545 $false -.sym 24546 init_ram_cnt[7] -.sym 24547 $auto$alumacc.cc:470:replace_alu$12129.C[7] -.sym 24548 $abc$56607$n842 +.sym 24546 $false +.sym 24547 $false +.sym 24548 $abc$51270$n1257 .sym 24549 CLK$2$2 -.sym 24550 $abc$56607$n35$2 +.sym 24550 $abc$51270$n35$2 .sym 24596 $false .sym 24598 KEYBOARD.ROWS_EN[4] .sym 24599 $false .sym 24601 KEYBOARD.ROWS_EN[5] -.sym 24730 I2C.SDA_IN -.sym 24731 $false -.sym 24732 $false -.sym 24733 $false +.sym 24724 SCL$2 +.sym 24725 $false +.sym 24726 $false +.sym 24727 $false +.sym 24748 I2C.SDA_IN +.sym 24749 $false +.sym 24750 $false +.sym 24751 $false .sym 24764 $true .sym 24765 CLK$2$2 .sym 24766 $false -.sym 24847 $true -.sym 24884 KEYBOARD.kbd_code_hid[0]$2 -.sym 24885 $false -.sym 24886 KEYBOARD.kbd_code_hid[0] -.sym 24887 $false -.sym 24888 $false -.sym 24890 $auto$alumacc.cc:470:replace_alu$12053.C[2] -.sym 24892 KEYBOARD.kbd_code_hid[1] -.sym 24893 $false -.sym 24896 $auto$alumacc.cc:470:replace_alu$12053.C[3] -.sym 24898 KEYBOARD.kbd_code_hid[2] -.sym 24899 $false -.sym 24902 $auto$alumacc.cc:470:replace_alu$12053.C[4] -.sym 24904 KEYBOARD.kbd_code_hid[3] -.sym 24905 $false -.sym 24908 $auto$alumacc.cc:470:replace_alu$12053.C[5] -.sym 24910 KEYBOARD.kbd_code_hid[4] -.sym 24911 $false -.sym 24914 $auto$alumacc.cc:470:replace_alu$12053.C[6] -.sym 24916 KEYBOARD.kbd_code_hid[5] -.sym 24917 $true$2 -.sym 24920 $auto$alumacc.cc:470:replace_alu$12053.C[7] -.sym 24922 KEYBOARD.kbd_code_hid[6] +.sym 24921 I2C.SDAF +.sym 24922 $false .sym 24923 $false -.sym 24926 $abc$56607$n2572$2 -.sym 24928 KEYBOARD.kbd_code_hid[7] -.sym 24929 $false -.sym 25043 $abc$56607$n2572$2 -.sym 25046 $abc$56607$n27 -.sym 25047 KEYBOARD.kbd_code_hid[4] -.sym 25048 $false -.sym 25049 $false -.sym 25064 KEYBOARD.kbd_code_hid[5] -.sym 25065 KEYBOARD.kbd_code_hid[6] -.sym 25066 KEYBOARD.kbd_code_hid[4] -.sym 25067 KEYBOARD.kbd_code_hid[7] -.sym 25070 $false -.sym 25071 $true$2 -.sym 25072 KEYBOARD.row_counter[0] -.sym 25073 $false -.sym 25082 $false -.sym 25083 $true$2 -.sym 25084 KEYBOARD.row_time[0] +.sym 24924 $false +.sym 24931 $abc$51270$n1429 +.sym 24932 CLK$2$2 +.sym 24933 $abc$51270$n35$2 +.sym 25002 $true +.sym 25039 $abc$51270$n2008$2 +.sym 25040 $false +.sym 25041 $abc$51270$n2008 +.sym 25042 $false +.sym 25043 $false +.sym 25045 $auto$alumacc.cc:474:replace_alu$9620.C[2]$2 +.sym 25047 $abc$51270$n2010 +.sym 25048 $true$2 +.sym 25051 $auto$alumacc.cc:474:replace_alu$9620.C[3]$2 +.sym 25053 $abc$51270$n2012 +.sym 25054 $true$2 +.sym 25055 $auto$alumacc.cc:474:replace_alu$9620.C[2]$2 +.sym 25057 $abc$51270$n2394$2 +.sym 25059 $abc$51270$n2014 +.sym 25060 $true$2 +.sym 25061 $auto$alumacc.cc:474:replace_alu$9620.C[3]$2 +.sym 25067 $abc$51270$n2394$2 +.sym 25076 I2C.FLT_SCL.out +.sym 25077 I2C.SCLF +.sym 25078 $false +.sym 25079 $false +.sym 25082 I2C.SCLF +.sym 25083 $false +.sym 25084 $false .sym 25085 $false -.sym 25086 $abc$56607$n1490$2 +.sym 25086 $abc$51270$n1439 .sym 25087 CLK$2$2 -.sym 25088 $abc$56607$n33$2 -.sym 25157 $true -.sym 25194 wr_cnt[0]$2 -.sym 25195 $false -.sym 25196 wr_cnt[0] +.sym 25088 $abc$51270$n35$2 +.sym 25195 I2C.FLT_SCL.counter[0] +.sym 25196 I2C.FLT_SCL.counter[1] .sym 25197 $false .sym 25198 $false -.sym 25200 $auto$alumacc.cc:470:replace_alu$12114.C[2] -.sym 25202 $false -.sym 25203 wr_cnt[1] -.sym 25206 $auto$alumacc.cc:470:replace_alu$12114.C[3] -.sym 25207 $false -.sym 25208 $false -.sym 25209 wr_cnt[2] -.sym 25210 $auto$alumacc.cc:470:replace_alu$12114.C[2] -.sym 25213 $false -.sym 25214 $false -.sym 25215 wr_cnt[3] -.sym 25216 $auto$alumacc.cc:470:replace_alu$12114.C[3] -.sym 25219 $false -.sym 25220 $true$2 -.sym 25221 wr_cnt[0] -.sym 25222 $false -.sym 25225 $abc$56607$n2707 +.sym 25201 $false +.sym 25202 I2C.FLT_SCL.counter[0] +.sym 25203 $false +.sym 25204 $false +.sym 25207 I2C.FLT_SCL.counter[0] +.sym 25208 I2C.FLT_SCL.counter[1] +.sym 25209 I2C.FLT_SCL.counter[2] +.sym 25210 $abc$51270$n1633 +.sym 25213 $abc$51270$n2335 +.sym 25214 $abc$51270$n1017 +.sym 25215 $abc$51270$n2336 +.sym 25216 $abc$51270$n1636 +.sym 25219 I2C.FLT_SCL.counter[0] +.sym 25220 I2C.FLT_SCL.counter[1] +.sym 25221 I2C.FLT_SCL.counter[2] +.sym 25222 $abc$51270$n1017 +.sym 25225 $abc$51270$n2335 .sym 25226 $false .sym 25227 $false .sym 25228 $false -.sym 25237 $abc$56607$n2701 +.sym 25231 I2C.FLT_SCL.counter[0] +.sym 25232 I2C.FLT_SCL.counter[1] +.sym 25233 I2C.FLT_SCL.counter[2] +.sym 25234 $abc$51270$n1636 +.sym 25237 $abc$51270$n2336 .sym 25238 $false .sym 25239 $false .sym 25240 $false -.sym 25241 $abc$56607$n1471$2 +.sym 25241 $abc$51270$n1440 .sym 25242 CLK$2$2 -.sym 25243 $abc$56607$n29 -.sym 25350 KEYBOARD.kbd_code_hid[6] -.sym 25351 KEYBOARD.report[4][6] -.sym 25352 KEYBOARD.report[4][0] -.sym 25353 KEYBOARD.kbd_code_hid[0] -.sym 25356 $abc$56607$n28 -.sym 25357 $false -.sym 25358 $false -.sym 25359 $false -.sym 25362 $abc$56607$n937 -.sym 25363 $abc$56607$n938_1 -.sym 25364 $abc$56607$n933 -.sym 25365 $false -.sym 25368 $abc$56607$n963 -.sym 25369 $abc$56607$n964 -.sym 25370 $abc$56607$n965 -.sym 25371 $abc$56607$n966 -.sym 25374 KEYBOARD.kbd_code_hid[4] -.sym 25375 KEYBOARD.report[4][4] -.sym 25376 KEYBOARD.kbd_code_hid[5] -.sym 25377 KEYBOARD.report[4][5] -.sym 25380 $abc$56607$n2462 -.sym 25381 $false -.sym 25382 $false -.sym 25383 $false -.sym 25386 $abc$56607$n2458 -.sym 25387 $false -.sym 25388 $false -.sym 25389 $false -.sym 25392 $abc$56607$n2464 -.sym 25393 $false -.sym 25394 $false -.sym 25395 $false -.sym 25396 $abc$56607$n1422 +.sym 25243 $abc$51270$n35$2 +.sym 25312 $true +.sym 25349 I2C.FLT_SCL.counter[0]$2 +.sym 25350 $false +.sym 25351 I2C.FLT_SCL.counter[0] +.sym 25352 $false +.sym 25353 $false +.sym 25355 $auto$alumacc.cc:474:replace_alu$9623.C[2] +.sym 25357 I2C.FLT_SCL.counter[1] +.sym 25358 $true$2 +.sym 25362 $false +.sym 25363 I2C.FLT_SCL.counter[2] +.sym 25364 $false +.sym 25365 $auto$alumacc.cc:474:replace_alu$9623.C[2] +.sym 25374 I2C.FLT_SDA.out +.sym 25375 $false +.sym 25376 $false +.sym 25377 $false +.sym 25396 $abc$51270$n1177 .sym 25397 CLK$2$2 -.sym 25398 $abc$56607$n35$2 -.sym 25505 KEYBOARD.kbd_code_hid[2] -.sym 25506 KEYBOARD.report[6][2] -.sym 25507 KEYBOARD.report[6][1] -.sym 25508 KEYBOARD.kbd_code_hid[1] -.sym 25511 KEYBOARD.report[6][0] -.sym 25512 KEYBOARD.report[6][1] -.sym 25513 KEYBOARD.report[6][2] -.sym 25514 KEYBOARD.report[6][3] -.sym 25517 KEYBOARD.kbd_code_hid[7] -.sym 25518 KEYBOARD.report[6][7] -.sym 25519 KEYBOARD.report[6][0] -.sym 25520 KEYBOARD.kbd_code_hid[0] -.sym 25523 $abc$56607$n945 -.sym 25524 $abc$56607$n946 -.sym 25525 $abc$56607$n947 -.sym 25526 $abc$56607$n948 -.sym 25529 KEYBOARD.kbd_code_hid[4] -.sym 25530 KEYBOARD.report[6][4] -.sym 25531 KEYBOARD.report[6][3] -.sym 25532 KEYBOARD.kbd_code_hid[3] -.sym 25535 KEYBOARD.report[6][4] -.sym 25536 KEYBOARD.report[6][5] -.sym 25537 KEYBOARD.report[6][6] -.sym 25538 KEYBOARD.report[6][7] -.sym 25541 KEYBOARD.kbd_code_hid[6] -.sym 25542 KEYBOARD.report[6][6] -.sym 25543 KEYBOARD.report[6][5] -.sym 25544 KEYBOARD.kbd_code_hid[5] -.sym 25547 $abc$56607$n1118 -.sym 25548 KEYBOARD.report[3][4] -.sym 25549 KEYBOARD.report[6][4] -.sym 25550 $abc$56607$n1121 +.sym 25398 $false +.sym 25467 $true +.sym 25504 $abc$51270$n1493$2 +.sym 25505 $false +.sym 25506 $abc$51270$n1493 +.sym 25507 $false +.sym 25508 $false +.sym 25510 $auto$alumacc.cc:474:replace_alu$9617.C[2] +.sym 25512 $false +.sym 25513 $abc$51270$n1494 +.sym 25516 $auto$alumacc.cc:474:replace_alu$9617.C[3] +.sym 25517 $false +.sym 25518 $false +.sym 25519 $abc$51270$n1496 +.sym 25520 $auto$alumacc.cc:474:replace_alu$9617.C[2] +.sym 25522 $auto$alumacc.cc:474:replace_alu$9617.C[4] +.sym 25523 $false +.sym 25524 $false +.sym 25525 $abc$51270$n1497 +.sym 25526 $auto$alumacc.cc:474:replace_alu$9617.C[3] +.sym 25528 $auto$alumacc.cc:474:replace_alu$9617.C[5] +.sym 25529 $false +.sym 25530 $false +.sym 25531 $abc$51270$n1499 +.sym 25532 $auto$alumacc.cc:474:replace_alu$9617.C[4] +.sym 25534 $auto$alumacc.cc:474:replace_alu$9617.C[6] +.sym 25535 $false +.sym 25536 $false +.sym 25537 $abc$51270$n1500 +.sym 25538 $auto$alumacc.cc:474:replace_alu$9617.C[5] +.sym 25540 $auto$alumacc.cc:474:replace_alu$9617.C[7] +.sym 25541 $false +.sym 25542 $false +.sym 25543 $abc$51270$n1502 +.sym 25544 $auto$alumacc.cc:474:replace_alu$9617.C[6] +.sym 25547 $false +.sym 25548 $false +.sym 25549 $abc$51270$n1503 +.sym 25550 $auto$alumacc.cc:474:replace_alu$9617.C[7] .sym 25556 SCL$2 -.sym 25660 KEYBOARD.kbd_code_hid[0] -.sym 25661 KEYBOARD.kbd_code_hid[1] -.sym 25662 KEYBOARD.kbd_code_hid[2] +.sym 25660 $false +.sym 25661 $abc$51270$n35$2 +.sym 25662 rststate[0] .sym 25663 $false -.sym 25666 $abc$56607$n1121 -.sym 25667 KEYBOARD.report[6][7] -.sym 25668 $false -.sym 25669 $false -.sym 25672 $abc$56607$n2704 -.sym 25673 $false -.sym 25674 $false -.sym 25675 $false -.sym 25678 $abc$56607$n2703 -.sym 25679 $false -.sym 25680 $false -.sym 25681 $false -.sym 25684 $abc$56607$n2708 -.sym 25685 $false -.sym 25686 $false +.sym 25666 $abc$51270$n1281 +.sym 25667 $abc$51270$n2176 +.sym 25668 $abc$51270$n1497 +.sym 25669 $abc$51270$n1280 +.sym 25672 $abc$51270$n1281 +.sym 25673 $abc$51270$n2175 +.sym 25674 $abc$51270$n1496 +.sym 25675 $abc$51270$n1280 +.sym 25678 $abc$51270$n846 +.sym 25679 $abc$51270$n1228_1 +.sym 25680 $abc$51270$n861 +.sym 25681 $abc$51270$n1942 +.sym 25684 $abc$51270$n1494 +.sym 25685 $abc$51270$n1285 +.sym 25686 $abc$51270$n1280 .sym 25687 $false -.sym 25690 $abc$56607$n2705 -.sym 25691 $false -.sym 25692 $false -.sym 25693 $false -.sym 25696 $abc$56607$n2706 -.sym 25697 $false -.sym 25698 $false -.sym 25699 $false -.sym 25702 $abc$56607$n2702 -.sym 25703 $false -.sym 25704 $false -.sym 25705 $false -.sym 25706 $abc$56607$n1471$2 +.sym 25690 $abc$51270$n1281 +.sym 25691 $abc$51270$n2177 +.sym 25692 $abc$51270$n1499 +.sym 25693 $abc$51270$n1280 +.sym 25696 $abc$51270$n846 +.sym 25697 $abc$51270$n1228_1 +.sym 25698 $abc$51270$n861 +.sym 25699 $abc$51270$n1940 +.sym 25702 $abc$51270$n846 +.sym 25703 $abc$51270$n1228_1 +.sym 25704 $abc$51270$n861 +.sym 25705 $abc$51270$n1946 +.sym 25706 $true .sym 25707 CLK$2$2 -.sym 25708 $abc$56607$n29 +.sym 25708 $false .sym 25709 I2C.SDA_IN -.sym 25815 $abc$56607$n27 -.sym 25816 KEYBOARD.kbd_code_hid[6] -.sym 25817 $false -.sym 25818 $false -.sym 25821 $abc$56607$n2231 -.sym 25822 KEYBOARD.row_counter[0] -.sym 25823 KEYBOARD.row_counter[1] -.sym 25824 $abc$56607$n2237 -.sym 25827 KEYBOARD.row_counter[0] -.sym 25828 KEYBOARD.row_counter[1] -.sym 25829 $abc$56607$n2231 -.sym 25830 $abc$56607$n2237 -.sym 25833 $abc$56607$n2237 -.sym 25834 KEYBOARD.row_counter[0] -.sym 25835 KEYBOARD.row_counter[1] -.sym 25836 $abc$56607$n2231 -.sym 25839 $abc$56607$n312 -.sym 25840 wr_cnt[0] -.sym 25841 wr_cnt[1] -.sym 25842 $abc$56607$n305 -.sym 25845 KEYBOARD.kbd_code_hid[6] +.sym 25833 $abc$51270$n1281 +.sym 25834 $2\I2C_INPUT_LEN[7:0][1] +.sym 25835 $false +.sym 25836 $false +.sym 25845 $abc$51270$n2576 .sym 25846 $false .sym 25847 $false .sym 25848 $false -.sym 25851 KEYBOARD.kbd_code_hid[1] -.sym 25852 $false -.sym 25853 $false -.sym 25854 $false -.sym 25857 KEYBOARD.kbd_code_hid[4] -.sym 25858 $false -.sym 25859 $false -.sym 25860 $false -.sym 25861 $abc$56607$n1328 +.sym 25861 $abc$51270$n1404$2 .sym 25862 CLK$2$2 -.sym 25863 $abc$56607$n27 -.sym 25970 $abc$56607$n2237 -.sym 25971 KEYBOARD.row_counter[0] -.sym 25972 KEYBOARD.row_counter[1] -.sym 25973 $abc$56607$n2231 -.sym 25976 $abc$56607$n1121 -.sym 25977 KEYBOARD.report[6][1] +.sym 25863 $abc$51270$n31 +.sym 25970 I2C.FLT_SCL.RESET +.sym 25971 $false +.sym 25972 $false +.sym 25973 $false +.sym 25976 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 25977 $false .sym 25978 $false .sym 25979 $false -.sym 25982 $abc$56607$n305 -.sym 25983 wr_cnt[0] -.sym 25984 wr_cnt[1] -.sym 25985 $abc$56607$n312 -.sym 25988 $abc$56607$n2231 -.sym 25989 $abc$56607$n2237 -.sym 25990 KEYBOARD.row_counter[0] -.sym 25991 KEYBOARD.row_counter[1] -.sym 26000 I2C.FLT_SCL.RESET -.sym 26001 $false -.sym 26002 $false -.sym 26003 $false -.sym 26006 wr_cnt[0] -.sym 26007 wr_cnt[1] -.sym 26008 $abc$56607$n305 -.sym 26009 $abc$56607$n312 -.sym 26012 $abc$56607$n2231 -.sym 26013 $abc$56607$n2237 -.sym 26014 KEYBOARD.row_counter[0] -.sym 26015 KEYBOARD.row_counter[1] -.sym 26137 $false -.sym 26138 $false -.sym 26139 $false -.sym 26140 $false -.sym 26149 $abc$56607$n2701 -.sym 26150 $false -.sym 26151 $false -.sym 26152 $false -.sym 26171 $abc$56607$n1471$2 +.sym 25982 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 25983 $false +.sym 25984 $false +.sym 25985 $false +.sym 25988 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 25989 $false +.sym 25990 $false +.sym 25991 $false +.sym 25994 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 25995 $false +.sym 25996 $false +.sym 25997 $false +.sym 26006 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 26007 $false +.sym 26008 $false +.sym 26009 $false +.sym 26016 $abc$51270$n1119 +.sym 26017 CLK$2$2 +.sym 26018 $abc$51270$n35$2 +.sym 26087 $true +.sym 26124 I2C.byte_counter[0]$2 +.sym 26125 $false +.sym 26126 I2C.byte_counter[0] +.sym 26127 $false +.sym 26128 $false +.sym 26130 $auto$alumacc.cc:474:replace_alu$9606.C[2]$2 +.sym 26132 I2C.byte_counter[1] +.sym 26133 $true$2 +.sym 26136 $auto$alumacc.cc:474:replace_alu$9606.C[3]$2 +.sym 26138 I2C.byte_counter[2] +.sym 26139 $true$2 +.sym 26140 $auto$alumacc.cc:474:replace_alu$9606.C[2]$2 +.sym 26142 $auto$alumacc.cc:474:replace_alu$9606.C[4]$2 +.sym 26144 I2C.byte_counter[3] +.sym 26145 $true$2 +.sym 26146 $auto$alumacc.cc:474:replace_alu$9606.C[3]$2 +.sym 26148 $auto$alumacc.cc:474:replace_alu$9606.C[5]$2 +.sym 26150 I2C.byte_counter[4] +.sym 26151 $true$2 +.sym 26152 $auto$alumacc.cc:474:replace_alu$9606.C[4]$2 +.sym 26154 $auto$alumacc.cc:474:replace_alu$9606.C[6]$2 +.sym 26156 I2C.byte_counter[5] +.sym 26157 $true$2 +.sym 26158 $auto$alumacc.cc:474:replace_alu$9606.C[5]$2 +.sym 26160 $auto$alumacc.cc:474:replace_alu$9606.C[7] +.sym 26162 I2C.byte_counter[6] +.sym 26163 $true$2 +.sym 26164 $auto$alumacc.cc:474:replace_alu$9606.C[6]$2 +.sym 26167 $false +.sym 26168 I2C.byte_counter[7] +.sym 26169 $false +.sym 26170 $auto$alumacc.cc:474:replace_alu$9606.C[7] +.sym 26171 $abc$51270$n1117 .sym 26172 CLK$2$2 -.sym 26173 $abc$56607$n28 -.sym 26286 $abc$56607$n667 -.sym 26287 $13\int_tmr[19:0][2] -.sym 26288 $false -.sym 26289 $false -.sym 26292 $abc$56607$n667 -.sym 26293 $13\int_tmr[19:0][4] -.sym 26294 $false -.sym 26295 $false -.sym 26304 $abc$56607$n667 -.sym 26305 $13\int_tmr[19:0][6] -.sym 26306 $false -.sym 26307 $false -.sym 26322 $abc$56607$n667 -.sym 26323 $13\int_tmr[19:0][5] -.sym 26324 $false -.sym 26325 $false -.sym 26326 $abc$56607$n901$2 +.sym 26173 $abc$51270$n35$2 +.sym 26280 temp_output_report[0] +.sym 26281 $false +.sym 26282 $false +.sym 26283 $false +.sym 26310 temp_output_report[1] +.sym 26311 $false +.sym 26312 $false +.sym 26313 $false +.sym 26326 $abc$51270$n1138 .sym 26327 CLK$2$2 -.sym 26328 $abc$56607$n35$2 -.sym 26397 $true -.sym 26434 int_tmr[0]$2 -.sym 26435 $false -.sym 26436 int_tmr[0] -.sym 26437 $false -.sym 26438 $false -.sym 26440 $auto$alumacc.cc:470:replace_alu$12123.C[2] -.sym 26442 $false -.sym 26443 int_tmr[1] -.sym 26446 $auto$alumacc.cc:470:replace_alu$12123.C[3] -.sym 26447 $false -.sym 26448 $false -.sym 26449 int_tmr[2] -.sym 26450 $auto$alumacc.cc:470:replace_alu$12123.C[2] -.sym 26452 $auto$alumacc.cc:470:replace_alu$12123.C[4] -.sym 26453 $false +.sym 26328 $abc$51270$n35$2 +.sym 26447 $abc$51270$n896_1 +.sym 26448 KEYBOARD.init_delay_cnt[0] +.sym 26449 I2C.FLT_SCL.RESET +.sym 26450 $false +.sym 26453 I2C_INPUT_LEN[6] .sym 26454 $false -.sym 26455 int_tmr[3] -.sym 26456 $auto$alumacc.cc:470:replace_alu$12123.C[3] -.sym 26458 $auto$alumacc.cc:470:replace_alu$12123.C[5] +.sym 26455 $false +.sym 26456 $false .sym 26459 $false .sym 26460 $false -.sym 26461 int_tmr[4] -.sym 26462 $auto$alumacc.cc:470:replace_alu$12123.C[4] -.sym 26464 $auto$alumacc.cc:470:replace_alu$12123.C[6] -.sym 26465 $false -.sym 26466 $false -.sym 26467 int_tmr[5] -.sym 26468 $auto$alumacc.cc:470:replace_alu$12123.C[5] -.sym 26470 $auto$alumacc.cc:470:replace_alu$12123.C[7] -.sym 26471 $false +.sym 26461 $false +.sym 26462 $false +.sym 26465 KEYBOARD.init_delay_cnt[0] +.sym 26466 KEYBOARD.init_delay_cnt[1] +.sym 26467 KEYBOARD.init_delay_cnt[2] +.sym 26468 KEYBOARD.init_delay_cnt[3] +.sym 26471 KEYBOARD.init_delay_cnt[1] .sym 26472 $false -.sym 26473 int_tmr[6] -.sym 26474 $auto$alumacc.cc:470:replace_alu$12123.C[6] -.sym 26476 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26477 $false -.sym 26478 $false -.sym 26479 int_tmr[7] -.sym 26480 $auto$alumacc.cc:470:replace_alu$12123.C[7] -.sym 26552 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26589 $auto$alumacc.cc:470:replace_alu$12123.C[9] +.sym 26473 $false +.sym 26474 $false +.sym 26481 $abc$51270$n1393 +.sym 26482 CLK$2$2 +.sym 26483 $abc$51270$n35$2 +.sym 26552 $true +.sym 26589 KEYBOARD.init_delay_cnt[0]$2 .sym 26590 $false -.sym 26591 $false -.sym 26592 int_tmr[8] -.sym 26593 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26595 $auto$alumacc.cc:470:replace_alu$12123.C[10] -.sym 26596 $false +.sym 26591 KEYBOARD.init_delay_cnt[0] +.sym 26592 $false +.sym 26593 $false +.sym 26595 $auto$alumacc.cc:474:replace_alu$9635.C[2] .sym 26597 $false -.sym 26598 int_tmr[9] -.sym 26599 $auto$alumacc.cc:470:replace_alu$12123.C[9] -.sym 26601 $auto$alumacc.cc:470:replace_alu$12123.C[11] +.sym 26598 KEYBOARD.init_delay_cnt[1] +.sym 26601 $auto$alumacc.cc:474:replace_alu$9635.C[3] .sym 26602 $false .sym 26603 $false -.sym 26604 int_tmr[10] -.sym 26605 $auto$alumacc.cc:470:replace_alu$12123.C[10] -.sym 26607 $auto$alumacc.cc:470:replace_alu$12123.C[12] +.sym 26604 KEYBOARD.init_delay_cnt[2] +.sym 26605 $auto$alumacc.cc:474:replace_alu$9635.C[2] .sym 26608 $false .sym 26609 $false -.sym 26610 int_tmr[11] -.sym 26611 $auto$alumacc.cc:470:replace_alu$12123.C[11] -.sym 26613 $auto$alumacc.cc:470:replace_alu$12123.C[13] +.sym 26610 KEYBOARD.init_delay_cnt[3] +.sym 26611 $auto$alumacc.cc:474:replace_alu$9635.C[3] .sym 26614 $false .sym 26615 $false -.sym 26616 int_tmr[12] -.sym 26617 $auto$alumacc.cc:470:replace_alu$12123.C[12] -.sym 26619 $auto$alumacc.cc:470:replace_alu$12123.C[14] -.sym 26620 $false -.sym 26621 $false -.sym 26622 int_tmr[13] -.sym 26623 $auto$alumacc.cc:470:replace_alu$12123.C[13] -.sym 26625 $auto$alumacc.cc:470:replace_alu$12123.C[15] -.sym 26626 $false -.sym 26627 $false -.sym 26628 int_tmr[14] -.sym 26629 $auto$alumacc.cc:470:replace_alu$12123.C[14] -.sym 26631 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26632 $false -.sym 26633 $false -.sym 26634 int_tmr[15] -.sym 26635 $auto$alumacc.cc:470:replace_alu$12123.C[15] -.sym 26707 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26744 $auto$alumacc.cc:470:replace_alu$12123.C[17] -.sym 26745 $false -.sym 26746 $false -.sym 26747 int_tmr[16] -.sym 26748 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26750 $auto$alumacc.cc:470:replace_alu$12123.C[18] -.sym 26751 $false -.sym 26752 $false -.sym 26753 int_tmr[17] -.sym 26754 $auto$alumacc.cc:470:replace_alu$12123.C[17] -.sym 26756 $auto$alumacc.cc:470:replace_alu$12123.C[19] -.sym 26757 $false -.sym 26758 $false -.sym 26759 int_tmr[18] -.sym 26760 $auto$alumacc.cc:470:replace_alu$12123.C[18] -.sym 26763 $false +.sym 26616 KEYBOARD.init_delay_cnt[0] +.sym 26617 $false +.sym 26636 $abc$51270$n1392 +.sym 26637 CLK$2$2 +.sym 26638 $abc$51270$n35$2 +.sym 26763 $abc$51270$n2579 .sym 26764 $false -.sym 26765 int_tmr[19] -.sym 26766 $auto$alumacc.cc:470:replace_alu$12123.C[19] -.sym 26769 $abc$56607$n667 -.sym 26770 $13\int_tmr[19:0][15] -.sym 26771 $false -.sym 26772 $false -.sym 26775 $abc$56607$n667 -.sym 26776 $13\int_tmr[19:0][16] -.sym 26777 $false -.sym 26778 $false -.sym 26781 $abc$56607$n667 -.sym 26782 $13\int_tmr[19:0][18] -.sym 26783 $false -.sym 26784 $false -.sym 26787 $abc$56607$n667 -.sym 26788 $13\int_tmr[19:0][17] +.sym 26765 $false +.sym 26766 $false +.sym 26787 $abc$51270$n2575 +.sym 26788 $false .sym 26789 $false .sym 26790 $false -.sym 26791 $abc$56607$n901$2 +.sym 26791 $abc$51270$n1404$2 .sym 26792 CLK$2$2 -.sym 26793 $abc$56607$n35$2 -.sym 26862 $true -.sym 26899 $abc$56607$n246$2 -.sym 26900 $false -.sym 26901 $abc$56607$n246 +.sym 26793 $abc$51270$n31 +.sym 26900 KEYBOARD.row_time[1] +.sym 26901 KEYBOARD.row_time[0] .sym 26902 $false .sym 26903 $false -.sym 26905 $auto$alumacc.cc:470:replace_alu$12069.C[2] -.sym 26907 $true$2 -.sym 26908 $abc$56607$n1955 -.sym 26911 $auto$alumacc.cc:470:replace_alu$12069.C[3] -.sym 26913 $false -.sym 26914 $abc$56607$n243 -.sym 26917 $auto$alumacc.cc:470:replace_alu$12069.C[4] -.sym 26919 $true$2 -.sym 26920 $abc$56607$n2618 -.sym 26923 $auto$alumacc.cc:470:replace_alu$12069.C[5] +.sym 26906 $abc$51270$n1607 +.sym 26907 $abc$51270$n1609 +.sym 26908 $abc$51270$n1612 +.sym 26909 $abc$51270$n1613 +.sym 26912 $abc$51270$n1610 +.sym 26913 $abc$51270$n725 +.sym 26914 $false +.sym 26915 $false +.sym 26918 $abc$51270$n1613 +.sym 26919 $false +.sym 26920 $false +.sym 26921 $false +.sym 26924 $abc$51270$n1607 .sym 26925 $false -.sym 26926 $abc$56607$n240 -.sym 26929 $auto$alumacc.cc:470:replace_alu$12069.C[6] +.sym 26926 $false +.sym 26927 $false +.sym 26930 $abc$51270$n1612 .sym 26931 $false -.sym 26932 $abc$56607$n239 -.sym 26935 $auto$alumacc.cc:470:replace_alu$12069.C[7] +.sym 26932 $false +.sym 26933 $false +.sym 26936 $abc$51270$n1610 .sym 26937 $false -.sym 26938 $abc$56607$n237 -.sym 26941 $abc$56607$n2575 +.sym 26938 $false +.sym 26939 $false +.sym 26942 $abc$51270$n1609 .sym 26943 $false -.sym 26944 $abc$56607$n236 -.sym 27055 init_ram_cnt[5] -.sym 27056 init_ram_cnt[7] -.sym 27057 $abc$56607$n734 -.sym 27058 $abc$56607$n2575 -.sym 27061 $abc$56607$n2707 -.sym 27062 $false -.sym 27063 $false -.sym 27064 $false -.sym 27067 $abc$56607$n2704 -.sym 27068 $false -.sym 27069 $false -.sym 27070 $false -.sym 27073 $abc$56607$n2706 -.sym 27074 $false -.sym 27075 $false -.sym 27076 $false -.sym 27079 $abc$56607$n2703 -.sym 27080 $false -.sym 27081 $false +.sym 26944 $false +.sym 26945 $false +.sym 26946 $abc$51270$n1257 +.sym 26947 CLK$2$2 +.sym 26948 $abc$51270$n35$2 +.sym 27061 $abc$51270$n1617 +.sym 27062 $abc$51270$n1621 +.sym 27063 $abc$51270$n1626 +.sym 27064 $abc$51270$n700 +.sym 27073 $abc$51270$n1617 +.sym 27074 $abc$51270$n1618 +.sym 27075 $abc$51270$n1620 +.sym 27076 $abc$51270$n1626 +.sym 27079 $abc$51270$n723 +.sym 27080 $abc$51270$n726 +.sym 27081 $abc$51270$n1621 .sym 27082 $false -.sym 27085 $abc$56607$n2708 -.sym 27086 $false -.sym 27087 $false -.sym 27088 $false -.sym 27091 $abc$56607$n2705 +.sym 27085 $abc$51270$n1618 +.sym 27086 $abc$51270$n1620 +.sym 27087 $abc$51270$n723 +.sym 27088 $abc$51270$n1002 +.sym 27091 $abc$51270$n1620 .sym 27092 $false .sym 27093 $false .sym 27094 $false -.sym 27097 $abc$56607$n2702 +.sym 27097 $abc$51270$n1621 .sym 27098 $false .sym 27099 $false .sym 27100 $false -.sym 27101 $abc$56607$n1471$2 +.sym 27101 $abc$51270$n1257 .sym 27102 CLK$2$2 -.sym 27103 $abc$56607$n28 +.sym 27103 $abc$51270$n35$2 .sym 27189 $false .sym 27191 KEYBOARD.ROWS_EN[6] .sym 27192 $false @@ -10597,10 +10452,10 @@ .sym 27373 $false .sym 27375 KEYBOARD.ROWS_EN[12] .sym 27400 COM_DCD$2 -.sym 27429 $abc$56607$n1018 +.sym 27429 $abc$51270$n1418 .sym 27430 $false .sym 27432 I2C.SDA_DIR -.sym 27459 $abc$56607$n35 +.sym 27459 $abc$51270$n35 .sym 27463 I2C_TRANS .sym 27519 LED4$2 .sym 27522 LED3$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin index 573b30a..982fbce 100644 Binary files a/i2c_keyboard/hardware.bin and b/i2c_keyboard/hardware.bin differ diff --git a/i2c_keyboard/hardware.blif b/i2c_keyboard/hardware.blif index 925f3ea..b6edda2 100644 --- a/i2c_keyboard/hardware.blif +++ b/i2c_keyboard/hardware.blif @@ -1,4 +1,4 @@ -# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) +# Generated by Yosys 0.8 (Apio build) (git sha1 40e220b, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) .model top .inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] @@ -7,3599 +7,3428 @@ .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$56607$n658 I1=$abc$56607$n2229 I2=$abc$56607$n663 I3=UART.tx_activity O=$abc$56607$n1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2192 I1=$abc$51270$n683 I2=$abc$51270$n678 I3=UART.tx_activity O=$abc$51270$n1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$56607$n659 I1=$abc$56607$n662 I2=$abc$56607$n2509 I3=$abc$56607$n2495 O=$abc$56607$n658 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$56607$n660 I3=$false O=$abc$56607$n659 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n2518 I1=$abc$56607$n2519 I2=$false I3=$false O=$abc$56607$n660 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n682 I1=$abc$51270$n679 I2=$abc$51270$n2362 I3=$abc$51270$n2348 O=$abc$51270$n678 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$51270$n680 I3=$false O=$abc$51270$n679 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n2383 I1=$abc$51270$n2384 I2=$false I3=$false O=$abc$51270$n680 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$56607$n2518 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$51270$n2383 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$56607$n660 I3=$false O=$abc$56607$n662 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n664 I1=$abc$56607$n665 I2=$abc$56607$n2495 I3=$abc$56607$n2509 O=$abc$56607$n663 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$56607$n660 I3=$false O=$abc$56607$n664 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$56607$n660 I3=$false O=$abc$56607$n665 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n670 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n3 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$56607$n667 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$51270$n680 I3=$false O=$abc$51270$n682 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n685 I1=$abc$51270$n684 I2=$abc$51270$n2348 I3=$abc$51270$n2362 O=$abc$51270$n683 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$51270$n680 I3=$false O=$abc$51270$n684 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$51270$n680 I3=$false O=$abc$51270$n685 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n687 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n3 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$51270$n687 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$56607$n670 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$51270$n690 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$56607$n19 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$51270$n19 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1011 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n673 I2=$false I3=$false O=$abc$56607$n21 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n693 I2=$false I3=$false O=$abc$51270$n21 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$abc$56607$n674 I3=I2C.byte_counter[1] O=$abc$56607$n673 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$56607$n674 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n676 I1=$abc$56607$n715 I2=$abc$56607$n728 I3=$abc$56607$n720 O=$abc$56607$n27 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=$abc$56607$n677 I1=$abc$56607$n701 I2=$abc$56607$n714 I3=$abc$56607$n704 O=$abc$56607$n676 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$abc$56607$n678 I1=$abc$56607$n691 I2=KEYBOARD.is_pressed I3=$abc$56607$n696 O=$abc$56607$n677 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$56607$n685 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$56607$n679 I3=$false O=$abc$56607$n678 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n680 I1=$abc$56607$n683 I2=$abc$56607$n684 I3=$false O=$abc$56607$n679 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$56607$n682 I2=KEYBOARD.row_time[2] I3=$abc$56607$n681 O=$abc$56607$n680 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$56607$n681 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$56607$n682 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[4] O=$abc$56607$n683 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$56607$n684 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n685 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n687 I2=$abc$56607$n688 I3=$abc$56607$n689 O=$abc$56607$n686 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$56607$n687 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=$false I3=$false O=$abc$56607$n688 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$56607$n689 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$56607$n690 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n692 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$56607$n693 I3=$false O=$abc$56607$n691 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n692 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=$abc$56607$n680 I1=$abc$56607$n694 I2=$abc$56607$n695 I3=$false O=$abc$56607$n693 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$56607$n694 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[5] O=$abc$56607$n695 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$56607$n692 I2=$abc$56607$n693 I3=$abc$56607$n697 O=$abc$56607$n696 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n699 I2=$false I3=$false O=$abc$56607$n697 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n694 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51270$n693 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n687 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$56607$n698 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n684 I1=$abc$56607$n700 I2=KEYBOARD.row_time[4] I3=KEYBOARD.row_time[5] O=$abc$56607$n699 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$56607$n700 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$56607$n697 O=$abc$56607$n701 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011001000000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.temp[5] I2=$abc$56607$n703 I3=$false O=$abc$56607$n702 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n686 I1=$abc$56607$n690 I2=$false I3=$false O=$abc$56607$n703 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$51270$n695 I3=$false O=$abc$51270$n694 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$51270$n695 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51270$n697 I1=$abc$51270$n29 I2=$abc$51270$n1447 I3=KEYBOARD.is_pressed O=$abc$51270$n27 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000011111101 +.gate SB_LUT4 I0=$abc$51270$n698 I1=$abc$51270$n702 I2=$abc$51270$n707 I3=$false O=$abc$51270$n697 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n2249 I1=$abc$51270$n701 I2=$false I3=$false O=$abc$51270$n698 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n705 I1=$abc$56607$n709 I2=$abc$56607$n711 I3=$false O=$abc$56607$n704 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2249 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n700 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n708 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$56607$n706 O=$abc$56607$n705 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011001000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=$abc$56607$n698 I3=$abc$56607$n707 O=$abc$56607$n706 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n396 I2=$abc$51270$n394 I3=$false O=$abc$51270$n701 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$51270$n705 I2=$abc$51270$n706 I3=$abc$51270$n703 O=$abc$51270$n702 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$51270$n704 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$51270$n700 I3=KBD_COLUMNS[0] O=$abc$51270$n703 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n704 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51270$n396 I1=$abc$51270$n394 I2=$abc$51270$n383 I3=$false O=$abc$51270$n705 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n394 I1=$abc$51270$n396 I2=$abc$51270$n383 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$51270$n706 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n694 I1=$abc$56607$n700 I2=$false I3=$false O=$abc$56607$n707 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n708 I1=$abc$51270$n710 I2=$abc$51270$n712 I3=$false O=$abc$51270$n707 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$51270$n709 I2=$false I3=$false O=$abc$51270$n708 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=KEYBOARD.temp[4] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n708 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n710 I2=$abc$56607$n695 I3=$false O=$abc$56607$n709 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=$abc$56607$n684 I2=KEYBOARD.row_time[3] I3=$false O=$abc$56607$n710 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n709 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n712 I2=$abc$56607$n713 I3=$false O=$abc$56607$n711 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[6] I1=$abc$56607$n684 I2=KEYBOARD.row_time[7] I3=$false O=$abc$56607$n712 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$51270$n711 I2=$false I3=$false O=$abc$51270$n710 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51270$n394 I1=$abc$51270$n383 I2=$abc$51270$n396 I3=$false O=$abc$51270$n711 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$56607$n713 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$56607$n685 I2=$abc$56607$n679 I3=$abc$56607$n706 O=$abc$56607$n714 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$56607$n716 I1=$abc$56607$n718 I2=$false I3=$false O=$abc$56607$n715 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$56607$n709 O=$abc$56607$n716 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[1] I1=KEYBOARD.temp[1] I2=$abc$56607$n703 I3=$false O=$abc$56607$n717 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=KEYBOARD.is_pressed I3=$abc$56607$n711 O=$abc$56607$n718 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[3] I1=KEYBOARD.temp[3] I2=$abc$56607$n703 I3=$false O=$abc$56607$n719 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n721 I1=$abc$56607$n725 I2=$false I3=$false O=$abc$56607$n720 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$56607$n723 O=$abc$56607$n721 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.temp[2] I2=$abc$56607$n703 I3=$false O=$abc$56607$n722 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n724 I2=$abc$56607$n683 I3=$false O=$abc$56607$n723 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n694 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$56607$n724 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n726 I1=KEYBOARD.COLS_SHADOW[0] I2=KEYBOARD.is_pressed I3=$abc$56607$n727 O=$abc$56607$n725 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[0] I2=$abc$56607$n703 I3=$false O=$abc$56607$n726 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=$abc$56607$n694 I2=$abc$56607$n686 I3=KEYBOARD.row_time[3] O=$abc$56607$n727 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n727 I2=$false I3=$false O=$abc$56607$n728 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n730 I1=$abc$56607$n707 I2=$false I3=$false O=$abc$56607$n33 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$51270$n713 I2=$false I3=$false O=$abc$51270$n712 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=$abc$56607$n681 I2=$abc$56607$n731 I3=KEYBOARD.row_time[5] O=$abc$56607$n730 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n688 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=$false O=$abc$56607$n731 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n736 I2=$false I3=$false O=$abc$56607$n842 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$56607$n734 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$56607$n733 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n713 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=$abc$51270$n704 I2=$abc$51270$n719 I3=$abc$51270$n720 O=$abc$51270$n718 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=$abc$51270$n701 I2=$false I3=$false O=$abc$51270$n719 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51270$n705 I1=KEYBOARD.temp[6] I2=$abc$51270$n700 I3=KEYBOARD.RAM.r_data[0] O=$abc$51270$n720 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$51270$n700 I3=$false O=$abc$51270$n721 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$51270$n723 I1=$abc$51270$n726 I2=$abc$51270$n1621 I3=$false O=$abc$51270$n29 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111111 +.gate SB_LUT4 I0=$abc$51270$n1610 I1=$abc$51270$n725 I2=$false I3=$false O=$abc$51270$n723 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$51270$n1610 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$51270$n1607 I1=$abc$51270$n1609 I2=$abc$51270$n1612 I3=$abc$51270$n1613 O=$abc$51270$n725 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51270$n1617 I1=$abc$51270$n1618 I2=$abc$51270$n1620 I3=$abc$51270$n1626 O=$abc$51270$n726 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n764 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$51270$n729 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n728 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n730 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$51270$n729 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$56607$n735 I3=$false O=$abc$56607$n734 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$51270$n731 I3=$false O=$abc$51270$n730 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[6] I2=init_ram_cnt[4] I3=init_ram_cnt[3] O=$abc$56607$n735 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[4] I2=init_ram_cnt[6] I3=init_ram_cnt[3] O=$abc$51270$n731 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n736 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n760 I1=$abc$56607$n753 I2=$abc$56607$n756 I3=$abc$56607$n1501_1 O=$abc$56607$n873 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n747 I2=$abc$56607$n742 I3=$false O=$abc$56607$n741 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n743 I2=$abc$56607$n746 I3=$false O=$abc$56607$n742 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n734 I1=$abc$51270$n757 I2=$abc$51270$n759 I3=$abc$51270$n754 O=$abc$51270$n733 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51270$n764 I1=$abc$51270$n735 I2=$abc$51270$n744 I3=$false O=$abc$51270$n734 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n745 I1=$abc$56607$n744 I2=$false I3=$false O=$abc$56607$n743 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n743 I1=UART_WR I2=$abc$51270$n736 I3=$abc$51270$n740 O=$abc$51270$n735 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51270$n739 I1=$abc$51270$n737 I2=$false I3=$false O=$abc$51270$n736 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$56607$n744 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51270$n738 I3=$false O=$abc$51270$n737 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$51270$n738 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$56607$n745 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$51270$n739 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$56607$n746 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$51270$n741 I3=$abc$51270$n742 O=$abc$51270$n740 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$51270$n741 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$56607$n19 I2=$false I3=$false O=$abc$56607$n747 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$51270$n742 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$false I3=$false O=$abc$51270$n743 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 +.gate SB_LUT4 I0=$2\UART_WR[0:0] I1=$abc$51270$n687 I2=$false I3=$false O=$abc$51270$n744 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$2\uart_double_ff[0:0] I3=$abc$51270$n19 O=$2\UART_WR[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111001011111111 .gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$56607$n751 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C_TRANS I1=$abc$51270$n748 I2=$abc$51270$n753 I3=$false O=$abc$51270$n747 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n667 I2=$abc$56607$n19 I3=$false O=$abc$56607$n988 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n749 I1=$abc$51270$n751 I2=$abc$51270$n752 I3=$false O=$abc$51270$n748 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n747 I2=$abc$56607$n754 I3=$false O=$abc$56607$n753 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n750 I1=int_tmr[12] I2=int_tmr[13] I3=int_tmr[14] O=$abc$51270$n749 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=int_tmr[8] I1=int_tmr[9] I2=int_tmr[10] I3=int_tmr[11] O=$abc$51270$n750 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=int_tmr[4] I1=int_tmr[5] I2=int_tmr[6] I3=int_tmr[7] O=$abc$51270$n751 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=int_tmr[0] I1=int_tmr[1] I2=int_tmr[2] I3=int_tmr[3] O=$abc$51270$n752 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51270$n753 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n755 I3=$false O=$abc$51270$n754 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n755 I2=$false I3=$false O=$abc$56607$n754 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n744 I1=$abc$56607$n745 I2=$abc$56607$n746 I3=$false O=$abc$56607$n755 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n759 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n757 O=$abc$56607$n756 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n744 I2=$abc$56607$n746 I3=$false O=$abc$56607$n757 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n758 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n22 I1=$abc$51270$n729 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n755 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$51270$n756 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$56607$n759 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n738 I1=$abc$51270$n728 I2=$abc$51270$n758 I3=$false O=$abc$51270$n757 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n758 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n763 I1=$abc$56607$n766 I2=$abc$56607$n761 I3=$false O=$abc$56607$n760 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$56607$n842 I1=UART.tx_activity I2=last_uart_active I3=$abc$56607$n762 O=$abc$56607$n761 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n747 I2=$abc$56607$n743 I3=$abc$56607$n746 O=$abc$56607$n762 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$56607$n764 I3=$abc$56607$n765 O=$abc$56607$n763 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$56607$n764 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n737 I2=$abc$51270$n739 I3=$abc$51270$n22 O=$abc$51270$n759 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51270$n764 I1=$abc$51270$n736 I2=$abc$51270$n762 I3=$false O=$abc$51270$n761 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$abc$51270$n19 I3=$false O=$abc$51270$n762 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$51270$n763 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$56607$n765 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=I2C_TRANS I1=UART_WR I2=$abc$56607$n751 I3=int_tmr[19] O=$abc$56607$n766 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n768 I1=$abc$56607$n772 I2=$false I3=$false O=$abc$56607$n881 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=last_uart_active I1=$abc$56607$n741 I2=UART.tx_activity I3=$abc$56607$n769 O=$abc$56607$n768 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2422 I1=I2C.is_read I2=$abc$51270$n753 I3=$abc$51270$n917 O=$abc$51270$n765 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n759 I2=$abc$56607$n770 I3=$false O=$abc$56607$n769 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n771 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n757 O=$abc$56607$n770 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n771 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n736 I2=$abc$51270$n767 I3=$false O=$abc$51270$n917 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51270$n687 I1=$abc$51270$n19 I2=$abc$51270$n22 I3=$false O=$abc$51270$n767 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=I2C.wr I2=last_wr I3=$abc$51270$n769 O=$abc$51270$n768 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011001000000000 +.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n737 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n22 O=$abc$51270$n769 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51270$n743 I1=$abc$51270$n771 I2=$abc$51270$n776 I3=$abc$51270$n773 O=$abc$51270$n796 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n744 I2=$false I3=$false O=$abc$51270$n771 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51270$n739 I1=$abc$51270$n769 I2=$false I3=$false O=$abc$51270$n772 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n773 I1=$abc$56607$n842 I2=$abc$56607$n988 I3=$abc$56607$n754 O=$abc$56607$n772 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=uart_double_ff I1=$abc$56607$n774 I2=$abc$56607$n762 I3=$false O=$abc$56607$n773 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n917 I2=$abc$51270$n774 I3=$false O=$abc$51270$n773 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$51270$n769 I1=$abc$51270$n762 I2=$abc$51270$n775 I3=$false O=$abc$51270$n774 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51270$n739 I1=UART.tx_activity I2=uart_double_ff I3=last_uart_active O=$abc$51270$n775 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51270$n769 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n776 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$51270$n771 I1=$abc$51270$n778 I2=$abc$51270$n773 I3=$false O=$abc$51270$n799 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$56607$n774 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n774 I2=$abc$56607$n776 I3=$abc$56607$n772 O=$abc$56607$n888 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$56607$n769 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n776 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n780 I1=$abc$51270$n779 I2=$abc$51270$n758 I3=I2C.FLT_SCL.RESET O=$abc$51270$n778 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n757 I3=$false O=$abc$51270$n779 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n780 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n779 I1=$abc$56607$n778 I2=$abc$56607$n1501_1 I3=$false O=$abc$56607$n901 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n784 I1=$abc$51270$n782 I2=$abc$51270$n785 I3=$false O=$abc$51270$n867 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n753 I2=$false I3=$false O=$abc$56607$n778 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n783 I1=$abc$51270$n763 I2=$abc$51270$n761 I3=$abc$51270$n765 O=$abc$51270$n782 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$abc$51270$n748 I1=UART_WR I2=$false I3=$false O=$abc$51270$n783 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=int_tmr[0] I1=$abc$51270$n771 I2=$false I3=$false O=$abc$51270$n784 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n768 I2=$abc$51270$n776 I3=$false O=$abc$51270$n785 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51270$n782 I1=$abc$51270$n785 I2=$false I3=$false O=$abc$51270$n871 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=UART_WR I1=int_tmr[19] I2=$abc$56607$n761 I3=$false O=$abc$56607$n779 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$56607$n782 I1=$abc$56607$n781 I2=$abc$56607$n1501_1 I3=$false O=$abc$56607$n938 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n758 I1=$abc$51270$n728 I2=$abc$51270$n755 I3=$false O=$abc$51270$n921 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n21 I2=$abc$51270$n789 I3=$abc$51270$n1117 O=$abc$51270$n934 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$51270$n790 I1=$abc$51270$n753 I2=$abc$51270$n759 I3=$false O=$abc$51270$n789 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n791 I2=$abc$51270$n728 I3=$false O=$abc$51270$n790 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n761 I1=UART_WR I2=$abc$56607$n769 I3=$abc$56607$n753 O=$abc$56607$n781 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=int_tmr[19] I1=int_tmr[0] I2=UART_WR I3=$abc$56607$n761 O=$abc$56607$n782 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=$abc$56607$n1501_1 I1=$abc$56607$n781 I2=$false I3=$false O=$abc$56607$n985 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n22 I1=$3\report_wr_en[0:0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n992 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n670 I2=$false I3=$false O=$3\report_wr_en[0:0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n737 I1=$abc$51270$n739 I2=$abc$51270$n22 I3=$false O=$abc$51270$n791 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n776 I2=$false I3=$false O=$abc$51270$n1117 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n787 I2=$false I3=$false O=$abc$56607$n999 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n788 I1=$abc$56607$n21 I2=$abc$56607$n754 I3=$abc$56607$n742 O=$abc$56607$n787 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$56607$n751 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n788 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n757 I1=$abc$56607$n791 I2=$abc$56607$n770 I3=$false O=$abc$56607$n1014 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n769 I1=$abc$51270$n754 I2=$false I3=$false O=$abc$51270$n937 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n757 I2=$abc$51270$n795 I3=$false O=$abc$51270$n945 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n776 I3=$false O=$abc$51270$n795 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001101 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$56607$n791 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$51270$n796_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n770 I1=$abc$56607$n793 I2=$false I3=$false O=$abc$56607$n1018 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n757 I1=$abc$56607$n791 I2=$abc$56607$n736 I3=$abc$56607$n733 O=$abc$56607$n793 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n795 I2=$abc$56607$n1465 I3=$false O=$abc$56607$n1039 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n757 I2=$abc$51270$n780 I3=$abc$51270$n795 O=$abc$51270$n950 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n799_1 I2=$abc$51270$n778 I3=$abc$51270$n789 O=$abc$51270$n968 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51270$n21 I1=report_data_radr[0] I2=$false I3=$false O=$abc$51270$n799_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n753 I2=I2C.is_read I3=$false O=$abc$51270$n974 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n754 I1=$abc$56607$n788 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n795 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$56607$n1180 I1=$abc$56607$n795 I2=$false I3=$false O=$abc$56607$n1050 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n769 I2=$false I3=$false O=$abc$56607$n1180 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n808 I1=$abc$56607$n805 I2=$abc$56607$n806 I3=$abc$56607$n807 O=$abc$56607$n804 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$abc$56607$n806 I2=$abc$56607$n674 I3=I2C.byte_counter[3] O=$abc$56607$n805 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$56607$n806 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$56607$n674 I3=$false O=$abc$56607$n807 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$51270$n695 I2=I2C.byte_counter[2] I3=$false O=$abc$51270$n809 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n809 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$56607$n808 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$51270$n812 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51270$n814 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51270$n813 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$56607$n809 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$51270$n814 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n811 I1=$abc$56607$n755 I2=$false I3=$false O=$abc$56607$n810 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n812 I2=$abc$56607$n673 I3=$false O=$abc$56607$n811 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n822 I2=$false I3=$false O=$abc$51270$n821 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$51270$n822 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$56607$n807 I3=$false O=$abc$56607$n812 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$56607$n815_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n673 I1=$abc$56607$n807 I2=I2C.is_read I3=$abc$56607$n754 O=$abc$56607$n816_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n741 I3=$false O=$abc$56607$n819 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$56607$n822 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$51270$n823 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n828 I2=$abc$51270$n809 I3=$abc$51270$n830 O=$abc$51270$n827 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n826 I1=$abc$56607$n822 I2=$abc$56607$n829 I3=$abc$56607$n809 O=$abc$56607$n825 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=$abc$56607$n827 I3=I2C.received_byte[0] O=$abc$56607$n826 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=$abc$51270$n829 I3=$false O=$abc$51270$n828 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51270$n814 I2=$false I3=$false O=$abc$51270$n829 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.is_read I1=I2C.byte_counter[1] I2=I2C.FLT_SCL.RESET I3=I2C.byte_counter[0] O=$abc$51270$n830 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=$abc$56607$n828 O=$abc$56607$n827 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n814 I1=$abc$51270$n834 I2=$abc$51270$n832 I3=$false O=$abc$51270$n831 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n823 I2=$abc$51270$n822 I3=$abc$51270$n833 O=$abc$51270$n832 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$51270$n833 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51270$n835 I1=I2C.received_byte[3] I2=I2C.received_byte[2] I3=$abc$51270$n823 O=$abc$51270$n834 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$false I3=$false O=$abc$56607$n828 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n830 I1=I2C.received_byte[2] I2=$abc$56607$n827 I3=$false O=$abc$56607$n829 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=i2c_input_data_type[0] I3=$false O=$abc$56607$n830 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=i2c_input_data_type[0] I3=$false O=$abc$51270$n835 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=i2c_input_data_type[0] I3=$abc$56607$n809 O=$abc$56607$n832 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n673 I2=$abc$56607$n834 I3=I2C.is_read O=$abc$56607$n833 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$56607$n828 I3=$abc$56607$n835 O=$abc$56607$n834 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$56607$n835 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n988 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n836 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$56607$n827 I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$56607$n841 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n753 I2=$abc$56607$n756 I3=$false O=$abc$56607$n1191 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=I2C.is_read I2=$abc$56607$n849 I3=$abc$56607$n988 O=$abc$56607$n848 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1513 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$56607$n849 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n759 I2=$abc$51270$n837 I3=$false O=$abc$51270$n836 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n917 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n776 O=$abc$51270$n837 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$51270$n19 I1=$abc$51270$n769 I2=$abc$51270$n761 I3=$false O=$abc$51270$n838 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$51270$n840 I1=$abc$51270$n809 I2=$abc$51270$n693 I3=$abc$51270$n790 O=$abc$51270$n839 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010011111111 +.gate SB_LUT4 I0=$abc$51270$n833 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$51270$n840 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$51270$n772 I1=$2\uart_double_ff[0:0] I2=$abc$51270$n842_1 I3=$false O=$abc$51270$n1119 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$51270$n761 I1=$abc$51270$n1149 I2=$abc$51270$n755 I3=$abc$51270$n779 O=$abc$51270$n842_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51270$n19 I1=$abc$51270$n769 I2=$abc$51270$n759 I3=$false O=$abc$51270$n1149 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n859 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n861 O=$abc$51270$n1138 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100010000001111 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$51270$n847 I2=$false I3=$false O=$abc$51270$n846 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51270$n847 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$56607$n854 I2=I2C_INPUT_LEN[1] I3=$false O=$abc$56607$n853 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$56607$n855 I3=$false O=$abc$56607$n854 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n855 I1=$abc$51270$n857 I2=$abc$51270$n858 I3=$abc$51270$n849 O=$abc$51270$n848 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51270$n852 I1=$abc$51270$n854 I2=$abc$51270$n813 I3=$abc$51270$n850 O=$abc$51270$n849 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$abc$51270$n851 I1=$abc$51270$n812 I2=$abc$51270$n2425 I3=$false O=$abc$51270$n850 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$51270$n853 I2=$abc$51270$n852 I3=$false O=$abc$51270$n851 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$56607$n855 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$51270$n852 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$abc$56607$n854 I2=I2C_INPUT_LEN[0] I3=$abc$56607$n815_1 O=$abc$56607$n856 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$56607$n858 I2=$abc$56607$n855 I3=$false O=$abc$56607$n857 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$56607$n858 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$51270$n853 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$56607$n854 I3=$abc$56607$n822 O=$abc$56607$n860 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$56607$n861 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$51270$n854 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$56607$n863 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n776 I3=$false O=$abc$56607$n1218 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n754 I1=$abc$56607$n819 I2=$abc$56607$n769 I3=$false O=$abc$56607$n1221 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$51270$n856 I2=I2C_INPUT_LEN[1] I3=$abc$51270$n829 O=$abc$51270$n855 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$51270$n852 I3=$false O=$abc$51270$n856 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n778 I1=$abc$56607$n867 I2=$false I3=$false O=$abc$56607$n1227 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$51270$n856 I3=$abc$51270$n812 O=$abc$51270$n857 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$abc$51270$n856 I3=$abc$51270$n833 O=$abc$51270$n858 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[1] I2=i2c_input_data_type[3] I3=$abc$51270$n860 O=$abc$51270$n859 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$51270$n860 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n741 I1=UART.tx_activity I2=last_uart_active I3=$abc$56607$n988 O=$abc$56607$n867 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n917 I2=$false I3=$false O=$abc$51270$n861 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n838 I2=$abc$51270$n776 I3=$false O=$abc$51270$n1152 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n743 I1=$abc$51270$n771 I2=$abc$51270$n917 I3=$abc$51270$n785 O=$abc$51270$n1159 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=KEYBOARD.isr I2=last_isr I3=$abc$51270$n755 O=$abc$51270$n1163 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$56607$n746 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1232 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n769 I3=$abc$56607$n870 O=$abc$56607$n1236 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n774 I2=$abc$56607$n754 I3=$abc$56607$n988 O=$abc$56607$n870 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=$abc$56607$n358 I1=$abc$56607$n880 I2=$abc$56607$n872 I3=$false O=$abc$56607$n1248 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n771 I1=$abc$51270$n1149 I2=$abc$51270$n917 I3=$abc$51270$n776 O=$abc$51270$n1168 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51270$n305 I1=$abc$51270$n875 I2=$abc$51270$n867_1 I3=$false O=$abc$51270$n1177 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n360 I1=$abc$56607$n873_1 I2=$false I3=$false O=$abc$56607$n872 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n874 I1=$abc$56607$n878 I2=$abc$56607$n879 I3=$false O=$abc$56607$n873_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n874 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n307 I1=$abc$51270$n873 I2=$abc$51270$n874 I3=$abc$51270$n868 O=$abc$51270$n867_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51270$n869 I1=$abc$51270$n871_1 I2=$false I3=$false O=$abc$51270$n868 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$56607$n2043 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$56607$n875 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51270$n2006 I3=I2C.is_read O=$abc$51270$n869 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$56607$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$56607$n2043 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51270$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51270$n2006 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11101111 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$56607$n14 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$56607$n877 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111111100010000 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$56607$n878 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51270$n2006 I2=$abc$51270$n872 I3=$false O=$abc$51270$n871_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$51270$n14 I3=$false O=$abc$51270$n872 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$51270$n873 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n361 I1=$abc$56607$n372 I2=$abc$56607$n2529 I3=$false O=$abc$56607$n879 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n308 I1=$abc$51270$n319 I2=$abc$51270$n2394 I3=$false O=$abc$51270$n874 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$56607$n2043 I3=$false O=$abc$56607$n880 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$51270$n2006 I3=$false O=$abc$51270$n875 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$56607$n872 I1=$abc$56607$n882 I2=$false I3=$false O=$abc$56607$n1258 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n867_1 I1=$abc$51270$n877 I2=$false I3=$false O=$abc$51270$n1190 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$false I3=$false O=$abc$56607$n882 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$false I3=$false O=$abc$51270$n877 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$abc$56607$n872 I3=$false O=$abc$56607$n1268 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$abc$51270$n867_1 I3=$false O=$abc$51270$n1195 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n872 I1=$abc$56607$n885 I2=$false I3=$false O=$abc$56607$n1278 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n867_1 I1=$abc$51270$n880 I2=$false I3=$false O=$abc$51270$n1200 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$false I3=$false O=$abc$56607$n885 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$false I3=$false O=$abc$51270$n880 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n358 I1=$abc$56607$n880 I2=$abc$56607$n887 I3=$false O=$abc$56607$n1283 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n305 I1=$abc$51270$n875 I2=$abc$51270$n882 I3=$false O=$abc$51270$n1205 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n873_1 I1=$abc$56607$n360 I2=$false I3=$false O=$abc$56607$n887 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n887 I1=$abc$56607$n882 I2=$false I3=$false O=$abc$56607$n1290 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n868 I1=$abc$51270$n873 I2=$abc$51270$n874 I3=$abc$51270$n307 O=$abc$51270$n882 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51270$n882 I1=$abc$51270$n877 I2=$false I3=$false O=$abc$51270$n1210 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$abc$56607$n887 I3=$false O=$abc$56607$n1297 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$abc$51270$n882 I3=$false O=$abc$51270$n1217 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n887 I1=$abc$56607$n885 I2=$false I3=$false O=$abc$56607$n1302 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n882 I1=$abc$51270$n880 I2=$false I3=$false O=$abc$51270$n1225 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$56607$n2043 I3=$false O=$abc$56607$n1305 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51270$n2006 I3=$false O=$abc$51270$n1228 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01001111 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$56607$n893 I2=$false I3=$false O=$abc$56607$n1315 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$56607$n893 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$56607$n895 I1=$abc$56607$n898 I2=$abc$56607$n893 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1320 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n888 I1=$abc$51270$n891 I2=$abc$51270$n893 I3=I2C.FLT_SCL.RESET O=$abc$51270$n1231 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n896 I1=UART.tx_activity I2=$false I3=$false O=$abc$56607$n895 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n889 I1=UART.tx_activity I2=$false I3=$false O=$abc$51270$n888 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$56607$n897 I3=$false O=$abc$56607$n896 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$51270$n890 I3=$false O=$abc$51270$n889 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$56607$n1541 I1=$abc$56607$n1544 I2=$abc$56607$n1545 I3=$false O=$abc$56607$n897 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n1514 I1=$abc$51270$n1517 I2=$abc$51270$n1518 I3=$false O=$abc$51270$n890 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$56607$n899 I2=$false I3=$false O=$abc$56607$n898 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$51270$n892 I2=$false I3=$false O=$abc$51270$n891 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$56607$n899 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$51270$n892 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n1475 I1=$abc$56607$n1495 I2=$abc$56607$n901_1 I3=$abc$56607$n1477 O=$abc$56607$n1328 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n928 I2=$abc$56607$n926 I3=$false O=$abc$56607$n901_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$51270$n893 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n893 I2=$false I3=$false O=$abc$51270$n1238 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$51270$n896_1 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1257 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[0] I1=KEYBOARD.init_delay_cnt[1] I2=KEYBOARD.init_delay_cnt[2] I3=KEYBOARD.init_delay_cnt[3] O=$abc$51270$n896_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51270$n1418 I1=$abc$51270$n923 I2=$abc$51270$n935 I3=$abc$51270$n898 O=$abc$51270$n1258 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n918 I3=$false O=$abc$51270$n898 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$56607$n912 I1=$abc$56607$n905 I2=$false I3=$false O=$abc$56607$n904 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n915 I2=$abc$51270$n910 I3=$false O=$abc$51270$n899 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51270$n907 I1=$abc$51270$n901 I2=$false I3=$false O=$abc$51270$n900 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n906 I1=$abc$56607$n909 I2=$false I3=$false O=$abc$56607$n905 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n904 I2=$false I3=$false O=$abc$51270$n901 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n907 I1=$abc$56607$n908 I2=$false I3=$false O=$abc$56607$n906 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$56607$n907 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$56607$n908 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n910 I1=$abc$56607$n911 I2=$false I3=$false O=$abc$56607$n909 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$51270$n903 I3=$false O=$abc$51270$n902 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$51270$n903 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51270$n905 I1=$abc$51270$n906 I2=$false I3=$false O=$abc$51270$n904 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$56607$n910 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$51270$n905 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$56607$n911 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$51270$n906 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n913 I1=$abc$56607$n914 I2=$false I3=$false O=$abc$56607$n912 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n908 I1=$abc$51270$n909 I2=$false I3=$false O=$abc$51270$n907 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$56607$n913 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$51270$n908 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$56607$n914 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$51270$n909 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n916 I1=$abc$56607$n920 I2=$abc$56607$n923 I3=$false O=$abc$56607$n915 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n917 I1=$abc$56607$n2571 I2=$abc$56607$n2572 I3=$false O=$abc$56607$n916 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n918 I1=$abc$56607$n919 I2=KEYBOARD.kbd_code_hid[3] I3=$false O=$abc$56607$n917 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n918 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.kbd_code_hid[7] O=$abc$56607$n919 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=$abc$56607$n922 I2=$abc$56607$n921 I3=$false O=$abc$56607$n920 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n910 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$51270$n913 I2=$abc$51270$n912 I3=$false O=$abc$51270$n911 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n921 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n912 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.kbd_code_hid[5] O=$abc$56607$n922 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$51270$n913 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$abc$56607$n924 I3=$abc$56607$n925 O=$abc$56607$n923 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[5] I1=KEYBOARD.init_ram_cnt[6] I2=KEYBOARD.init_ram_cnt[7] I3=$false O=$abc$56607$n924 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=KEYBOARD.init_ram_cnt[3] I2=KEYBOARD.init_ram_cnt[4] I3=KEYBOARD.init_ram_cnt[8] O=$abc$56607$n925 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n905 I2=$abc$56607$n927 I3=$false O=$abc$56607$n926 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n915 I1=I2C.FLT_SCL.RESET I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n927 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n1418 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n929 I1=$abc$56607$n930 I2=$false I3=$false O=$abc$56607$n928 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n916 I1=$abc$51270$n917_1 I2=$false I3=$false O=$abc$51270$n915 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$56607$n929 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$51270$n916 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$56607$n930 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$51270$n917_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n937 I1=$abc$56607$n938_1 I2=$abc$56607$n933 I3=$false O=$abc$56607$n932 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n928 I1=$abc$56607$n934 I2=$false I3=$false O=$abc$56607$n933 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n935 I1=$abc$56607$n936 I2=$false I3=$false O=$abc$56607$n934 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n919 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n918 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n919 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n921_1 I1=$abc$51270$n922 I2=$false I3=$false O=$abc$51270$n920 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=kbd_report[5][4] I1=kbd_report[5][5] I2=kbd_report[5][6] I3=kbd_report[5][7] O=$abc$56607$n935 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$51270$n921_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=kbd_report[5][0] I1=kbd_report[5][1] I2=kbd_report[5][2] I3=kbd_report[5][3] O=$abc$56607$n936 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$51270$n922 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$56607$n937 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n924 I1=$abc$51270$n930 I2=$abc$51270$n27 I3=$false O=$abc$51270$n923 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$51270$n926 I1=$abc$51270$n925 I2=$false I3=$false O=$abc$51270$n924 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n915 I2=$abc$51270$n900 I3=$false O=$abc$51270$n925 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51270$n927 I1=$abc$51270$n928 I2=$false I3=$false O=$abc$51270$n926 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$51270$n927 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$56607$n938_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$51270$n928 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n943 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n1490 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n923 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$abc$56607$n943 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n945 I1=$abc$56607$n946 I2=$abc$56607$n947 I3=$abc$56607$n948 O=$abc$56607$n944 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n931 I1=$abc$51270$n932 I2=$abc$51270$n933 I3=$abc$51270$n934_1 O=$abc$51270$n930 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[6][4] I2=KEYBOARD.report[6][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n945 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[5][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[5][7] O=$abc$51270$n931 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[6][6] I2=KEYBOARD.report[6][5] I3=KEYBOARD.kbd_code_hid[5] O=$abc$56607$n946 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[5][0] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[5][6] O=$abc$51270$n932 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[6][2] I2=KEYBOARD.report[6][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n947 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[5][5] O=$abc$51270$n933 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[6][7] I2=KEYBOARD.report[6][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n948 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[5][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[5][2] O=$abc$51270$n934_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n950 I1=$abc$56607$n951 I2=$abc$56607$n901_1 I3=$abc$56607$n958 O=$abc$56607$n1407 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n933 I2=$false I3=$false O=$abc$56607$n950 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n953 I1=$abc$56607$n952 I2=$false I3=$false O=$abc$56607$n951 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n936 I1=$abc$51270$n938 I2=$abc$51270$n940 I3=$abc$51270$n942 O=$abc$51270$n935 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n937_1 I2=$abc$51270$n904 I3=$false O=$abc$51270$n936 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n937_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n927 I2=$false I3=$false O=$abc$56607$n952 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n1418 I2=$abc$51270$n907 I3=$false O=$abc$51270$n938 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n27 I1=I2C.FLT_SCL.RESET I2=$abc$51270$n915 I3=$false O=$abc$51270$n940 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n1418 I1=$abc$51270$n902 I2=$false I3=$false O=$abc$51270$n942 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n954 I1=$abc$56607$n955 I2=$abc$56607$n956 I3=$abc$56607$n957 O=$abc$56607$n953 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n947 I1=$abc$51270$n948 I2=$abc$51270$n949 I3=$abc$51270$n950_1 O=$abc$51270$n946 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=kbd_report[5][7] I2=kbd_report[5][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n954 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[6][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[6][7] O=$abc$51270$n947 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=kbd_report[5][6] I2=kbd_report[5][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n955 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[6][4] I2=KEYBOARD.report[6][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51270$n948 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=kbd_report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=kbd_report[5][5] O=$abc$56607$n956 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[6][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[6][5] O=$abc$51270$n949 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=kbd_report[5][2] I2=kbd_report[5][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n957 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[6][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[6][6] O=$abc$51270$n950_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n1495 I1=$abc$56607$n959 I2=$false I3=$false O=$abc$56607$n958 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n953 I1=$abc$51270$n1418 I2=$abc$51270$n926 I3=$false O=$abc$51270$n952_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$51270$n954 I1=$abc$51270$n955 I2=$false I3=$false O=$abc$51270$n953 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$51270$n954 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$51270$n955 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n896_1 I2=$false I3=$false O=$abc$51270$n1320 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51270$n940 I1=$abc$51270$n959 I2=$abc$51270$n958 I3=$false O=$abc$51270$n1325 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n936 I1=$abc$51270$n938 I2=$false I3=$false O=$abc$51270$n958 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n915 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n959 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n928 I2=$abc$56607$n958 I3=$abc$56607$n961 O=$abc$56607$n1422 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$56607$n952 I1=$abc$56607$n962 I2=$abc$56607$n926 I3=$false O=$abc$56607$n961 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$56607$n963 I1=$abc$56607$n964 I2=$abc$56607$n965 I3=$abc$56607$n966 O=$abc$56607$n962 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[4][5] O=$abc$56607$n963 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[4][6] I2=KEYBOARD.report[4][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n964 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[4][7] I2=KEYBOARD.report[4][2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$56607$n965 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n960 I3=$abc$51270$n968_1 O=$abc$51270$n959 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$51270$n1461 I1=$abc$51270$n27 I2=$abc$51270$n937_1 I3=$false O=$abc$51270$n960 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[4][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[4][2] O=$abc$51270$n963 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=KEYBOARD.report[4][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n966 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[4][5] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[4][7] O=$abc$51270$n966 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n968 I1=$abc$56607$n1494 I2=$abc$56607$n926 I3=$abc$56607$n959 O=$abc$56607$n1431 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n969 I1=$abc$56607$n952 I2=$false I3=$false O=$abc$56607$n968 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n918 I1=$abc$51270$n942 I2=$false I3=$false O=$abc$51270$n968_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$51270$n970 I1=$abc$51270$n899 I2=$abc$51270$n958 I3=$abc$51270$n968_1 O=$abc$51270$n1354 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51270$n971 I1=$abc$51270$n972 I2=$false I3=$false O=$abc$51270$n970 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n27 I2=$false I3=$false O=$abc$51270$n971 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n970 I1=$abc$56607$n971 I2=$abc$56607$n972 I3=$abc$56607$n973 O=$abc$56607$n969 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[3][5] O=$abc$56607$n970 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[3][7] I2=KEYBOARD.report[3][4] I3=KEYBOARD.kbd_code_hid[4] O=$abc$56607$n971 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n977 I1=$abc$51270$n973 I2=$abc$51270$n976 I3=$abc$51270$n1418 O=$abc$51270$n972 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$51270$n974_1 I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.report[3][4] I3=$abc$51270$n975 O=$abc$51270$n973 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=$false I3=$false O=$abc$51270$n974_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[3][0] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[3][7] O=$abc$51270$n975 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[3][2] I2=KEYBOARD.report[3][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n972 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[3][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[3][6] O=$abc$51270$n976 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[3][6] I2=KEYBOARD.report[3][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n973 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[3][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[3][2] O=$abc$51270$n977 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n952 I1=$abc$56607$n976 I2=$abc$56607$n959 I3=$abc$56607$n975 O=$abc$56607$n1435 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=$abc$56607$n906 I1=$abc$56607$n909 I2=$abc$56607$n27 I3=$abc$56607$n927 O=$abc$56607$n975 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$56607$n977 I1=$abc$56607$n978 I2=$abc$56607$n979_1 I3=$abc$56607$n980 O=$abc$56607$n976 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n979 I1=$abc$51270$n936 I2=$abc$51270$n985 I3=$false O=$abc$51270$n1365 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51270$n980 I1=$abc$51270$n937_1 I2=$abc$51270$n971 I3=$false O=$abc$51270$n979 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n981 I1=$abc$51270$n982 I2=$abc$51270$n983 I3=$abc$51270$n984 O=$abc$51270$n980 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[2][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n977 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[2][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[2][7] O=$abc$51270$n981 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.report[2][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n978 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[2][0] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[2][6] O=$abc$51270$n982 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$56607$n979_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$51270$n983 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[2][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n980 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[2][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[2][2] O=$abc$51270$n984 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n1516 I1=$abc$56607$n989 I2=$abc$56607$n959 I3=$false O=$abc$56607$n1450 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[1][2] I2=$abc$56607$n986 I3=$abc$56607$n987 O=$abc$56607$n985_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n986 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n900 I2=$abc$51270$n910 I3=$abc$51270$n968_1 O=$abc$51270$n985 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$51270$n995 I1=$abc$51270$n1495 I2=$abc$51270$n1418 I3=$abc$51270$n968_1 O=$abc$51270$n1374 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[1][7] O=$abc$51270$n994 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[1][5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[1][4] O=$abc$56607$n987 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n909 I2=$abc$56607$n927 I3=$false O=$abc$56607$n989 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n920 I1=$abc$56607$n916 I2=$abc$56607$n943 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1457 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n901 I2=$false I3=$false O=$abc$51270$n995 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n919 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1381 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[0] I3=I2C.FLT_SCL.RESET O=$abc$51270$n1387 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000011111111 -.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n1490 I2=$abc$56607$n1484 I3=$false O=$abc$56607$n1462 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$56607$n923 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n1484 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n896_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1388 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$51270$n896_1 I1=KEYBOARD.init_delay_cnt[0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1393 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n1001 I2=$abc$51270$n1320 I3=$false O=$abc$51270$n1398 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$51270$n1618 I1=$abc$51270$n1620 I2=$abc$51270$n723 I3=$abc$51270$n1002 O=$abc$51270$n1001 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51270$n1617 I1=$abc$51270$n1621 I2=$abc$51270$n1626 I3=$abc$51270$n700 O=$abc$51270$n1002 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51270$n29 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n1402 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n994 I2=$abc$56607$n1490 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1470 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n682 I2=$abc$56607$n683 I3=$abc$56607$n690 O=$abc$56607$n994 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n1490 I2=$false I3=$false O=$abc$56607$n1471 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1001 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n1404 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n976 I1=$abc$56607$n1516 I2=$abc$56607$n998 I3=$abc$56607$n997 O=$abc$56607$n1483 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010111111 -.gate SB_LUT4 I0=$abc$56607$n920 I1=$abc$56607$n916 I2=$abc$56607$n943 I3=I2C.FLT_SCL.RESET O=$abc$56607$n997 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=$abc$56607$n944 I1=$abc$56607$n953 I2=$abc$56607$n962 I3=$abc$56607$n969 O=$abc$56607$n998 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1495 I1=$abc$51270$n1006 I2=$abc$51270$n972 I3=$abc$51270$n1257 O=$abc$51270$n1415 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$51270$n1461 I1=$abc$51270$n930 I2=$abc$51270$n946 I3=$abc$51270$n980 O=$abc$51270$n1006 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$56607$n33 I1=KEYBOARD.row_time[0] I2=$abc$56607$n1490 I3=$false O=$abc$56607$n1489 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1492 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n29 I2=$abc$51270$n1320 I3=$false O=$abc$51270$n1417 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1420 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$56607$n2481 I1=$abc$56607$n1632 I2=$abc$56607$n1004 I3=$false O=$abc$56607$n1501 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n1003 I1=$abc$56607$n1635 I2=$false I3=$false O=$abc$56607$n2481 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$56607$n1003 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n2480 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$56607$n1004 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$56607$n2480 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$56607$n1003 I3=$false O=$abc$56607$n1502 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$56607$n2484 I1=$abc$56607$n1010 I2=$false I3=$false O=$abc$56607$n1511 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2334 I1=$abc$51270$n1012 I2=$false I3=$false O=$abc$51270$n1429 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1009 I1=$abc$56607$n1639 I2=$false I3=$false O=$abc$56607$n2484 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1011 I1=$abc$51270$n1632 I2=$false I3=$false O=$abc$51270$n2334 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$56607$n1009 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$51270$n1011 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n2483 I1=$abc$56607$n1636 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$56607$n1010 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2333 I1=$abc$51270$n1629 I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$51270$n1012 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$56607$n2483 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$51270$n2333 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$56607$n1009 I3=$false O=$abc$56607$n1512 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$51270$n1011 I3=$false O=$abc$51270$n1430 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$56607$n1520 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2335 I1=$abc$51270$n1017 I2=$abc$51270$n2336 I3=$abc$51270$n1636 O=$abc$51270$n1439 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1633 O=$abc$51270$n2335 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$51270$n1017 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$51270$n2336 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1017 O=$abc$51270$n1440 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$51270$n1493 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$56607$n1521 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51270$n1494 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$56607$n1523 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$51270$n1496 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$56607$n1524 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$51270$n1497 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$56607$n1526 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$51270$n1499 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$56607$n1527 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$51270$n1500 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$56607$n1529 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$51270$n1502 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$56607$n1530 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$51270$n1503 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][0] I2=$false I3=$false O=$10\int_tmr[19:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][0] I2=$false I3=$false O=$10\int_tmr[14:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[19:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[14:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][2] I2=$false I3=$false O=$10\int_tmr[19:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][2] I2=$false I3=$false O=$10\int_tmr[14:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][3] I2=$false I3=$false O=$10\int_tmr[19:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][3] I2=$false I3=$false O=$10\int_tmr[14:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][4] I2=$false I3=$false O=$10\int_tmr[19:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][4] I2=$false I3=$false O=$10\int_tmr[14:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][5] I2=$false I3=$false O=$10\int_tmr[19:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][5] I2=$false I3=$false O=$10\int_tmr[14:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][6] I2=$false I3=$false O=$10\int_tmr[19:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][6] I2=$false I3=$false O=$10\int_tmr[14:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][7] I2=$false I3=$false O=$10\int_tmr[19:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][7] I2=$false I3=$false O=$10\int_tmr[14:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][8] I2=$false I3=$false O=$10\int_tmr[19:0][8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][8] I2=$false I3=$false O=$10\int_tmr[14:0][8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][9] I2=$false I3=$false O=$10\int_tmr[19:0][9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][9] I2=$false I3=$false O=$10\int_tmr[14:0][9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][10] I2=$false I3=$false O=$10\int_tmr[19:0][10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][10] I2=$false I3=$false O=$10\int_tmr[14:0][10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][11] I2=$false I3=$false O=$10\int_tmr[19:0][11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][11] I2=$false I3=$false O=$10\int_tmr[14:0][11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][12] I2=$false I3=$false O=$10\int_tmr[19:0][12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][12] I2=$false I3=$false O=$10\int_tmr[14:0][12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][13] I2=$false I3=$false O=$10\int_tmr[19:0][13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][13] I2=$false I3=$false O=$10\int_tmr[14:0][13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][14] I2=$false I3=$false O=$10\int_tmr[19:0][14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][14] I2=$false I3=$false O=$10\int_tmr[14:0][14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][15] I2=$false I3=$false O=$10\int_tmr[19:0][15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][16] I2=$false I3=$false O=$10\int_tmr[19:0][16] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][17] I2=$false I3=$false O=$10\int_tmr[19:0][17] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][18] I2=$false I3=$false O=$10\int_tmr[19:0][18] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=int_tmr[19] I1=$abc$56607$n1733 I2=$abc$56607$n667 I3=$false O=$10\int_tmr[19:0][19] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$56607$n1042 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=REPORT_DATA.r_data[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$56607$n1042 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1044 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=REPORT_DATA.r_data[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$56607$n1044 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1046 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=REPORT_DATA.r_data[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$56607$n1046 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1048 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=REPORT_DATA.r_data[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$56607$n1048 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1050_1 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=REPORT_DATA.r_data[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$56607$n1050_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1052 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=REPORT_DATA.r_data[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$56607$n1052 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1054 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=REPORT_DATA.r_data[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$56607$n1054 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n1056 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=REPORT_DATA.r_data[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$56607$n1056 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$56607$n774 I1=$abc$56607$n747 I2=$false I3=$false O=$2\UART_WR[0:0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$56607$n1811 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n763 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n1044 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$51270$n1044 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51270$n1046_1 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$51270$n1046_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$51270$n1048 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$51270$n1048 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51270$n1050 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_TX_DESC[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$51270$n1050 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51270$n1052 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_TX_DESC[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$51270$n1052 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$51270$n1054 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_TX_DESC[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$51270$n1054 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$51270$n1056 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_TX_DESC[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$51270$n1056 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$51270$n1058 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_TX_DESC[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$51270$n1058 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$51270$n1775 I1=ring_rd[0] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n740 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110100 -.gate SB_LUT4 I0=ring_rd[2] I1=$abc$56607$n1817 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=ring_rd[3] I1=$abc$56607$n1820 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=report_data_radr[1] I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$abc$56607$n1824 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n1827 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$56607$n21 O=$0\report_data_radr[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1781 I1=ring_rd[2] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1784 I1=ring_rd[3] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=report_data_radr[1] I1=$10\ring_rd[3:0][0] I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$51270$n1788 I1=$10\ring_rd[3:0][1] I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1791 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$51270$n21 O=$0\report_data_radr[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$abc$56607$n1830 I1=$abc$56607$n2545 I2=$abc$56607$n2543 I3=$abc$56607$n21 O=$0\report_data_radr[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1794 I1=$abc$51270$n2413 I2=$abc$51270$n2411 I3=$abc$51270$n21 O=$0\report_data_radr[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$56607$n2545 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$51270$n2413 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$56607$n2543 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51270$n2411 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n1832 I1=$abc$56607$n1833 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n1835 I1=$abc$56607$n1836 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n1838 I1=$abc$56607$n1839 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n849 I1=$abc$56607$n1075 I2=$abc$56607$n1072 I3=$abc$56607$n745 O=$0\i2c_input_data_type[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$56607$n1073 I1=I2C.received_byte[0] I2=I2C.received_byte[4] I3=$abc$56607$n1074 O=$abc$56607$n1072 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000001110111 -.gate SB_LUT4 I0=$abc$56607$n826 I1=$abc$56607$n2580 I2=$abc$56607$n2570 I3=$abc$56607$n21 O=$abc$56607$n1073 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$56607$n812 I1=$abc$56607$n828 I2=$abc$56607$n835 I3=$false O=$abc$56607$n1074 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$56607$n745 I2=$false I3=$false O=$abc$56607$n1075 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n1075 I1=$abc$56607$n1077 I2=$abc$56607$n1078 I3=$false O=$0\i2c_input_data_type[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111000 -.gate SB_LUT4 I0=$abc$56607$n1513 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$56607$n1077 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1797 I1=$abc$51270$n1796 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1800 I1=$abc$51270$n1799 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1803 I1=$abc$51270$n1802 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n847 I2=$abc$51270$n1073 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51270$n1076 I2=$abc$51270$n1074 I3=I2C.received_byte[0] O=$abc$51270$n1073 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$51270$n1075 I1=$abc$51270$n21 I2=$abc$51270$n2421 I3=$false O=$abc$51270$n1074 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n823 I1=$abc$51270$n822 I2=I2C.received_byte[0] I3=$abc$51270$n2428 O=$abc$51270$n1075 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$abc$51270$n1077 I3=$abc$51270$n821 O=$abc$51270$n1076 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51270$n809 I3=$false O=$abc$51270$n1077 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n1079 I2=$abc$51270$n1080 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$51270$n1079 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$56607$n1073 I2=$abc$56607$n1079 I3=$abc$56607$n745 O=$abc$56607$n1078 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$56607$n1074 I3=$false O=$abc$56607$n1079 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51270$n1074 I2=$abc$51270$n1081 I3=$false O=$abc$51270$n1080 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$51270$n1076 I3=$false O=$abc$51270$n1081 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$56607$n1075 I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=$abc$56607$n1081 O=$0\i2c_input_data_type[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=$abc$56607$n1079 I1=$abc$56607$n1082 I2=$abc$56607$n745 I3=$false O=$abc$56607$n1081 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$56607$n1073 I1=I2C.received_byte[2] I2=$abc$56607$n834 I3=$abc$56607$n812 O=$abc$56607$n1082 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1075 I1=i2c_input_data_type[3] I2=$abc$56607$n1513 I3=$abc$56607$n1084 O=$0\i2c_input_data_type[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n860 I2=$abc$51270$n1083 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$51270$n1081 I1=$abc$51270$n1073 I2=I2C.received_byte[2] I3=$abc$51270$n1074 O=$abc$51270$n1083 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51270$n1074 I2=$abc$51270$n739 I3=$abc$51270$n1086 O=$0\i2c_input_data_type[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=$abc$56607$n1073 I1=$abc$56607$n745 I2=I2C.received_byte[3] I3=$false O=$abc$56607$n1084 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n764 I1=$abc$56607$n1086 I2=ring_wr[0] I3=$abc$56607$n1902 O=$2\ring_wr[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n739 I2=$abc$51270$n848 I3=i2c_input_data_type[3] O=$abc$51270$n1086 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51270$n741 I1=$abc$51270$n1088 I2=ring_wr[0] I3=$abc$51270$n1865 O=$2\ring_wr[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111011101000000 -.gate SB_LUT4 I0=$abc$56607$n49 I1=ring_rd[3] I2=$abc$56607$n1911 I3=$abc$56607$n1087 O=$abc$56607$n1086 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1454 I1=ring_rd[3] I2=$abc$51270$n1874 I3=$abc$51270$n1089 O=$abc$51270$n1088 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$56607$n1902 I2=ring_rd[2] I3=$abc$56607$n1908 O=$abc$56607$n1087 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$51270$n1865 I2=ring_rd[2] I3=$abc$51270$n1871 O=$abc$51270$n1089 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$56607$n1089 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n1091 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110100 -.gate SB_LUT4 I0=$abc$56607$n764 I1=ring_wr[0] I2=$abc$56607$n1086 I3=$false O=$abc$56607$n1089 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n741 I1=ring_wr[0] I2=$abc$51270$n1088 I3=$false O=$abc$51270$n1091 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 -.gate SB_LUT4 I0=ring_wr[2] I1=$abc$56607$n1908 I2=$abc$56607$n1089 I3=$false O=$2\ring_wr[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=ring_wr[3] I1=$abc$56607$n1911 I2=$abc$56607$n1089 I3=$false O=$2\ring_wr[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n1479 I1=$abc$56607$n1093 I2=$abc$56607$n1097 I3=$abc$56607$n1099_1 O=$2\I2C_OUT_DESC_MASK[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1871 I1=ring_wr[2] I2=$abc$51270$n1091 I3=$false O=$2\ring_wr[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1874 I1=ring_wr[3] I2=$abc$51270$n1091 I3=$false O=$2\ring_wr[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1100 I1=$abc$51270$n1095 I2=$abc$51270$n1098 I3=$abc$51270$n1102 O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$56607$n1478 I2=$abc$56607$n1096 I3=$abc$56607$n1095 O=$abc$56607$n1093 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110001 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=i2c_input_data_type[0] O=$abc$56607$n1095 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=i2c_input_data_type[1] O=$abc$56607$n1096 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$56607$n1478 I2=$abc$56607$n1095 I3=$abc$56607$n1096 O=$abc$56607$n1097 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110001 -.gate SB_LUT4 I0=$abc$56607$n670 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n1099_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$51270$n860 I2=$abc$51270$n847 I3=$abc$51270$n1096 O=$abc$51270$n1095 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100001101010101 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[1] I2=i2c_input_data_type[3] I3=$abc$51270$n848 O=$abc$51270$n1096 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$51270$n1079 I1=$abc$51270$n1099 I2=$abc$51270$n860 I3=$abc$51270$n1096 O=$abc$51270$n1098 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100010111001100 +.gate SB_LUT4 I0=$abc$51270$n829 I1=$abc$51270$n848 I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$51270$n1099 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51270$n860 I2=$abc$51270$n1096 I3=I2C_OUTPUT_TYPE[2] O=$abc$51270$n1100 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$51270$n690 I1=I2C.is_read I2=$false I3=$false O=$abc$51270$n1102 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n1093 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1095 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$56607$n1097 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1098 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$56607$n1479 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1100 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n791 I1=$abc$56607$n1894 I2=$abc$56607$n670 I3=$false O=$2\wr_cnt[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n1857 I2=$abc$51270$n690 I3=$false O=$2\wr_cnt[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=$abc$56607$n1897 I3=$false O=$2\wr_cnt[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=$abc$51270$n1860 I3=$false O=$2\wr_cnt[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=$abc$56607$n1899 I3=$false O=$2\wr_cnt[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=$abc$51270$n1862 I3=$false O=$2\wr_cnt[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][0] I2=$abc$56607$n1114 I3=KEYBOARD.report[2][0] O=$abc$56607$n1111 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1125 I2=$abc$51270$n1111 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$51270$n1112_1 I1=$abc$51270$n1116 I2=$abc$51270$n1119_1 I3=$abc$51270$n1122 O=$abc$51270$n1111 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][0] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][0] O=$abc$51270$n1112_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1113_1 I1=$abc$56607$n312 I2=$false I3=$false O=$abc$56607$n1112 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1114 I1=$abc$51270$n260 I2=$false I3=$false O=$abc$51270$n1113 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$56607$n305 I3=$false O=$abc$56607$n1113_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$51270$n253 I3=$false O=$abc$51270$n1114 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n305 I1=$abc$56607$n312 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$56607$n1114 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n253 I1=$abc$51270$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51270$n1115 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$56607$n312 I1=$abc$56607$n1113_1 I2=$false I3=$false O=$abc$56607$n1115 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][0] I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][0] O=$abc$51270$n1116 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51270$n260 I1=$abc$51270$n1114 I2=$false I3=$false O=$abc$51270$n1117_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$56607$n1116 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n253 I1=$abc$51270$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51270$n1118 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001100010000001 +.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=$abc$51270$n1121 I2=$abc$51270$n1120 I3=$false O=$abc$51270$n1119_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51270$n1120 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][0] I2=KEYBOARD.report[4][0] I3=$abc$56607$n1119 O=$abc$56607$n1117 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n260 O=$abc$51270$n1121 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][0] O=$abc$51270$n1122 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n312 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$56607$n305 O=$abc$56607$n1118 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n260 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n253 O=$abc$51270$n1123 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$56607$n305 I1=wr_cnt[1] I2=wr_cnt[0] I3=$abc$56607$n312 O=$abc$56607$n1119 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$56607$n305 I3=$abc$56607$n312 O=$abc$56607$n1120 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0110000000001001 -.gate SB_LUT4 I0=$abc$56607$n305 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$56607$n312 O=$abc$56607$n1121 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n260 O=$abc$51270$n1124 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1124 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1126 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=wr_cnt[1] I1=$abc$56607$n321 I2=wr_cnt[2] I3=$false O=$abc$56607$n1127 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1128 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n1130 I2=$false I3=$false O=$abc$56607$n1129 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$56607$n1130 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n1132 I1=$abc$56607$n670 I2=$false I3=$false O=$3\report_data_wr[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n1133 I1=$abc$56607$n1139 I2=$abc$56607$n1130 I3=$abc$56607$n1116 O=$abc$56607$n1132 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110000000101 -.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][1] I2=$abc$56607$n1134 I3=$false O=$abc$56607$n1133 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][1] I2=$abc$56607$n1135 I3=$abc$56607$n1138 O=$abc$56607$n1134 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][1] I2=$abc$56607$n1136 I3=$false O=$abc$56607$n1135 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][1] I2=$abc$56607$n1137 I3=$false O=$abc$56607$n1136 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$56607$n1121 I1=KEYBOARD.report[6][1] I2=$false I3=$false O=$abc$56607$n1137 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][1] I2=$abc$56607$n1118 I3=KEYBOARD.report[3][1] O=$abc$56607$n1138 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1140 I1=$abc$56607$n1145 I2=KEYBOARD.report[0][1] I3=$abc$56607$n1124 O=$abc$56607$n1139 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001110111 -.gate SB_LUT4 I0=$abc$56607$n1141 I1=$abc$56607$n1144 I2=$false I3=$false O=$abc$56607$n1140 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1142 I1=KEYBOARD.report[6][1] I2=$abc$56607$n1143 I3=KEYBOARD.report[4][1] O=$abc$56607$n1141 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1142 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$56607$n321 I1=wr_cnt[2] I2=wr_cnt[1] I3=$false O=$abc$56607$n1143 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[2][1] I3=$abc$56607$n1127 O=$abc$56607$n1144 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1128 I1=kbd_report[5][1] I2=$abc$56607$n1146 I3=KEYBOARD.report[3][1] O=$abc$56607$n1145 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1146 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1148 I1=$abc$56607$n1482 I2=$abc$56607$n1116 I3=$abc$56607$n1129 O=$3\report_data_wr[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$56607$n1149 I1=$abc$56607$n1152 I2=KEYBOARD.report[0][2] I3=$abc$56607$n1120 O=$abc$56607$n1148 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000001110111 -.gate SB_LUT4 I0=$abc$56607$n1150 I1=$abc$56607$n1151 I2=$false I3=$false O=$abc$56607$n1149 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=KEYBOARD.report[1][0] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1125 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$51270$n269 I1=wr_cnt[1] I2=$false I3=$false O=$abc$51270$n1127 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][2] I2=$abc$56607$n1118 I3=KEYBOARD.report[3][2] O=$abc$56607$n1150 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][2] I2=KEYBOARD.report[2][2] I3=$abc$56607$n1114 O=$abc$56607$n1151 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][2] I2=KEYBOARD.report[6][2] I3=$abc$56607$n1121 O=$abc$56607$n1152 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=wr_cnt[2] I1=$abc$51270$n269 I2=wr_cnt[1] I3=$false O=$abc$51270$n1128 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n1130 I2=$false I3=$false O=$abc$51270$n1129 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$51270$n1130 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n1118 I2=$abc$51270$n1133 I3=$abc$51270$n1135 O=$abc$51270$n1132 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[3][1] I1=$abc$51270$n1123 I2=$abc$51270$n1120 I3=$abc$51270$n1134 O=$abc$51270$n1133 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=KEYBOARD.report[4][1] I1=$abc$51270$n1121 I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][1] O=$abc$51270$n1134 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1524_1 I1=$abc$56607$n1130 I2=$abc$56607$n1483_1 I3=$abc$56607$n670 O=$3\report_data_wr[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][3] I2=$abc$56607$n1164 I3=$false O=$abc$56607$n1163 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$51270$n1115 I2=$abc$51270$n1136 I3=$false O=$abc$51270$n1135 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][3] I2=$abc$56607$n1114 I3=KEYBOARD.report[2][3] O=$abc$56607$n1164 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1484_1 I1=$abc$56607$n1177 I2=$abc$56607$n1129 I3=$false O=$3\report_data_wr[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$56607$n1120 I2=$abc$56607$n1178 I3=$abc$56607$n1116 O=$abc$56607$n1177 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$56607$n1179 I1=$abc$56607$n1180_1 I2=$abc$56607$n1181 I3=$false O=$abc$56607$n1178 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=kbd_report[5][4] I1=KEYBOARD.report[1][4] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1179 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][4] I2=KEYBOARD.report[6][4] I3=$abc$56607$n1121 O=$abc$56607$n1180_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[6][1] I1=$abc$51270$n1124 I2=$abc$51270$n1113 I3=KEYBOARD.report[5][1] O=$abc$51270$n1136 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][4] I2=KEYBOARD.report[4][4] I3=$abc$56607$n1119 O=$abc$56607$n1181 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1141 I2=$abc$51270$n1144 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=KEYBOARD.report[1][2] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1141 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$51270$n1145 I1=$abc$51270$n1146 I2=$abc$51270$n1147 I3=$abc$51270$n1148 O=$abc$51270$n1144 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][2] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][2] O=$abc$51270$n1145 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1485 I1=$abc$56607$n1188 I2=$abc$56607$n1129 I3=$false O=$3\report_data_wr[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$56607$n1120 I2=$abc$56607$n1189 I3=$abc$56607$n1116 O=$abc$56607$n1188 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$56607$n1190 I1=$abc$56607$n1191_1 I2=$abc$56607$n1192 I3=$false O=$abc$56607$n1189 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=kbd_report[5][5] I1=KEYBOARD.report[1][5] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1190 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[6][5] I3=$abc$56607$n1121 O=$abc$56607$n1191_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[1][2] I1=$abc$51270$n1117_1 I2=$abc$51270$n1120 I3=$false O=$abc$51270$n1146 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.report[6][2] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][2] O=$abc$51270$n1147 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[4][5] I3=$abc$56607$n1119 O=$abc$56607$n1192 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][2] I2=$abc$51270$n1121 I3=KEYBOARD.report[4][2] O=$abc$51270$n1148 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][6] I2=$abc$56607$n1124 I3=$abc$56607$n1202 O=$abc$56607$n1201 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1130 I1=$abc$51270$n1155 I2=$abc$51270$n1150 I3=$abc$51270$n690 O=$3\report_data_wr[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=$abc$51270$n1151 O=$abc$51270$n1150 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$56607$n1143 I1=KEYBOARD.report[4][6] I2=$abc$56607$n1203 I3=$abc$56607$n1204 O=$abc$56607$n1202 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$51270$n1115 I2=$abc$51270$n1152_1 I3=$abc$51270$n1154 O=$abc$51270$n1151 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$56607$n1128 I1=kbd_report[5][6] I2=KEYBOARD.report[6][6] I3=$abc$56607$n1142 O=$abc$56607$n1203 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[6][3] I1=$abc$51270$n1124 I2=$abc$51270$n1153 I3=$false O=$abc$51270$n1152_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$51270$n1123 I1=KEYBOARD.report[3][3] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][3] O=$abc$51270$n1153 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1127 I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[3][6] I3=$abc$56607$n1146 O=$abc$56607$n1204 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[4][3] I1=$abc$51270$n1121 I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][3] O=$abc$51270$n1154 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][7] I2=$abc$56607$n1213 I3=$abc$56607$n1210 O=$abc$56607$n1209 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=KEYBOARD.report[1][3] I2=$abc$51270$n1128 I3=$abc$51270$n1120 O=$abc$51270$n1155 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1159_1 I2=$abc$51270$n1162 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][7] I2=$abc$56607$n1211_1 I3=$abc$56607$n1212 O=$abc$56607$n1210 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=KEYBOARD.report[1][4] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1159_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=$abc$51270$n1121 I2=$abc$51270$n1120 I3=$abc$51270$n1163_1 O=$abc$51270$n1162 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][7] I2=$false I3=$false O=$abc$56607$n1211_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1165 I1=$abc$51270$n1166 I2=$abc$51270$n1164 I3=$abc$51270$n1167 O=$abc$51270$n1163_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[5][4] I2=$abc$51270$n260 I3=$abc$51270$n1114 O=$abc$51270$n1164 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=$abc$51270$n1124 I1=KEYBOARD.report[6][4] I2=$false I3=$false O=$abc$51270$n1165 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=kbd_report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1212 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$56607$n1121 I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$56607$n1213 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1123 I1=KEYBOARD.report[3][4] I2=$false I3=$false O=$abc$51270$n1166 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1221_1 I2=$abc$56607$n771 I3=$6\report_data_wadr[7:0][0] O=$0\report_data_wadr[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010001000100 -.gate SB_LUT4 I0=init_ram_cnt[5] I1=init_ram_cnt[7] I2=$abc$56607$n734 I3=$abc$56607$n2575 O=$abc$56607$n1220 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][4] I2=$abc$51270$n1115 I3=KEYBOARD.report[2][4] O=$abc$51270$n1167 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][5] I2=$abc$51270$n1172 I3=$abc$51270$n1169 O=$3\report_data_wr[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1170 I2=$abc$51270$n1129 I3=$false O=$abc$51270$n1169 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1170 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$51270$n1124 I2=$abc$51270$n1173 I3=$abc$51270$n1175 O=$abc$51270$n1172 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[2][5] I1=$abc$51270$n1115 I2=$abc$51270$n1174 I3=$false O=$abc$51270$n1173 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=KEYBOARD.report[1][5] I1=KEYBOARD.report[5][5] I2=$abc$51270$n260 I3=$abc$51270$n1114 O=$abc$51270$n1174 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=KEYBOARD.report[3][5] I1=$abc$51270$n1123 I2=$abc$51270$n1176 I3=$abc$51270$n1120 O=$abc$51270$n1175 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$51270$n1121 I1=KEYBOARD.report[4][5] I2=$false I3=$false O=$abc$51270$n1176 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51270$n1117_1 I1=KEYBOARD.report[1][6] I2=$false I3=$false O=$abc$51270$n1180 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][6] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][6] O=$abc$51270$n1181 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][6] O=$abc$51270$n1183 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=KEYBOARD.report[5][6] I2=wr_cnt[2] I3=$abc$51270$n1127 O=$abc$51270$n1185 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n690 I2=$false I3=$false O=$3\report_wr_en[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51270$n758 I1=$6\report_data_wadr[7:0][0] I2=$abc$51270$n1197 I3=$abc$51270$n1198 O=$0\report_data_wadr[7:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=init_ram_cnt[7] I1=init_ram_cnt[5] I2=$abc$51270$n730 I3=$abc$51270$n2423 O=$abc$51270$n1197 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$56607$n22 I1=$abc$56607$n1953 I2=$false I3=$false O=$abc$56607$n1221_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n22 I1=$abc$51270$n1916 I2=$false I3=$false O=$abc$51270$n1198 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n22 I1=init_ram_cnt[1] I2=$abc$56607$n1220 I3=$abc$56607$n1223 O=$0\report_data_wadr[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=init_ram_cnt[1] I1=$abc$51270$n1197 I2=$abc$51270$n22 I3=$abc$51270$n1200_1 O=$0\report_data_wadr[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=$abc$56607$n1224 I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1223 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$56607$n1224 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1201 I1=$2\ring_wr[3:0][0] I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1200_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$51270$n1201 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n1226 I1=$abc$56607$n1228 I2=$false I3=$false O=$0\report_data_wadr[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1203 I1=$abc$51270$n1205_1 I2=$false I3=$false O=$0\report_data_wadr[7:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$abc$56607$n1227_1 I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1226 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$56607$n1227_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1204 I1=$2\ring_wr[3:0][1] I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1203 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$51270$n1204 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000011101111000 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$56607$n22 O=$abc$56607$n1228 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1197 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$51270$n22 O=$abc$51270$n1205_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111110 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1959 I2=$abc$56607$n1230 I3=$abc$56607$n22 O=$0\report_data_wadr[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1922 I2=$abc$51270$n1207 I3=$abc$51270$n22 O=$0\report_data_wadr[7:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$56607$n1231 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$56607$n670 O=$abc$56607$n1230 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001101010101 -.gate SB_LUT4 I0=$abc$56607$n1232_1 I1=$abc$56607$n1234 I2=ring_wr[0] I3=$false O=$abc$56607$n1231 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101001 -.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=$abc$56607$n1233 I3=$false O=$abc$56607$n1232_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n690 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$51270$n1208 O=$abc$51270$n1207 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011010111 +.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n1209 I2=$abc$51270$n1211 I3=ring_wr[0] O=$abc$51270$n1208 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001010001000001 +.gate SB_LUT4 I0=ring_wr[1] I1=wr_cnt[2] I2=$abc$51270$n1210_1 I3=$false O=$abc$51270$n1209 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 -.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$56607$n1233 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$51270$n1210_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$56607$n1234 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51270$n1211 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1961 I2=$abc$56607$n1236_1 I3=$abc$56607$n22 O=$0\report_data_wadr[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1924 I2=$abc$51270$n1213 I3=$abc$51270$n22 O=$0\report_data_wadr[7:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$56607$n1239 I1=$abc$56607$n2549 I2=$abc$56607$n2551 I3=$abc$56607$n670 O=$abc$56607$n1236_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1216 I1=$abc$51270$n2417 I2=$abc$51270$n2419 I3=$abc$51270$n690 O=$abc$51270$n1213 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100001110101010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$56607$n2551 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$51270$n2419 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$56607$n2549 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51270$n2417 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n2548 I1=$abc$56607$n2546 I2=$false I3=$false O=$abc$56607$n1239 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2416 I1=$abc$51270$n2414 I2=$false I3=$false O=$abc$51270$n1216 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$56607$n1233 I1=$abc$56607$n1232_1 I2=ring_wr[0] I3=$abc$56607$n1234 O=$abc$56607$n2548 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1210_1 I1=$abc$51270$n1209 I2=ring_wr[0] I3=$abc$51270$n1211 O=$abc$51270$n2416 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110101000110000 -.gate SB_LUT4 I0=$abc$56607$n1242 I1=$abc$56607$n1243 I2=ring_wr[1] I3=ring_wr[3] O=$abc$56607$n2546 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1219 I1=$abc$51270$n1220 I2=ring_wr[1] I3=ring_wr[3] O=$abc$51270$n2414 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110101100010100 -.gate SB_LUT4 I0=$abc$56607$n1234 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$56607$n1242 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n1211 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$51270$n1219 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$56607$n1243 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51270$n1220 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1963 I2=$abc$56607$n22 I3=$abc$56607$n1245 O=$0\report_data_wadr[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1926 I2=$abc$51270$n22 I3=$abc$51270$n1222 O=$0\report_data_wadr[7:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$56607$n1943 I1=$6\report_data_wadr[7:0][5] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1245 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1965 I2=$abc$56607$n22 I3=$abc$56607$n1247 O=$0\report_data_wadr[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$6\report_data_wadr[7:0][5] I1=$abc$51270$n1906 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1222 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1928 I2=$abc$51270$n22 I3=$abc$51270$n1224 O=$0\report_data_wadr[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$56607$n1946 I1=$6\report_data_wadr[7:0][6] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1247 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1967 I2=$abc$56607$n22 I3=$abc$56607$n1249_1 O=$0\report_data_wadr[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$6\report_data_wadr[7:0][6] I1=$abc$51270$n1909 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1224 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1930 I2=$abc$51270$n22 I3=$abc$51270$n1226 O=$0\report_data_wadr[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$56607$n1949 I1=$6\report_data_wadr[7:0][7] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1249_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1977 I2=$false I3=$false O=$0\rststate[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$56607$n848 I2=i2c_input_data_type[2] I3=$false O=$abc$56607$n1251 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1979 I2=$false I3=$false O=$0\rststate[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1981 I2=$false I3=$false O=$0\rststate[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1983 I2=$false I3=$false O=$0\rststate[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$6\report_data_wadr[7:0][7] I1=$abc$51270$n1912 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1226 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1940 O=$0\rststate[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$51270$n860 I2=$false I3=$false O=$abc$51270$n1228_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$56607$n2045 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1942 O=$0\rststate[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1944 O=$0\rststate[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1946 O=$0\rststate[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$51270$n2008 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$56607$n2047 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$51270$n2010 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$56607$n2049 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$51270$n2012 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$56607$n2043 I2=$false I3=$false O=$abc$56607$n2617 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$51270$n2006 I2=$false I3=$false O=$abc$51270$n2461 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1260 I1=$abc$56607$n1273 I2=$abc$56607$n1281 I3=$false O=$abc$56607$n2059 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n1482 I1=$abc$51270$n869 I2=$abc$51270$n871_1 I3=$abc$51270$n1484 O=$abc$51270$n2022 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000011111111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$51270$n16 I2=$false I3=$false O=$abc$51270$n1246 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$51270$n872 I1=$abc$51270$n2006 I2=I2C.SDA_DIR I3=$false O=$abc$51270$n1248 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n1253 I1=$abc$51270$n1254 I2=$false I3=$false O=$abc$51270$n1252 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51270$n694 I3=$false O=$abc$51270$n1253 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11101111 -.gate SB_LUT4 I0=$abc$56607$n1272 I1=$abc$56607$n1270 I2=$abc$56607$n1261 I3=$abc$56607$n1269 O=$abc$56607$n1260 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=$abc$56607$n1262 I1=$abc$56607$n1263 I2=$abc$56607$n1264 I3=$abc$56607$n1267 O=$abc$56607$n1261 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[7] I2=$abc$51270$n1255 I3=I2C.received_byte[6] O=$abc$51270$n1254 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1044 I1=$abc$56607$n1052 I2=$abc$56607$n360 I3=$abc$56607$n882 O=$abc$56607$n1262 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=$abc$56607$n1048 I1=$abc$56607$n1056 I2=$abc$56607$n360 I3=$abc$56607$n885 O=$abc$56607$n1263 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=$abc$56607$n1265 I1=$abc$56607$n1266 I2=$abc$56607$n360 I3=$false O=$abc$56607$n1264 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$56607$n1042 I1=$abc$56607$n1046 I2=$abc$56607$n358 I3=$abc$56607$n880 O=$abc$56607$n1265 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111101011111100 -.gate SB_LUT4 I0=$abc$56607$n1050_1 I1=$abc$56607$n1054 I2=$abc$56607$n358 I3=$abc$56607$n880 O=$abc$56607$n1266 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111101011111100 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n1268_1 I2=$false I3=$false O=$abc$56607$n1267 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[2] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$51270$n1255 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=$abc$51270$n1258_1 I2=$false I3=$false O=$abc$51270$n1256 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$56607$n16 I2=$false I3=$false O=$abc$56607$n1268_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n1269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.is_ack I2=$false I3=$false O=$abc$51270$n1257_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n1271 I2=$abc$56607$n1268_1 I3=$false O=$abc$56607$n1270 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.is_ack I2=$false I3=$false O=$abc$56607$n1271 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1246 I1=$abc$51270$n1178 I2=$false I3=$false O=$abc$51270$n1258_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$56607$n14 I3=I2C.SDA_DIR O=$abc$56607$n1272 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$56607$n1274 I1=$abc$56607$n874 I2=$abc$56607$n1280 I3=$false O=$abc$56607$n1273 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1278_1 I1=$abc$56607$n1487 I2=$false I3=$false O=$abc$56607$n1274 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=$abc$56607$n1277 O=$abc$56607$n1276 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$56607$n1277 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[3] I2=$abc$56607$n1279 I3=I2C.received_byte[6] O=$abc$56607$n1278_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=I2C.received_byte[5] O=$abc$56607$n1279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n1268_1 I2=$abc$56607$n1249 I3=$false O=$abc$56607$n1280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1282 I1=$abc$56607$n874 I2=I2C.i2c_state_machine I3=$abc$56607$n1272 O=$abc$56607$n1281 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000011111111 -.gate SB_LUT4 I0=$abc$56607$n1268_1 I1=$abc$56607$n1249 I2=$false I3=$false O=$abc$56607$n1282 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1262 I1=$abc$51270$n1261 I2=$abc$51270$n869 I3=$abc$51270$n871_1 O=$abc$51270$n2024 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n1256 I2=$false I3=$false O=$abc$51270$n1261 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1274 I2=$abc$56607$n874 I3=$abc$56607$n1284 O=$abc$56607$n2061 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=$abc$56607$n1285 I1=$abc$56607$n1286 I2=$abc$56607$n1269 I3=$false O=$abc$56607$n1284 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$56607$n1271 I1=I2C.FLT_SCL.out I2=$abc$56607$n16 I3=$abc$56607$n1249 O=$abc$56607$n1285 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.SDA_DIR I1=$abc$51270$n872 I2=I2C.FLT_SDA.out I3=$abc$51270$n1263 O=$abc$51270$n1262 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=I2C.FLT_SCL.out I2=$abc$51270$n16 I3=$abc$51270$n1178 O=$abc$51270$n1263 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n14 I1=I2C.FLT_SCL.out I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$56607$n1286 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1288 I1=$abc$56607$n875 I2=$false I3=$false O=$abc$56607$n2063 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1265 I1=$abc$51270$n869 I2=$false I3=$false O=$abc$51270$n2026 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1487 I2=$abc$56607$n877 I3=I2C.received_byte[0] O=$abc$56607$n1288 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2045 I2=$abc$56607$n1293 I3=$abc$56607$n358 O=$abc$56607$n2065 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=$abc$56607$n1267 I1=$abc$56607$n1285 I2=$abc$56607$n875 I3=$abc$56607$n1291 O=$abc$56607$n1290_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$56607$n1292 I1=$abc$56607$n878 I2=$abc$56607$n875 I3=$abc$56607$n877 O=$abc$56607$n1291 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n1282 I2=$false I3=$false O=$abc$56607$n1292 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1253 I1=$abc$51270$n1256 I2=$abc$51270$n871_1 I3=I2C.received_byte[0] O=$abc$51270$n1265 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51270$n1271 I1=$abc$51270$n305 I2=$abc$51270$n1267 I3=$abc$51270$n2008 O=$abc$51270$n2028 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$51270$n1270 I1=$abc$51270$n1263 I2=$abc$51270$n1268 I3=$false O=$abc$51270$n1267 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$51270$n873 I1=$abc$51270$n869 I2=$abc$51270$n1269 I3=$abc$51270$n871_1 O=$abc$51270$n1268 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111000000000 +.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=$abc$51270$n1258_1 I2=$false I3=$false O=$abc$51270$n1269 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1267 I1=$abc$56607$n878 I2=$abc$56607$n875 I3=$abc$56607$n877 O=$abc$56607$n1293 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2047 I2=$abc$56607$n1293 I3=$abc$56607$n880 O=$abc$56607$n2067 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100010001001111 -.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2049 I2=$abc$56607$n1293 I3=$abc$56607$n360 O=$abc$56607$n2069 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n1246 I2=$abc$51270$n869 I3=$false O=$abc$51270$n1270 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$51270$n873 I1=$abc$51270$n869 I2=$abc$51270$n1270 I3=$abc$51270$n871_1 O=$abc$51270$n1271 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n1271 I2=$abc$51270$n1267 I3=$abc$51270$n2010 O=$abc$51270$n2030 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=$abc$56607$n2210 I1=$abc$56607$n1520 I2=$abc$56607$n1301 I3=$abc$56607$n1304 O=$abc$56607$n2073 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111101011111100 -.gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1285 I2=$abc$56607$n875 I3=$false O=$abc$56607$n1300 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$56607$n1302_1 I1=$abc$56607$n1529 I2=$false I3=$false O=$abc$56607$n1301 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1271 I1=$abc$51270$n307 I2=$abc$51270$n1267 I3=$abc$51270$n2012 O=$abc$51270$n2032 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2173 I2=$abc$51270$n1493 I3=$abc$51270$n1280 O=$abc$51270$n2036 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51270$n1263 I1=$abc$51270$n1256 I2=$abc$51270$n869 I3=$abc$51270$n871_1 O=$abc$51270$n1280 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$51270$n1282 I1=$abc$51270$n1502 I2=$false I3=$false O=$abc$51270$n1281 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1523 I1=$abc$56607$n1303 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$56607$n1302_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1497 I1=$abc$51270$n1283 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$51270$n1282 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$56607$n1303 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$51270$n1283 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$56607$n1300 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n1304 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n1306 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$56607$n2043 O=$abc$56607$n2075 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111100000000000 -.gate SB_LUT4 I0=$abc$56607$n1301 I1=$abc$56607$n1304 I2=$false I3=$false O=$abc$56607$n1306 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1494 I1=$abc$51270$n1285 I2=$abc$51270$n1280 I3=$false O=$abc$51270$n2038 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$51270$n1281 I1=$2\I2C_INPUT_LEN[7:0][1] I2=$false I3=$false O=$abc$51270$n1285 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n2212 I1=$abc$56607$n1301 I2=$abc$56607$n1523 I3=$abc$56607$n1304 O=$abc$56607$n2077 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2175 I2=$abc$51270$n1496 I3=$abc$51270$n1280 O=$abc$51270$n2040 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$56607$n2213 I1=$abc$56607$n1524 I2=$abc$56607$n1306 I3=$false O=$abc$56607$n2079 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n2214 I1=$abc$56607$n1301 I2=$abc$56607$n1526 I3=$abc$56607$n1304 O=$abc$56607$n2081 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2176 I2=$abc$51270$n1497 I3=$abc$51270$n1280 O=$abc$51270$n2042 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$56607$n2215 I1=$abc$56607$n1527 I2=$abc$56607$n1301 I3=$abc$56607$n1304 O=$abc$56607$n2083 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111101011111100 -.gate SB_LUT4 I0=$abc$56607$n2216 I1=$abc$56607$n1301 I2=$abc$56607$n1529 I3=$abc$56607$n1304 O=$abc$56607$n2085 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2177 I2=$abc$51270$n1499 I3=$abc$51270$n1280 O=$abc$51270$n2044 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$56607$n2217 I1=$abc$56607$n1301 I2=$abc$56607$n1530 I3=$abc$56607$n1304 O=$abc$56607$n2087 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2178 I2=$abc$51270$n1500 I3=$abc$51270$n1280 O=$abc$51270$n2046 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$56607$n1269 I1=$abc$56607$n1282 I2=$abc$56607$n1314 I3=$abc$56607$n1273 O=$abc$56607$n2089 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111111000 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n875 I2=$abc$56607$n1315_1 I3=$abc$56607$n1271 O=$abc$56607$n1314 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$abc$56607$n1268_1 I1=I2C.i2c_state_machine I2=$false I3=$false O=$abc$56607$n1315_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$56607$n1321 I2=$abc$56607$n1317 I3=$false O=$abc$56607$n2091 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$56607$n1318 I1=$abc$56607$n1322 I2=$abc$56607$n877 I3=$false O=$abc$56607$n1317 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n1319 I2=$abc$56607$n1320_1 I3=$abc$56607$n1321 O=$abc$56607$n1318 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1249 I1=I2C.FLT_SCL.out I2=$abc$56607$n16 I3=$abc$56607$n1271 O=$abc$56607$n1319 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010101010101000 -.gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n875 I2=$abc$56607$n1268_1 I3=$abc$56607$n1249 O=$abc$56607$n1320_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110011000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$56607$n14 I3=I2C.wr O=$abc$56607$n1321 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$56607$n1286 I1=$abc$56607$n2583 I2=$abc$56607$n875 I3=$abc$56607$n1285 O=$abc$56607$n1322 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=$abc$56607$n1541 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2219 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2179 I2=$abc$51270$n1502 I3=$abc$51270$n1280 O=$abc$51270$n2048 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2180 I2=$abc$51270$n1503 I3=$abc$51270$n1280 O=$abc$51270$n2050 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51270$n1270 I1=$abc$51270$n871_1 I2=$abc$51270$n1295 I3=$abc$51270$n1294 O=$abc$51270$n2052 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n1257_1 I2=$abc$51270$n1258_1 I3=$abc$51270$n868 O=$abc$51270$n1294 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001110000000000 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51270$n1246 I2=I2C.is_ack I3=$abc$51270$n2006 O=$abc$51270$n1295 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100110011 +.gate SB_LUT4 I0=$abc$51270$n872 I1=$abc$51270$n2006 I2=I2C.wr I3=$false O=$abc$51270$n1300 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51270$n1300 I1=$abc$51270$n1263 I2=$abc$51270$n871_1 I3=$abc$51270$n1270 O=$abc$51270$n1301 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110000000000000 +.gate SB_LUT4 I0=$abc$51270$n1514 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2182 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1011 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$56607$n2221 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$51270$n2184 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n1544 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2223 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1517 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2186 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$56607$n1545 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2225 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1518 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2188 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$56607$n896 I1=$abc$56607$n898 I2=$abc$56607$n893 I3=$false O=$abc$56607$n2226 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n891 I1=$abc$51270$n889 I2=$abc$51270$n893 I3=$false O=$abc$51270$n2189 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.init_ram_cnt[0] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2232 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$56607$n2235 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$abc$51270$n2193 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2194 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$51270$n2197 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.init_ram_cnt[2] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2238 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n28 I1=KEYBOARD.init_ram_cnt[3] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2240 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n923 I1=$abc$56607$n994 I2=$false I3=$false O=$abc$56607$n2242 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2244 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n33 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$56607$n2246 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$abc$51270$n2199 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2200 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$abc$51270$n30 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2202 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$51270$n2193 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2206 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1001 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$51270$n2208 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111000 -.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[2] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2248 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n28 I1=KEYBOARD.row_counter[3] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2250 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n1341 I2=$abc$56607$n1490_1 I3=$abc$56607$n1535_1 O=$abc$56607$n2269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000011111 -.gate SB_LUT4 I0=$abc$56607$n691 I1=$abc$56607$n708 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$56607$n706 O=$abc$56607$n1341 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001110101010 -.gate SB_LUT4 I0=$abc$56607$n1344 I1=$abc$56607$n697 I2=$abc$56607$n706 I3=$abc$56607$n1492_1 O=$abc$56607$n1343 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.COLS_SHADOW[5] I2=$false I3=$false O=$abc$56607$n1344 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$56607$n709 I3=$false O=$abc$56607$n1347 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n1349 I2=$abc$56607$n1490_1 I3=$abc$56607$n1535_1 O=$abc$56607$n2273 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000011111 -.gate SB_LUT4 I0=$abc$56607$n1350 I1=$abc$56607$n709 I2=$abc$56607$n1347 I3=$false O=$abc$56607$n1349 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$56607$n711 I3=$false O=$abc$56607$n1350 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2244 I2=$false I3=$false O=$abc$56607$n2276 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$51270$n2199 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2210 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$51270$n30 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2212 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1001 I1=KEYBOARD.ram_wr I2=$abc$51270$n29 I3=$abc$51270$n704 O=$abc$51270$n2216 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2246 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2252 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2255 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2258 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2261 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2264 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2265 I2=$false I3=$false O=$abc$51270$n2267 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n1343 I1=$abc$56607$n678 I2=$abc$56607$n691 I3=$abc$56607$n2273 O=$abc$56607$n2287 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2246 I2=$false I3=$false O=$abc$56607$n2279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n697 I1=$abc$51270$n1447 I2=$false I3=$false O=$abc$51270$n2274 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n394 I2=$false I3=$false O=$abc$51270$n2268 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2248 I2=$false I3=$false O=$abc$56607$n2282 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n383 I2=$false I3=$false O=$abc$51270$n2269 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2250 I2=$false I3=$false O=$abc$56607$n2285 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2206 I2=$false I3=$false O=$abc$51270$n2270 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n726 I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$56607$n727 I3=$false O=$abc$56607$n2291 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$56607$n709 I3=$false O=$abc$56607$n2293 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$56607$n723 I3=$false O=$abc$56607$n2295 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$56607$n711 I3=$false O=$abc$56607$n2297 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$56607$n708 I2=$abc$56607$n706 I3=$false O=$abc$56607$n2299 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.COLS_SHADOW[5] I2=$abc$56607$n697 I3=$false O=$abc$56607$n2301 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$56607$n692 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$56607$n693 I3=$false O=$abc$56607$n2303 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$56607$n685 I2=$abc$56607$n679 I3=$false O=$abc$56607$n2305 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2208 I2=$false I3=$false O=$abc$51270$n2271 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2210 I2=$false I3=$false O=$abc$51270$n2272 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2212 I2=$false I3=$false O=$abc$51270$n2273 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2276 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=$abc$51270$n700 I2=$abc$51270$n1333 I3=$abc$51270$n706 O=$abc$51270$n2277 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111111111000 +.gate SB_LUT4 I0=$abc$51270$n396 I1=$abc$51270$n394 I2=KEYBOARD.temp[4] I3=$false O=$abc$51270$n1333 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$51270$n698 I1=$abc$51270$n1335 I2=$false I3=$false O=$abc$51270$n2278 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$51270$n701 I3=$abc$51270$n700 O=$abc$51270$n1335 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$51270$n1337 I2=$abc$51270$n704 I3=$false O=$abc$51270$n2279 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n904 I2=$abc$56607$n932 I3=$abc$56607$n920 O=$abc$56607$n2433 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010111111 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][0] I2=$abc$56607$n921 I3=$false O=$abc$56607$n2436 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][1] I2=$abc$56607$n1367 I3=$false O=$abc$56607$n2439 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1367 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$51270$n700 I3=$false O=$abc$51270$n1337 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$51270$n712 I1=$abc$51270$n1339 I2=$false I3=$false O=$abc$51270$n2280 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$51270$n713 I3=$abc$51270$n700 O=$abc$51270$n1339 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$51270$n721 I1=$abc$51270$n709 I2=$abc$51270$n708 I3=$false O=$abc$51270$n2281 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110001 +.gate SB_LUT4 I0=$abc$51270$n710 I1=$abc$51270$n1342 I2=$false I3=$false O=$abc$51270$n2282 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$51270$n711 I3=$abc$51270$n700 O=$abc$51270$n1342 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$51270$n1344 I1=$abc$51270$n697 I2=$abc$51270$n705 I3=$false O=$abc$51270$n2283 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$51270$n700 I3=$false O=$abc$51270$n1344 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n953 I2=$abc$51270$n924 I3=$abc$51270$n911 O=$abc$51270$n2285 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$51270$n27 I2=$abc$51270$n912 I3=$false O=$abc$51270$n2289 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n27 I2=$abc$51270$n1348 I3=$false O=$abc$51270$n2292 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51270$n1348 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][2] I2=$abc$56607$n1369 I3=$false O=$abc$56607$n2442 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$56607$n1369 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$51270$n27 I2=$abc$51270$n1350 I3=$false O=$abc$51270$n2295 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$51270$n1350 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][3] I2=$abc$56607$n1371 I3=$false O=$abc$56607$n2445 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1371 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51270$n27 I2=$abc$51270$n1352 I3=$false O=$abc$51270$n2298 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51270$n1352 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][4] I2=$abc$56607$n1373 I3=$false O=$abc$56607$n2448 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$56607$n1373 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$51270$n27 I2=$abc$51270$n1354_1 I3=$false O=$abc$51270$n2301 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1354_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][5] I2=$abc$56607$n1375 I3=$false O=$abc$56607$n2451 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1375 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$51270$n27 I2=$abc$51270$n1356 I3=$false O=$abc$51270$n2304 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1356 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1377 I3=$false O=$abc$56607$n2454 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$56607$n1377 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$51270$n27 I2=$abc$51270$n1358 I3=$false O=$abc$51270$n2307 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1358 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][7] I2=$abc$56607$n918 I3=$false O=$abc$56607$n2457 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$56607$n2458 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$51270$n27 I2=$abc$51270$n1360 I3=$false O=$abc$51270$n2310 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1360 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$51270$n2311 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$56607$n2459 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$51270$n2312 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$56607$n2460 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$51270$n2313 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$56607$n2461 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$51270$n2314 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$56607$n2462 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$51270$n2315 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$56607$n2463 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$51270$n2316 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$56607$n2464 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$51270$n2317 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$56607$n2465 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$51270$n2318 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n2471 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$56607$n2472 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n2324 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51270$n2325 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$56607$n2474 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$51270$n2327 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01001011 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$56607$n2476 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$51270$n2329 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111110110000 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$56607$n2477 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$56607$n2478 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$51270$n2330 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51270$n2331 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$56607$n1003 I1=$abc$56607$n1632 I2=$false I3=$false O=$abc$56607$n2479 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$56607$n1009 I1=$abc$56607$n1636 I2=$false I3=$false O=$abc$56607$n2482 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51270$n1011 I1=$abc$51270$n1629 I2=$false I3=$false O=$abc$51270$n2332 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1636 O=$abc$51270$n2337 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$false I3=$false O=$abc$56607$n2534 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$56607$n2671 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51270$n2512 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$56607$n2678 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51270$n2519 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001011111000000 -.gate SB_LUT4 I0=ring_wr[3] I1=$abc$56607$n1242 I2=$false I3=$false O=$abc$56607$n2680 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=ring_wr[3] I1=$abc$51270$n1219 I2=$false I3=$false O=$abc$51270$n2521 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$56607$n2681 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51270$n2522 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110100000000000 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$56607$n2691 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51270$n2532 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=$abc$56607$n2237 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$56607$n2701 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2193 I1=$abc$51270$n2199 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51270$n2575 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000001 -.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2231 O=$abc$56607$n2702 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2199 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2193 O=$abc$51270$n2576 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=$abc$56607$n2237 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$56607$n2703 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2193 I1=$abc$51270$n2199 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51270$n2577 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2231 O=$abc$56607$n2704 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2199 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2193 O=$abc$51270$n2578 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2237 O=$abc$56607$n2705 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2193 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2199 O=$abc$51270$n2579 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$56607$n2231 I3=$abc$56607$n2237 O=$abc$56607$n2706 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51270$n2193 I3=$abc$51270$n2199 O=$abc$51270$n2580 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2237 O=$abc$56607$n2707 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n2193 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2199 O=$abc$51270$n2581 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$56607$n2231 I3=$abc$56607$n2237 O=$abc$56607$n2708 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51270$n2193 I3=$abc$51270$n2199 O=$abc$51270$n2582 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$56607$n5 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$51270$n5 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$56607$n7 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$51270$n7 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n8 I1=$false I2=$false I3=$false O=COM_DSR -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n8 I1=$false I2=$false I3=$false O=COM_DSR +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n10 I1=$false I2=$false I3=$false O=COM_TX -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n10 I1=$false I2=$false I3=$false O=COM_TX +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n22 I1=$false I2=$false I3=$false O=$abc$56607$n23 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n22 I1=$false I2=$false I3=$false O=$abc$51270$n23 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$56607$n25 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$51270$n25 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$false I2=$false I3=$false O=$abc$56607$n26 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n27 I1=$false I2=$false I3=$false O=$abc$51270$n26 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n28 I1=$false I2=$false I3=$false O=$abc$56607$n29 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n30 I1=$false I2=$false I3=$false O=$abc$51270$n31 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$56607$n31 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$51270$n33 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$56607$n35 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$51270$n35 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$56607$n236 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$51270$n184 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$56607$n237 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$51270$n185 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$56607$n239 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$51270$n187 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$56607$n240 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$51270$n188 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$56607$n243 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$51270$n191 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$56607$n246 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$51270$n194 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$56607$n266 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$51270$n214 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$56607$n269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$51270$n217 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$56607$n270 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$51270$n218 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$56607$n273 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$51270$n221 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$56607$n274 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$51270$n222 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$56607$n276 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$51270$n224 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$56607$n277 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$51270$n225 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$56607$n279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$51270$n227 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$56607$n280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$51270$n228 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$56607$n282 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$51270$n230 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1490 I1=$false I2=$false I3=$false O=$abc$56607$n1459 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n1320 I1=$false I2=$false I3=$false O=$abc$51270$n1392 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$56607$n1955 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n1918 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2617 I1=$false I2=$false I3=$false O=$abc$56607$n2051 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n2461 I1=$false I2=$false I3=$false O=$abc$51270$n2014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2518 I1=$false I2=$false I3=$false O=$abc$56607$n2517 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n2383 I1=$false I2=$false I3=$false O=$abc$51270$n2382 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1521 I1=$false I2=$false I3=$false O=$abc$56607$n2528 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n1494 I1=$false I2=$false I3=$false O=$abc$51270$n2393 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2047 I1=$false I2=$false I3=$false O=$abc$56607$n2530 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n2010 I1=$false I2=$false I3=$false O=$abc$51270$n2395 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$56607$n2536 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n2399 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2603 I1=$false I2=$false I3=$false O=$abc$56607$n2604 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n2400 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2605 I1=$false I2=$false I3=$false O=$abc$56607$n2606 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n2384 I1=$false I2=$false I3=$false O=$abc$51270$n2451 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$56607$n2607 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$51270$n2452 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$56607$n2608 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$51270$n2453 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$56607$n2609 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$51270$n2454 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$56607$n2610 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$51270$n2455 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$56607$n2611 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$51270$n2456 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$56607$n2612 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$51270$n2457 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$56607$n2613 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$51270$n2458 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2045 I1=$false I2=$false I3=$false O=$abc$56607$n2615 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n2008 I1=$false I2=$false I3=$false O=$abc$51270$n2459 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2049 I1=$false I2=$false I3=$false O=$abc$56607$n2616 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n2012 I1=$false I2=$false I3=$false O=$abc$51270$n2460 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$56607$n2618 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$51270$n2463 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$56607$n2620 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n2465 I1=$false I2=$false I3=$false O=$abc$51270$n2466 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n2519 I1=$false I2=$false I3=$false O=$abc$56607$n2621 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n2467 I1=$false I2=$false I3=$false O=$abc$51270$n2468 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1524 I1=$false I2=$false I3=$false O=$abc$56607$n2623 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n1499 I1=$false I2=$false I3=$false O=$abc$51270$n2469 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1527 I1=$false I2=$false I3=$false O=$abc$56607$n2624 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n1500 I1=$false I2=$false I3=$false O=$abc$51270$n2470 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1529 I1=$false I2=$false I3=$false O=$abc$56607$n2625 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n1503 I1=$false I2=$false I3=$false O=$abc$51270$n2471 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1530 I1=$false I2=$false I3=$false O=$abc$56607$n2626 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n1496 I1=$false I2=$false I3=$false O=$abc$51270$n2472 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1523 I1=$false I2=$false I3=$false O=$abc$56607$n2627 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n1497 I1=$false I2=$false I3=$false O=$abc$51270$n2473 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n1526 I1=$false I2=$false I3=$false O=$abc$56607$n2628 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51270$n1502 I1=$false I2=$false I3=$false O=$abc$51270$n2474 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$56607$n770 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1007 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$56607$n21 I1=report_data_radr[0] I2=$abc$56607$n742 I3=$false O=$abc$56607$n1465 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$56607$n932 I1=$abc$56607$n934 I2=$abc$56607$n1494 I3=$false O=$abc$56607$n1475 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n944 I2=$abc$56607$n927 I3=$false O=$abc$56607$n1477 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[3] I2=$abc$56607$n1077 I3=$false O=$abc$56607$n1478 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n1478 I1=$abc$56607$n1095 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$56607$n1479 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=KEYBOARD.report[1][2] I2=$abc$56607$n1124 I3=$false O=$abc$56607$n1482 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=KEYBOARD.report[1][3] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1483_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=KEYBOARD.report[1][4] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1484_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1485 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$56607$n1276 I2=$false I3=$false O=$abc$56607$n1487 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2617 I2=$abc$56607$n361 I3=$abc$56607$n1293 O=$abc$56607$n2071 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011101111110000 -.gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$56607$n723 I3=$false O=$abc$56607$n1490_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10011111 -.gate SB_LUT4 I0=$abc$56607$n709 I1=$abc$56607$n1343 I2=$abc$56607$n1347 I3=$abc$56607$n1535_1 O=$abc$56607$n2271 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110001 -.gate SB_LUT4 I0=$abc$56607$n708 I1=KEYBOARD.COLS_SHADOW[4] I2=$abc$56607$n706 I3=$false O=$abc$56607$n1492_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10011111 -.gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n912 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1494 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$56607$n27 I1=I2C.FLT_SCL.RESET I2=$abc$56607$n912 I3=$false O=$abc$56607$n1495 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][0] I2=$false I3=$false O=$abc$56607$n1497 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$abc$56607$n742 I3=$abc$56607$n747 O=$abc$56607$n1498 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001111111111111 -.gate SB_LUT4 I0=$abc$56607$n751 I1=$abc$56607$n2569 I2=$abc$56607$n747 I3=$false O=$abc$56607$n1499 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$56607$n19 I1=$abc$56607$n1499 I2=I2C.is_read I3=$abc$56607$n742 O=$abc$56607$n1500 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$56607$n1500 I1=$abc$56607$n1498 I2=$abc$56607$n667 I3=$false O=$abc$56607$n1501_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01011100 -.gate SB_LUT4 I0=$abc$56607$n815_1 I1=$abc$56607$n806 I2=$abc$56607$n807 I3=$abc$56607$n22 O=$abc$56607$n1502_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n804 I1=$abc$56607$n754 I2=$abc$56607$n1502_1 I3=I2C.is_read O=$abc$56607$n1503 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011001100001011 -.gate SB_LUT4 I0=$abc$56607$n816_1 I1=$abc$56607$n805 I2=$abc$56607$n810 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1504 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$56607$n1503 I1=$abc$56607$n1504 I2=$abc$56607$n769 I3=$false O=$abc$56607$n1113 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$56607$n1513 I2=$abc$56607$n863 I3=$abc$56607$n988 O=$abc$56607$n1509 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$51270$n2475 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$51270$n721 I1=KEYBOARD.temp[1] I2=$abc$51270$n383 I3=$abc$51270$n394 O=$abc$51270$n1445_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000010111111100 +.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.temp[5] I2=$abc$51270$n383 I3=$abc$51270$n396 O=$abc$51270$n1446 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100111110100000 +.gate SB_LUT4 I0=$abc$51270$n1446 I1=$abc$51270$n1445_1 I2=$abc$51270$n718 I3=$false O=$abc$51270$n1447 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=UART_WR I1=$abc$51270$n747 I2=$abc$51270$n763 I3=$abc$51270$n761 O=$abc$51270$n1448 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000011111111 -.gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n848 I2=$abc$56607$n778 I3=$abc$56607$n1509 O=$abc$56607$n1211 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n765 I1=$abc$51270$n768 I2=$abc$51270$n1448 I3=$abc$51270$n733 O=$abc$51270$n788 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n855 I1=$abc$56607$n861 I2=$abc$56607$n853 I3=i2c_input_data_type[0] O=$abc$56607$n1511_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111011100001111 -.gate SB_LUT4 I0=$abc$56607$n815_1 I1=$abc$56607$n857 I2=$abc$56607$n2577 I3=$abc$56607$n860 O=$abc$56607$n1512_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$56607$n809 I1=$abc$56607$n1511_1 I2=$abc$56607$n856 I3=$abc$56607$n1512_1 O=$abc$56607$n1513 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[1][7] I2=KEYBOARD.report[1][6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$56607$n1514 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[1][3] O=$abc$56607$n1515_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000001011 -.gate SB_LUT4 I0=$abc$56607$n1515_1 I1=$abc$56607$n1514 I2=$abc$56607$n985_1 I3=$abc$56607$n952 O=$abc$56607$n1516 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][0] I2=KEYBOARD.report[6][0] I3=$abc$56607$n1121 O=$abc$56607$n1517 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1517 I1=$abc$56607$n1111 I2=$abc$56607$n1117 I3=$false O=$abc$56607$n1518 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$56607$n1120 I2=$abc$56607$n1518 I3=$abc$56607$n1129 O=$abc$56607$n1519 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1124 I1=KEYBOARD.report[0][0] I2=$abc$56607$n1497 I3=$abc$56607$n1129 O=$abc$56607$n1520_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1520_1 I1=$abc$56607$n1519 I2=$abc$56607$n1116 I3=$false O=$3\report_data_wr[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=kbd_report[5][3] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1522 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][3] I2=KEYBOARD.report[6][3] I3=$abc$56607$n1121 O=$abc$56607$n1523_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1116 I1=$abc$56607$n1523_1 I2=$abc$56607$n1522 I3=$abc$56607$n1163 O=$abc$56607$n1524_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n812 I1=$abc$51270$n813 I2=I2C.byte_counter[3] I3=I2C.byte_counter[2] O=$abc$51270$n1450 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101011000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n1450 I2=I2C.byte_counter[1] I3=$abc$51270$n695 O=$abc$51270$n1451 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$56607$n1124 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1201 I3=$abc$56607$n1129 O=$abc$56607$n1525 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1531 I3=$abc$56607$n1129 O=$abc$56607$n1526_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$56607$n1526_1 I1=$abc$56607$n1525 I2=$abc$56607$n1116 I3=$false O=$3\report_data_wr[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=kbd_report[5][6] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1528 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][6] I2=KEYBOARD.report[6][6] I3=$abc$56607$n1121 O=$abc$56607$n1529_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[3][6] I3=$abc$56607$n1118 O=$abc$56607$n1530_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51270$n1451 I1=$abc$51270$n790 I2=$false I3=$false O=$abc$51270$n1046 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51270$n827 I1=$abc$51270$n831 I2=$abc$51270$n1499_1 I3=$abc$51270$n791 O=$abc$51270$n1455 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000011111111 +.gate SB_LUT4 I0=$abc$51270$n1455 I1=$abc$51270$n836 I2=$abc$51270$n838 I3=$abc$51270$n839 O=$abc$51270$n1112 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51270$n952_1 I1=$abc$51270$n946 I2=$abc$51270$n27 I3=$abc$51270$n910 O=$abc$51270$n1457_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n1457_1 I3=$abc$51270$n935 O=$abc$51270$n1313 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[4][4] O=$abc$51270$n1459 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[4][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[4][6] O=$abc$51270$n1460_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51270$n1459 I1=$abc$51270$n1460_1 I2=$abc$51270$n963 I3=$abc$51270$n966 O=$abc$51270$n1461 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[1][6] O=$abc$51270$n1463 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n1128 I2=KEYBOARD.report[1][1] I3=$abc$51270$n1127 O=$abc$51270$n1466 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$56607$n1528 I1=$abc$56607$n1529_1 I2=$abc$56607$n1530_1 I3=$false O=$abc$56607$n1531 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][7] I2=$abc$56607$n1209 I3=$abc$56607$n1129 O=$abc$56607$n1533 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$56607$n726 I2=$abc$56607$n727 I3=$false O=$abc$56607$n1535_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$56607$n22 I1=I2C.byte_counter[1] I2=$abc$56607$n810 I3=$false O=$abc$56607$n1536_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$56607$n841 I1=$abc$56607$n809 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n815_1 O=$abc$56607$n1537 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010111011 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$56607$n832 I2=$abc$56607$n807 I3=$abc$56607$n736 O=$abc$56607$n1540 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$56607$n1540 I1=$abc$56607$n825 I2=$abc$56607$n822 I3=I2C.byte_counter[0] O=$abc$56607$n1541_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000100000001111 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$56607$n1541_1 I2=$abc$56607$n833 I3=$abc$56607$n754 O=$abc$56607$n1542 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000011111111 -.gate SB_LUT4 I0=$abc$56607$n834 I1=$abc$56607$n809 I2=$abc$56607$n1537 I3=I2C.byte_counter[0] O=$abc$56607$n1543 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011101111110000 -.gate SB_LUT4 I0=$abc$56607$n1536_1 I1=$abc$56607$n1543 I2=$abc$56607$n816_1 I3=$abc$56607$n1542 O=$abc$56607$n1544_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$56607$n836 I1=$abc$56607$n776 I2=$abc$56607$n819 I3=$abc$56607$n1544_1 O=$abc$56607$n1155 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][7] I1=$abc$56607$n1126 I2=KEYBOARD.report[0][7] I3=$abc$56607$n1124 O=$abc$56607$n1546 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=$abc$56607$n1129 I1=$abc$56607$n1546 I2=$abc$56607$n1533 I3=$abc$56607$n1116 O=$3\report_data_wr[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000100011110000 -.gate SB_CARRY CI=$abc$56607$n2621 CO=$auto$alumacc.cc:470:replace_alu$12026.C[2] I0=$false I1=$abc$56607$n2518 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12026.C[2] CO=$auto$alumacc.cc:470:replace_alu$12026.C[3] I0=$false I1=$abc$56607$n2604 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12026.C[3] CO=$abc$56607$n2229 I0=$false I1=$abc$56607$n2606 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n2607 CO=$auto$alumacc.cc:470:replace_alu$12031.C[3] I0=$false I1=$abc$56607$n2608 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[3] CO=$auto$alumacc.cc:470:replace_alu$12031.C[4] I0=$false I1=$abc$56607$n2609 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[4] CO=$auto$alumacc.cc:470:replace_alu$12031.C[5] I0=$false I1=$abc$56607$n2610 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[5] CO=$auto$alumacc.cc:470:replace_alu$12031.C[6] I0=$false I1=$abc$56607$n2611 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[6] CO=$auto$alumacc.cc:470:replace_alu$12031.C[7] I0=$false I1=$abc$56607$n2612 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[7] CO=$abc$56607$n2569 I0=$false I1=$abc$56607$n2613 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n282 CO=$auto$alumacc.cc:470:replace_alu$12036.C[3] I0=$true I1=$abc$56607$n280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[3] CO=$auto$alumacc.cc:470:replace_alu$12036.C[4] I0=$false I1=$abc$56607$n279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[4] CO=$auto$alumacc.cc:470:replace_alu$12036.C[5] I0=$false I1=$abc$56607$n277 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[5] CO=$auto$alumacc.cc:470:replace_alu$12036.C[6] I0=$false I1=$abc$56607$n276 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[6] CO=$auto$alumacc.cc:470:replace_alu$12036.C[7] I0=$false I1=$abc$56607$n274 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[7] CO=$abc$56607$n2570 I0=$false I1=$abc$56607$n273 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:470:replace_alu$12041.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[5] CO=$auto$alumacc.cc:470:replace_alu$12041.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[6] CO=$auto$alumacc.cc:470:replace_alu$12041.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[7] CO=$abc$56607$n2571 I0=KEYBOARD.kbd_code_hid[7] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:470:replace_alu$12053.C[2] I0=KEYBOARD.kbd_code_hid[1] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[2] CO=$auto$alumacc.cc:470:replace_alu$12053.C[3] I0=KEYBOARD.kbd_code_hid[2] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[3] CO=$auto$alumacc.cc:470:replace_alu$12053.C[4] I0=KEYBOARD.kbd_code_hid[3] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[4] CO=$auto$alumacc.cc:470:replace_alu$12053.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[5] CO=$auto$alumacc.cc:470:replace_alu$12053.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[6] CO=$auto$alumacc.cc:470:replace_alu$12053.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[7] CO=$abc$56607$n2572 I0=KEYBOARD.kbd_code_hid[7] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n2615 CO=$auto$alumacc.cc:470:replace_alu$12064.C[2] I0=$false I1=$abc$56607$n2530 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12064.C[2] CO=$auto$alumacc.cc:470:replace_alu$12064.C[3] I0=$false I1=$abc$56607$n2616 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12064.C[3] CO=$abc$56607$n1249 I0=$false I1=$abc$56607$n2617 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n246 CO=$auto$alumacc.cc:470:replace_alu$12069.C[2] I0=$true I1=$abc$56607$n1955 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[2] CO=$auto$alumacc.cc:470:replace_alu$12069.C[3] I0=$false I1=$abc$56607$n243 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[3] CO=$auto$alumacc.cc:470:replace_alu$12069.C[4] I0=$true I1=$abc$56607$n2618 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[4] CO=$auto$alumacc.cc:470:replace_alu$12069.C[5] I0=$false I1=$abc$56607$n240 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[5] CO=$auto$alumacc.cc:470:replace_alu$12069.C[6] I0=$false I1=$abc$56607$n239 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[6] CO=$auto$alumacc.cc:470:replace_alu$12069.C[7] I0=$false I1=$abc$56607$n237 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[7] CO=$abc$56607$n2575 I0=$false I1=$abc$56607$n236 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:470:replace_alu$12080.C[3] I0=init_ram_cnt[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[3] CO=$auto$alumacc.cc:470:replace_alu$12080.C[4] I0=init_ram_cnt[3] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[4] CO=$auto$alumacc.cc:470:replace_alu$12080.C[5] I0=init_ram_cnt[4] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[5] CO=$auto$alumacc.cc:470:replace_alu$12080.C[6] I0=init_ram_cnt[5] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[6] CO=$auto$alumacc.cc:470:replace_alu$12080.C[7] I0=init_ram_cnt[6] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[7] CO=$abc$56607$n22 I0=init_ram_cnt[7] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n270 CO=$auto$alumacc.cc:470:replace_alu$12087.C[2] I0=$false I1=$abc$56607$n269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12087.C[2] CO=$auto$alumacc.cc:470:replace_alu$12087.C[3] I0=$true I1=$abc$56607$n2620 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12087.C[3] CO=$abc$56607$n2577 I0=$false I1=$abc$56607$n266 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$56607$n282 CO=$auto$alumacc.cc:470:replace_alu$12098.C[3] I0=$false I1=$abc$56607$n280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[3] CO=$auto$alumacc.cc:470:replace_alu$12098.C[4] I0=$false I1=$abc$56607$n279 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[4] CO=$auto$alumacc.cc:470:replace_alu$12098.C[5] I0=$false I1=$abc$56607$n277 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[5] CO=$auto$alumacc.cc:470:replace_alu$12098.C[6] I0=$false I1=$abc$56607$n276 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[6] CO=$auto$alumacc.cc:470:replace_alu$12098.C[7] I0=$false I1=$abc$56607$n274 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[7] CO=$abc$56607$n2580 I0=$false I1=$abc$56607$n273 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n2621 I3=$true O=$abc$56607$n2509 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$56607$n2621 CO=$auto$alumacc.cc:470:replace_alu$12111.C[2] I0=$false I1=$abc$56607$n2518 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n2604 I3=$auto$alumacc.cc:470:replace_alu$12111.C[2] O=$abc$56607$n2495 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=wr_cnt[1] I1=$abc$51270$n1466 I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$51270$n1467 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=wr_cnt[2] I1=$abc$51270$n1467 I2=$abc$51270$n1132 I3=$abc$51270$n690 O=$3\report_data_wr[7:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$abc$51270$n1121 I1=KEYBOARD.report[4][6] I2=$abc$51270$n1181 I3=$abc$51270$n1183 O=$abc$51270$n1469 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$51270$n1180 I1=$abc$51270$n1469 I2=$abc$51270$n1185 I3=$abc$51270$n1120 O=$abc$51270$n1470_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$51270$n1128 I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=KEYBOARD.report[0][6] O=$abc$51270$n1471 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$51270$n1471 I1=$abc$51270$n1470_1 I2=$abc$51270$n1129 I3=$false O=$3\report_data_wr[7:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=KEYBOARD.report[3][7] I1=$abc$51270$n1123 I2=KEYBOARD.report[4][7] I3=$abc$51270$n1121 O=$abc$51270$n1473 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51270$n1128 I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=KEYBOARD.report[0][7] O=$abc$51270$n1475_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$51270$n1046_1 I1=$abc$51270$n1054 I2=$abc$51270$n307 I3=$abc$51270$n877 O=$abc$51270$n1478_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51270$n1050 I1=$abc$51270$n1058 I2=$abc$51270$n307 I3=$abc$51270$n880 O=$abc$51270$n1479 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=I2C.is_ack I1=$abc$51270$n1248 I2=$abc$51270$n1507 I3=$abc$51270$n1178 O=$abc$51270$n1481 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111011100001111 +.gate SB_LUT4 I0=$abc$51270$n1248 I1=$abc$51270$n1481 I2=$abc$51270$n1246 I3=$false O=$abc$51270$n1482 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$51270$n1258_1 I1=$abc$51270$n868 I2=I2C.i2c_state_machine I3=$abc$51270$n1248 O=$abc$51270$n1483_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000011111111 +.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n868 I2=$abc$51270$n1256 I3=$abc$51270$n1483_1 O=$abc$51270$n1484 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n1246 I2=$abc$51270$n873 I3=$abc$51270$n869 O=$abc$51270$n1485 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100010011110000 +.gate SB_LUT4 I0=$abc$51270$n308 I1=$abc$51270$n1485 I2=$abc$51270$n871_1 I3=$abc$51270$n2461 O=$abc$51270$n1486 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000001001111 +.gate SB_LUT4 I0=$abc$51270$n1269 I1=$abc$51270$n1263 I2=$abc$51270$n869 I3=$false O=$abc$51270$n1487 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51270$n1487 I1=$abc$51270$n1486 I2=$abc$51270$n871_1 I3=$false O=$abc$51270$n2034 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10111100 +.gate SB_LUT4 I0=$abc$51270$n1269 I1=$abc$51270$n868 I2=I2C.i2c_state_machine I3=$abc$51270$n1300 O=$abc$51270$n1489 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000011111111 +.gate SB_LUT4 I0=$abc$51270$n2431 I1=$abc$51270$n868 I2=$abc$51270$n1263 I3=$abc$51270$n1489 O=$abc$51270$n1490 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$51270$n1262 I1=$abc$51270$n1301 I2=$abc$51270$n1490 I3=$false O=$abc$51270$n2054 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[1][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[1][4] O=$abc$51270$n1492 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[1][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[1][2] O=$abc$51270$n1493_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51270$n1492 I1=$abc$51270$n1493_1 I2=$abc$51270$n994 I3=$abc$51270$n1463 O=$abc$51270$n1494_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111111111111 +.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n1494_1 I2=$abc$51270$n971 I3=$false O=$abc$51270$n1495 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=$abc$51270$n823 I3=$false O=$abc$51270$n1496_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51270$n809 I1=I2C.byte_counter[0] I2=I2C.received_byte[2] I3=I2C.received_byte[1] O=$abc$51270$n1497_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0010000000001100 +.gate SB_LUT4 I0=$abc$51270$n814 I1=$abc$51270$n809 I2=$abc$51270$n1496_1 I3=$abc$51270$n1497_1 O=$abc$51270$n1498 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=$abc$51270$n809 I1=$abc$51270$n694 I2=$abc$51270$n1498 I3=I2C.byte_counter[1] O=$abc$51270$n1499_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001111111111111 +.gate SB_LUT4 I0=KEYBOARD.report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$51270$n1120 I3=$abc$51270$n260 O=$abc$51270$n1500_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[6][7] I3=$abc$51270$n1124 O=$abc$51270$n1501 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51270$n1501 I1=$abc$51270$n1473 I2=$abc$51270$n1127 I3=$abc$51270$n1120 O=$abc$51270$n1502_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$51270$n1114 I1=$abc$51270$n1500_1 I2=$abc$51270$n1120 I3=$abc$51270$n1502_1 O=$abc$51270$n1503_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011011111110000 +.gate SB_LUT4 I0=$abc$51270$n1475_1 I1=$abc$51270$n1503_1 I2=$abc$51270$n1129 I3=$false O=$3\report_data_wr[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$51270$n1056 I1=$abc$51270$n1052 I2=$abc$51270$n307 I3=$abc$51270$n875 O=$abc$51270$n1505 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011111101010000 +.gate SB_LUT4 I0=$abc$51270$n1048 I1=$abc$51270$n1044 I2=$abc$51270$n307 I3=$abc$51270$n1505 O=$abc$51270$n1506 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111001100000101 +.gate SB_LUT4 I0=$abc$51270$n1506 I1=$abc$51270$n305 I2=$abc$51270$n1478_1 I3=$abc$51270$n1479 O=$abc$51270$n1507 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000000000000 +.gate SB_CARRY CI=$abc$51270$n2451 CO=$auto$alumacc.cc:474:replace_alu$9509.C[2] I0=$false I1=$abc$51270$n2383 +.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9509.C[2] CO=$auto$alumacc.cc:474:replace_alu$9509.C[3] I0=$false I1=$abc$51270$n2466 +.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9509.C[3] CO=$abc$51270$n2192 I0=$false I1=$abc$51270$n2468 +.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51270$n230 CO=$auto$alumacc.cc:474:replace_alu$9514.C[3] I0=$true I1=$abc$51270$n228 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[3] CO=$auto$alumacc.cc:474:replace_alu$9514.C[4] I0=$false I1=$abc$51270$n227 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[4] CO=$auto$alumacc.cc:474:replace_alu$9514.C[5] I0=$false I1=$abc$51270$n225 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[5] CO=$auto$alumacc.cc:474:replace_alu$9514.C[6] I0=$false I1=$abc$51270$n224 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[6] CO=$auto$alumacc.cc:474:replace_alu$9514.C[7] I0=$false I1=$abc$51270$n222 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[7] CO=$abc$51270$n2421 I0=$false I1=$abc$51270$n221 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51270$n2452 CO=$auto$alumacc.cc:474:replace_alu$9519.C[3] I0=$false I1=$abc$51270$n2453 +.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[3] CO=$auto$alumacc.cc:474:replace_alu$9519.C[4] I0=$false I1=$abc$51270$n2454 +.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[4] CO=$auto$alumacc.cc:474:replace_alu$9519.C[5] I0=$false I1=$abc$51270$n2455 +.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[5] CO=$auto$alumacc.cc:474:replace_alu$9519.C[6] I0=$false I1=$abc$51270$n2456 +.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[6] CO=$auto$alumacc.cc:474:replace_alu$9519.C[7] I0=$false I1=$abc$51270$n2457 +.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[7] CO=$abc$51270$n2422 I0=$false I1=$abc$51270$n2458 +.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51270$n2459 CO=$auto$alumacc.cc:474:replace_alu$9529.C[2] I0=$false I1=$abc$51270$n2395 +.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9529.C[2] CO=$auto$alumacc.cc:474:replace_alu$9529.C[3] I0=$false I1=$abc$51270$n2460 +.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9529.C[3] CO=$abc$51270$n1178 I0=$false I1=$abc$51270$n2461 +.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51270$n194 CO=$auto$alumacc.cc:474:replace_alu$9534.C[2] I0=$true I1=$abc$51270$n1918 +.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[2] CO=$auto$alumacc.cc:474:replace_alu$9534.C[3] I0=$false I1=$abc$51270$n191 +.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[3] CO=$auto$alumacc.cc:474:replace_alu$9534.C[4] I0=$true I1=$abc$51270$n2475 +.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[4] CO=$auto$alumacc.cc:474:replace_alu$9534.C[5] I0=$false I1=$abc$51270$n188 +.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[5] CO=$auto$alumacc.cc:474:replace_alu$9534.C[6] I0=$false I1=$abc$51270$n187 +.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[6] CO=$auto$alumacc.cc:474:replace_alu$9534.C[7] I0=$false I1=$abc$51270$n185 +.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[7] CO=$abc$51270$n2423 I0=$false I1=$abc$51270$n184 +.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9545.C[3] I0=init_ram_cnt[2] I1=$true +.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[3] CO=$auto$alumacc.cc:474:replace_alu$9545.C[4] I0=init_ram_cnt[3] I1=$false +.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[4] CO=$auto$alumacc.cc:474:replace_alu$9545.C[5] I0=init_ram_cnt[4] I1=$true +.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[5] CO=$auto$alumacc.cc:474:replace_alu$9545.C[6] I0=init_ram_cnt[5] I1=$false +.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[6] CO=$auto$alumacc.cc:474:replace_alu$9545.C[7] I0=init_ram_cnt[6] I1=$true +.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[7] CO=$abc$51270$n22 I0=init_ram_cnt[7] I1=$false +.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51270$n218 CO=$auto$alumacc.cc:474:replace_alu$9552.C[2] I0=$false I1=$abc$51270$n217 +.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9552.C[2] CO=$auto$alumacc.cc:474:replace_alu$9552.C[3] I0=$true I1=$abc$51270$n2463 +.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9552.C[3] CO=$abc$51270$n2425 I0=$false I1=$abc$51270$n214 +.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51270$n230 CO=$auto$alumacc.cc:474:replace_alu$9563.C[3] I0=$false I1=$abc$51270$n228 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[3] CO=$auto$alumacc.cc:474:replace_alu$9563.C[4] I0=$false I1=$abc$51270$n227 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[4] CO=$auto$alumacc.cc:474:replace_alu$9563.C[5] I0=$false I1=$abc$51270$n225 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[5] CO=$auto$alumacc.cc:474:replace_alu$9563.C[6] I0=$false I1=$abc$51270$n224 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[6] CO=$auto$alumacc.cc:474:replace_alu$9563.C[7] I0=$false I1=$abc$51270$n222 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[7] CO=$abc$51270$n2428 I0=$false I1=$abc$51270$n221 +.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n2451 I3=$true O=$abc$51270$n2362 +.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$56607$n1894 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$abc$51270$n2451 CO=$auto$alumacc.cc:474:replace_alu$9576.C[2] I0=$false I1=$abc$51270$n2383 +.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n2466 I3=$auto$alumacc.cc:474:replace_alu$9576.C[2] O=$abc$51270$n2348 +.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12114.C[2] I0=$false I1=wr_cnt[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12114.C[2] O=$abc$56607$n1897 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$51270$n1865 +.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12114.C[2] CO=$auto$alumacc.cc:470:replace_alu$12114.C[3] I0=$false I1=wr_cnt[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12114.C[3] O=$abc$56607$n1899 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$9579.C[2] I0=$false I1=ring_wr[1] +.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$9579.C[2] O=$abc$51270$n1871 +.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$56607$n1811 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9579.C[2] CO=$auto$alumacc.cc:474:replace_alu$9579.C[3] I0=$false I1=ring_wr[2] +.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$9579.C[3] O=$abc$51270$n1874 +.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:470:replace_alu$12117.C[2] I0=$false I1=ring_rd[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:470:replace_alu$12117.C[2] O=$abc$56607$n1817 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9579.C[3] CO=$auto$alumacc.cc:474:replace_alu$9579.C[4] I0=$false I1=ring_wr[3] +.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$9579.C[4] O=$abc$51270$n1454 +.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12117.C[2] CO=$auto$alumacc.cc:470:replace_alu$12117.C[3] I0=$false I1=ring_rd[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:470:replace_alu$12117.C[3] O=$abc$56607$n1820 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$51270$n1857 +.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:180$513_Y[0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9582.C[2] I0=$false I1=wr_cnt[1] +.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9582.C[2] O=$abc$51270$n1860 +.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:470:replace_alu$12120.C[2] I0=$false I1=report_data_radr[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:470:replace_alu$12120.C[2] O=$abc$56607$n1824 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9582.C[2] CO=$auto$alumacc.cc:474:replace_alu$9582.C[3] I0=$false I1=wr_cnt[2] +.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9582.C[3] O=$abc$51270$n1862 +.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[2] CO=$auto$alumacc.cc:470:replace_alu$12120.C[3] I0=$false I1=report_data_radr[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:470:replace_alu$12120.C[3] O=$abc$56607$n1827 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$51270$n1775 +.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[3] CO=$auto$alumacc.cc:470:replace_alu$12120.C[4] I0=$false I1=report_data_radr[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:470:replace_alu$12120.C[4] O=$abc$56607$n1830 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$9585.C[2] I0=$false I1=ring_rd[1] +.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$9585.C[2] O=$abc$51270$n1781 +.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[4] CO=$auto$alumacc.cc:470:replace_alu$12120.C[5] I0=$false I1=report_data_radr[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:470:replace_alu$12120.C[5] O=$abc$56607$n1833 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9585.C[2] CO=$auto$alumacc.cc:474:replace_alu$9585.C[3] I0=$false I1=ring_rd[2] +.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$9585.C[3] O=$abc$51270$n1784 +.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[5] CO=$auto$alumacc.cc:470:replace_alu$12120.C[6] I0=$false I1=report_data_radr[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:470:replace_alu$12120.C[6] O=$abc$56607$n1836 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:182$302_Y[0] +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[6] CO=$auto$alumacc.cc:470:replace_alu$12120.C[7] I0=$false I1=report_data_radr[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:470:replace_alu$12120.C[7] O=$abc$56607$n1839 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:474:replace_alu$9588.C[2] I0=$false I1=report_data_radr[1] +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:474:replace_alu$9588.C[2] O=$abc$51270$n1788 +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[19:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[2] CO=$auto$alumacc.cc:474:replace_alu$9588.C[3] I0=$false I1=report_data_radr[2] +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:474:replace_alu$9588.C[3] O=$abc$51270$n1791 +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:470:replace_alu$12123.C[10] O=$13\int_tmr[19:0][10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[3] CO=$auto$alumacc.cc:474:replace_alu$9588.C[4] I0=$false I1=report_data_radr[3] +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:474:replace_alu$9588.C[4] O=$abc$51270$n1794 +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[10] CO=$auto$alumacc.cc:470:replace_alu$12123.C[11] I0=$false I1=int_tmr[10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:470:replace_alu$12123.C[11] O=$13\int_tmr[19:0][11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[4] CO=$auto$alumacc.cc:474:replace_alu$9588.C[5] I0=$false I1=report_data_radr[4] +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:474:replace_alu$9588.C[5] O=$abc$51270$n1797 +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[11] CO=$auto$alumacc.cc:470:replace_alu$12123.C[12] I0=$false I1=int_tmr[11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:470:replace_alu$12123.C[12] O=$13\int_tmr[19:0][12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[5] CO=$auto$alumacc.cc:474:replace_alu$9588.C[6] I0=$false I1=report_data_radr[5] +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:474:replace_alu$9588.C[6] O=$abc$51270$n1800 +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[12] CO=$auto$alumacc.cc:470:replace_alu$12123.C[13] I0=$false I1=int_tmr[12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:470:replace_alu$12123.C[13] O=$13\int_tmr[19:0][13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[6] CO=$auto$alumacc.cc:474:replace_alu$9588.C[7] I0=$false I1=report_data_radr[6] +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:474:replace_alu$9588.C[7] O=$abc$51270$n1803 +.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[13] CO=$auto$alumacc.cc:470:replace_alu$12123.C[14] I0=$false I1=int_tmr[13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:470:replace_alu$12123.C[14] O=$13\int_tmr[19:0][14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[14:0][0] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[14] CO=$auto$alumacc.cc:470:replace_alu$12123.C[15] I0=$false I1=int_tmr[14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[15] I3=$auto$alumacc.cc:470:replace_alu$12123.C[15] O=$13\int_tmr[19:0][15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:474:replace_alu$9591.C[10] O=$13\int_tmr[14:0][10] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[15] CO=$auto$alumacc.cc:470:replace_alu$12123.C[16] I0=$false I1=int_tmr[15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[16] I3=$auto$alumacc.cc:470:replace_alu$12123.C[16] O=$13\int_tmr[19:0][16] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[10] CO=$auto$alumacc.cc:474:replace_alu$9591.C[11] I0=$false I1=int_tmr[10] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:474:replace_alu$9591.C[11] O=$13\int_tmr[14:0][11] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[16] CO=$auto$alumacc.cc:470:replace_alu$12123.C[17] I0=$false I1=int_tmr[16] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[17] I3=$auto$alumacc.cc:470:replace_alu$12123.C[17] O=$13\int_tmr[19:0][17] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[11] CO=$auto$alumacc.cc:474:replace_alu$9591.C[12] I0=$false I1=int_tmr[11] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:474:replace_alu$9591.C[12] O=$13\int_tmr[14:0][12] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[17] CO=$auto$alumacc.cc:470:replace_alu$12123.C[18] I0=$false I1=int_tmr[17] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[18] I3=$auto$alumacc.cc:470:replace_alu$12123.C[18] O=$13\int_tmr[19:0][18] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[12] CO=$auto$alumacc.cc:474:replace_alu$9591.C[13] I0=$false I1=int_tmr[12] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:474:replace_alu$9591.C[13] O=$13\int_tmr[14:0][13] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[18] CO=$auto$alumacc.cc:470:replace_alu$12123.C[19] I0=$false I1=int_tmr[18] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[19] I3=$auto$alumacc.cc:470:replace_alu$12123.C[19] O=$abc$56607$n1733 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[13] CO=$auto$alumacc.cc:474:replace_alu$9591.C[14] I0=$false I1=int_tmr[13] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:474:replace_alu$9591.C[14] O=$13\int_tmr[14:0][14] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:470:replace_alu$12123.C[2] I0=$false I1=int_tmr[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:470:replace_alu$12123.C[2] O=$13\int_tmr[19:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:474:replace_alu$9591.C[2] I0=$false I1=int_tmr[1] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:474:replace_alu$9591.C[2] O=$13\int_tmr[14:0][2] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[2] CO=$auto$alumacc.cc:470:replace_alu$12123.C[3] I0=$false I1=int_tmr[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:470:replace_alu$12123.C[3] O=$13\int_tmr[19:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[2] CO=$auto$alumacc.cc:474:replace_alu$9591.C[3] I0=$false I1=int_tmr[2] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:474:replace_alu$9591.C[3] O=$13\int_tmr[14:0][3] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[3] CO=$auto$alumacc.cc:470:replace_alu$12123.C[4] I0=$false I1=int_tmr[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:470:replace_alu$12123.C[4] O=$13\int_tmr[19:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[3] CO=$auto$alumacc.cc:474:replace_alu$9591.C[4] I0=$false I1=int_tmr[3] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:474:replace_alu$9591.C[4] O=$13\int_tmr[14:0][4] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[4] CO=$auto$alumacc.cc:470:replace_alu$12123.C[5] I0=$false I1=int_tmr[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:470:replace_alu$12123.C[5] O=$13\int_tmr[19:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[4] CO=$auto$alumacc.cc:474:replace_alu$9591.C[5] I0=$false I1=int_tmr[4] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:474:replace_alu$9591.C[5] O=$13\int_tmr[14:0][5] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[5] CO=$auto$alumacc.cc:470:replace_alu$12123.C[6] I0=$false I1=int_tmr[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:470:replace_alu$12123.C[6] O=$13\int_tmr[19:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[5] CO=$auto$alumacc.cc:474:replace_alu$9591.C[6] I0=$false I1=int_tmr[5] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:474:replace_alu$9591.C[6] O=$13\int_tmr[14:0][6] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[6] CO=$auto$alumacc.cc:470:replace_alu$12123.C[7] I0=$false I1=int_tmr[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:470:replace_alu$12123.C[7] O=$13\int_tmr[19:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[6] CO=$auto$alumacc.cc:474:replace_alu$9591.C[7] I0=$false I1=int_tmr[6] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:474:replace_alu$9591.C[7] O=$13\int_tmr[14:0][7] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[7] CO=$auto$alumacc.cc:470:replace_alu$12123.C[8] I0=$false I1=int_tmr[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:470:replace_alu$12123.C[8] O=$13\int_tmr[19:0][8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[7] CO=$auto$alumacc.cc:474:replace_alu$9591.C[8] I0=$false I1=int_tmr[7] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:474:replace_alu$9591.C[8] O=$13\int_tmr[14:0][8] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[8] CO=$auto$alumacc.cc:470:replace_alu$12123.C[9] I0=$false I1=int_tmr[8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:470:replace_alu$12123.C[9] O=$13\int_tmr[19:0][9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[8] CO=$auto$alumacc.cc:474:replace_alu$9591.C[9] I0=$false I1=int_tmr[8] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:474:replace_alu$9591.C[9] O=$13\int_tmr[14:0][9] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[9] CO=$auto$alumacc.cc:470:replace_alu$12123.C[10] I0=$false I1=int_tmr[9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$56607$n35 I2=rststate[0] I3=$false O=$abc$56607$n1977 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[9] CO=$auto$alumacc.cc:474:replace_alu$9591.C[10] I0=$false I1=int_tmr[9] +.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$51270$n35 I2=rststate[0] I3=$false O=$abc$51270$n1940 +.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$12126.C[1] I0=$abc$56607$n35 I1=rststate[0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$12126.C[1] O=$abc$56607$n1979 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$9594.C[1] I0=$abc$51270$n35 I1=rststate[0] +.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$9594.C[1] O=$abc$51270$n1942 +.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12126.C[1] CO=$auto$alumacc.cc:470:replace_alu$12126.C[2] I0=$false I1=rststate[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$12126.C[2] O=$abc$56607$n1981 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9594.C[1] CO=$auto$alumacc.cc:474:replace_alu$9594.C[2] I0=$false I1=rststate[1] +.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$9594.C[2] O=$abc$51270$n1944 +.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12126.C[2] CO=$auto$alumacc.cc:470:replace_alu$12126.C[3] I0=$false I1=rststate[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$12126.C[3] O=$abc$56607$n1983 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9594.C[2] CO=$auto$alumacc.cc:474:replace_alu$9594.C[3] I0=$false I1=rststate[2] +.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$9594.C[3] O=$abc$51270$n1946 +.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12129.C[2] I0=$false I1=init_ram_cnt[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12129.C[2] O=$2\init_ram_cnt[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[2] CO=$auto$alumacc.cc:470:replace_alu$12129.C[3] I0=$false I1=init_ram_cnt[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12129.C[3] O=$2\init_ram_cnt[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[3] CO=$auto$alumacc.cc:470:replace_alu$12129.C[4] I0=$false I1=init_ram_cnt[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:470:replace_alu$12129.C[4] O=$2\init_ram_cnt[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[4] CO=$auto$alumacc.cc:470:replace_alu$12129.C[5] I0=$false I1=init_ram_cnt[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:470:replace_alu$12129.C[5] O=$2\init_ram_cnt[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[5] CO=$auto$alumacc.cc:470:replace_alu$12129.C[6] I0=$false I1=init_ram_cnt[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:470:replace_alu$12129.C[6] O=$2\init_ram_cnt[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9597.C[2] I0=$false I1=init_ram_cnt[1] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9597.C[2] O=$2\init_ram_cnt[7:0][2] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[6] CO=$auto$alumacc.cc:470:replace_alu$12129.C[7] I0=$false I1=init_ram_cnt[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:470:replace_alu$12129.C[7] O=$2\init_ram_cnt[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[2] CO=$auto$alumacc.cc:474:replace_alu$9597.C[3] I0=$false I1=init_ram_cnt[2] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9597.C[3] O=$2\init_ram_cnt[7:0][3] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$56607$n1902 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[3] CO=$auto$alumacc.cc:474:replace_alu$9597.C[4] I0=$false I1=init_ram_cnt[3] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9597.C[4] O=$2\init_ram_cnt[7:0][4] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:470:replace_alu$12132.C[2] I0=$false I1=ring_wr[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:470:replace_alu$12132.C[2] O=$abc$56607$n1908 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[4] CO=$auto$alumacc.cc:474:replace_alu$9597.C[5] I0=$false I1=init_ram_cnt[4] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9597.C[5] O=$2\init_ram_cnt[7:0][5] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12132.C[2] CO=$auto$alumacc.cc:470:replace_alu$12132.C[3] I0=$false I1=ring_wr[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:470:replace_alu$12132.C[3] O=$abc$56607$n1911 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[5] CO=$auto$alumacc.cc:474:replace_alu$9597.C[6] I0=$false I1=init_ram_cnt[5] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9597.C[6] O=$2\init_ram_cnt[7:0][6] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12132.C[3] CO=$auto$alumacc.cc:470:replace_alu$12132.C[4] I0=$false I1=ring_wr[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:470:replace_alu$12132.C[4] O=$abc$56607$n49 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[6] CO=$auto$alumacc.cc:474:replace_alu$9597.C[7] I0=$false I1=init_ram_cnt[6] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9597.C[7] O=$2\init_ram_cnt[7:0][7] +.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$56607$n321 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$51270$n269 +.attr src "top.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$56607$n305 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$51270$n253 +.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12138.C[2] I0=wr_cnt[1] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12138.C[2] O=$abc$56607$n312 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9603.C[2] I0=wr_cnt[1] I1=$false +.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9603.C[2] O=$abc$51270$n260 +.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12141.C[2] I0=I2C.byte_counter[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[2] O=$2\I2C_INPUT_LEN[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9606.C[2] I0=I2C.byte_counter[1] I1=$true +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[2] O=$2\I2C_INPUT_LEN[7:0][2] +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[2] CO=$auto$alumacc.cc:470:replace_alu$12141.C[3] I0=I2C.byte_counter[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[3] O=$2\I2C_INPUT_LEN[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[2] CO=$auto$alumacc.cc:474:replace_alu$9606.C[3] I0=I2C.byte_counter[2] I1=$true +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[3] O=$2\I2C_INPUT_LEN[7:0][3] +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[3] CO=$auto$alumacc.cc:470:replace_alu$12141.C[4] I0=I2C.byte_counter[3] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[4] O=$2\I2C_INPUT_LEN[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[3] CO=$auto$alumacc.cc:474:replace_alu$9606.C[4] I0=I2C.byte_counter[3] I1=$true +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[4] O=$2\I2C_INPUT_LEN[7:0][4] +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[4] CO=$auto$alumacc.cc:470:replace_alu$12141.C[5] I0=I2C.byte_counter[4] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[5] O=$2\I2C_INPUT_LEN[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[4] CO=$auto$alumacc.cc:474:replace_alu$9606.C[5] I0=I2C.byte_counter[4] I1=$true +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[5] O=$2\I2C_INPUT_LEN[7:0][5] +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[5] CO=$auto$alumacc.cc:470:replace_alu$12141.C[6] I0=I2C.byte_counter[5] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[6] O=$2\I2C_INPUT_LEN[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[5] CO=$auto$alumacc.cc:474:replace_alu$9606.C[6] I0=I2C.byte_counter[5] I1=$true +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[6] O=$2\I2C_INPUT_LEN[7:0][6] +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[6] CO=$auto$alumacc.cc:470:replace_alu$12141.C[7] I0=I2C.byte_counter[6] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[7] O=$2\I2C_INPUT_LEN[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[6] CO=$auto$alumacc.cc:474:replace_alu$9606.C[7] I0=I2C.byte_counter[6] I1=$true +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[7] O=$2\I2C_INPUT_LEN[7:0][7] +.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$56607$n1953 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$51270$n1916 +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:470:replace_alu$12144.C[3] I0=init_ram_cnt[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:470:replace_alu$12144.C[3] O=$abc$56607$n1959 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9609.C[3] I0=init_ram_cnt[2] I1=$true +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:474:replace_alu$9609.C[3] O=$abc$51270$n1922 +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[3] CO=$auto$alumacc.cc:470:replace_alu$12144.C[4] I0=init_ram_cnt[3] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[4] O=$abc$56607$n1961 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[3] CO=$auto$alumacc.cc:474:replace_alu$9609.C[4] I0=init_ram_cnt[3] I1=$false +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[4] O=$abc$51270$n1924 +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[4] CO=$auto$alumacc.cc:470:replace_alu$12144.C[5] I0=init_ram_cnt[4] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[5] O=$abc$56607$n1963 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[4] CO=$auto$alumacc.cc:474:replace_alu$9609.C[5] I0=init_ram_cnt[4] I1=$true +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[5] O=$abc$51270$n1926 +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[5] CO=$auto$alumacc.cc:470:replace_alu$12144.C[6] I0=init_ram_cnt[5] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[6] O=$abc$56607$n1965 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[5] CO=$auto$alumacc.cc:474:replace_alu$9609.C[6] I0=init_ram_cnt[5] I1=$true +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[6] O=$abc$51270$n1928 +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[6] CO=$auto$alumacc.cc:470:replace_alu$12144.C[7] I0=init_ram_cnt[6] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[7] O=$abc$56607$n1967 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[6] CO=$auto$alumacc.cc:474:replace_alu$9609.C[7] I0=init_ram_cnt[6] I1=$true +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[7] O=$abc$51270$n1930 +.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$56607$n2528 CO=$auto$alumacc.cc:470:replace_alu$12147.C[3] I0=$false I1=$abc$56607$n2627 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[3] CO=$auto$alumacc.cc:470:replace_alu$12147.C[4] I0=$false I1=$abc$56607$n2623 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[4] CO=$auto$alumacc.cc:470:replace_alu$12147.C[5] I0=$false I1=$abc$56607$n2628 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[5] CO=$auto$alumacc.cc:470:replace_alu$12147.C[6] I0=$false I1=$abc$56607$n2624 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[6] CO=$auto$alumacc.cc:470:replace_alu$12147.C[7] I0=$false I1=$abc$56607$n2625 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[7] CO=$abc$56607$n2583 I0=$false I1=$abc$56607$n2626 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=$abc$56607$n1520 I3=$false O=$abc$56607$n2210 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$abc$51270$n2393 CO=$auto$alumacc.cc:474:replace_alu$9612.C[3] I0=$false I1=$abc$51270$n2472 +.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[3] CO=$auto$alumacc.cc:474:replace_alu$9612.C[4] I0=$false I1=$abc$51270$n2473 +.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[4] CO=$auto$alumacc.cc:474:replace_alu$9612.C[5] I0=$false I1=$abc$51270$n2469 +.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[5] CO=$auto$alumacc.cc:474:replace_alu$9612.C[6] I0=$false I1=$abc$51270$n2470 +.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[6] CO=$auto$alumacc.cc:474:replace_alu$9612.C[7] I0=$false I1=$abc$51270$n2474 +.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[7] CO=$abc$51270$n2431 I0=$false I1=$abc$51270$n2471 +.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=$abc$51270$n1493 I3=$false O=$abc$51270$n2173 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$56607$n1520 CO=$auto$alumacc.cc:470:replace_alu$12152.C[2] I0=$false I1=$abc$56607$n1521 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1523 I3=$auto$alumacc.cc:470:replace_alu$12152.C[2] O=$abc$56607$n2212 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$abc$51270$n1493 CO=$auto$alumacc.cc:474:replace_alu$9617.C[2] I0=$false I1=$abc$51270$n1494 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1496 I3=$auto$alumacc.cc:474:replace_alu$9617.C[2] O=$abc$51270$n2175 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[2] CO=$auto$alumacc.cc:470:replace_alu$12152.C[3] I0=$false I1=$abc$56607$n1523 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1524 I3=$auto$alumacc.cc:470:replace_alu$12152.C[3] O=$abc$56607$n2213 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[2] CO=$auto$alumacc.cc:474:replace_alu$9617.C[3] I0=$false I1=$abc$51270$n1496 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1497 I3=$auto$alumacc.cc:474:replace_alu$9617.C[3] O=$abc$51270$n2176 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[3] CO=$auto$alumacc.cc:470:replace_alu$12152.C[4] I0=$false I1=$abc$56607$n1524 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1526 I3=$auto$alumacc.cc:470:replace_alu$12152.C[4] O=$abc$56607$n2214 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[3] CO=$auto$alumacc.cc:474:replace_alu$9617.C[4] I0=$false I1=$abc$51270$n1497 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1499 I3=$auto$alumacc.cc:474:replace_alu$9617.C[4] O=$abc$51270$n2177 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[4] CO=$auto$alumacc.cc:470:replace_alu$12152.C[5] I0=$false I1=$abc$56607$n1526 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1527 I3=$auto$alumacc.cc:470:replace_alu$12152.C[5] O=$abc$56607$n2215 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[4] CO=$auto$alumacc.cc:474:replace_alu$9617.C[5] I0=$false I1=$abc$51270$n1499 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1500 I3=$auto$alumacc.cc:474:replace_alu$9617.C[5] O=$abc$51270$n2178 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[5] CO=$auto$alumacc.cc:470:replace_alu$12152.C[6] I0=$false I1=$abc$56607$n1527 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1529 I3=$auto$alumacc.cc:470:replace_alu$12152.C[6] O=$abc$56607$n2216 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[5] CO=$auto$alumacc.cc:474:replace_alu$9617.C[6] I0=$false I1=$abc$51270$n1500 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1502 I3=$auto$alumacc.cc:474:replace_alu$9617.C[6] O=$abc$51270$n2179 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[6] CO=$auto$alumacc.cc:470:replace_alu$12152.C[7] I0=$false I1=$abc$56607$n1529 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1530 I3=$auto$alumacc.cc:470:replace_alu$12152.C[7] O=$abc$56607$n2217 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[6] CO=$auto$alumacc.cc:474:replace_alu$9617.C[7] I0=$false I1=$abc$51270$n1502 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1503 I3=$auto$alumacc.cc:474:replace_alu$9617.C[7] O=$abc$51270$n2180 +.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$56607$n2045 I2=$false I3=$true O=$abc$56607$n358 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$abc$51270$n2008 I2=$false I3=$true O=$abc$51270$n305 +.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$56607$n2045 CO=$auto$alumacc.cc:470:replace_alu$12155.C[2] I0=$abc$56607$n2047 I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$56607$n2049 I2=$true I3=$auto$alumacc.cc:470:replace_alu$12155.C[2] O=$abc$56607$n360 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$abc$51270$n2008 CO=$auto$alumacc.cc:474:replace_alu$9620.C[2] I0=$abc$51270$n2010 I1=$true +.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$51270$n2012 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9620.C[2] O=$abc$51270$n307 +.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12155.C[2] CO=$auto$alumacc.cc:470:replace_alu$12155.C[3] I0=$abc$56607$n2049 I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$56607$n2051 I2=$true I3=$auto$alumacc.cc:470:replace_alu$12155.C[3] O=$abc$56607$n361 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9620.C[2] CO=$auto$alumacc.cc:474:replace_alu$9620.C[3] I0=$abc$51270$n2012 I1=$true +.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$51270$n2014 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9620.C[3] O=$abc$51270$n308 +.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12155.C[3] CO=$abc$56607$n2529 I0=$abc$56607$n2051 I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$56607$n2529 O=$abc$56607$n372 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9620.C[3] CO=$abc$51270$n2394 I0=$abc$51270$n2014 I1=$true +.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$51270$n2394 O=$abc$51270$n319 +.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$56607$n1636 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$51270$n1633 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$12158.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12158.C[2] O=$abc$56607$n1639 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9623.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9623.C[2] O=$abc$51270$n1636 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$56607$n1632 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$51270$n1629 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$12161.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12161.C[2] O=$abc$56607$n1635 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9626.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9626.C[2] O=$abc$51270$n1632 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$56607$n2471 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$51270$n2324 +.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:470:replace_alu$12164.C[7] I0=$false I1=I2C.byte_counter[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:470:replace_alu$12164.C[7] O=$abc$56607$n2477 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:474:replace_alu$9629.C[7] I0=$false I1=I2C.byte_counter[6] +.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:474:replace_alu$9629.C[7] O=$abc$51270$n2330 +.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$12008[0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$abc$51270$n396 I2=$false I3=$false O=$abc$51270$n2265 +.attr src "top.v:39|matrix_kbd.v:171|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12188.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12188.C[2] O=$auto$wreduce.cc:347:run$12008[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_delay_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9491[0] +.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[2] CO=$auto$alumacc.cc:470:replace_alu$12188.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12188.C[3] O=$auto$wreduce.cc:347:run$12008[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=KEYBOARD.init_delay_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9635.C[2] I0=$false I1=KEYBOARD.init_delay_cnt[1] +.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9635.C[2] O=$auto$wreduce.cc:347:run$9491[2] +.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[3] CO=$auto$alumacc.cc:470:replace_alu$12188.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:470:replace_alu$12188.C[4] O=$auto$wreduce.cc:347:run$12008[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9635.C[2] CO=$auto$alumacc.cc:474:replace_alu$9635.C[3] I0=$false I1=KEYBOARD.init_delay_cnt[2] +.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9635.C[3] O=$auto$wreduce.cc:347:run$9491[3] +.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[4] CO=$auto$alumacc.cc:470:replace_alu$12188.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:470:replace_alu$12188.C[5] O=$auto$wreduce.cc:347:run$12008[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9492[0] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[5] CO=$auto$alumacc.cc:470:replace_alu$12188.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:470:replace_alu$12188.C[6] O=$auto$wreduce.cc:347:run$12008[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9638.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9638.C[2] O=$auto$wreduce.cc:347:run$9492[2] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[6] CO=$auto$alumacc.cc:470:replace_alu$12188.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:470:replace_alu$12188.C[7] O=$auto$wreduce.cc:347:run$12008[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[2] CO=$auto$alumacc.cc:474:replace_alu$9638.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9638.C[3] O=$auto$wreduce.cc:347:run$9492[3] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[7] CO=$auto$alumacc.cc:470:replace_alu$12188.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:470:replace_alu$12188.C[8] O=$auto$wreduce.cc:347:run$12008[8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[3] CO=$auto$alumacc.cc:474:replace_alu$9638.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9638.C[4] O=$auto$wreduce.cc:347:run$9492[4] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$56607$n2231 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[4] CO=$auto$alumacc.cc:474:replace_alu$9638.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9638.C[5] O=$auto$wreduce.cc:347:run$9492[5] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12191.C[2] I0=$false I1=KEYBOARD.row_counter[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:470:replace_alu$12191.C[2] O=$abc$56607$n2237 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[5] CO=$auto$alumacc.cc:474:replace_alu$9638.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9638.C[6] O=$auto$wreduce.cc:347:run$9492[6] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12191.C[2] CO=$auto$alumacc.cc:470:replace_alu$12191.C[3] I0=$false I1=KEYBOARD.row_counter[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:470:replace_alu$12191.C[3] O=$abc$56607$n28 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[6] CO=$auto$alumacc.cc:474:replace_alu$9638.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9638.C[7] O=$auto$wreduce.cc:347:run$9492[7] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[7] CO=$auto$alumacc.cc:474:replace_alu$9638.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:474:replace_alu$9638.C[8] O=$auto$wreduce.cc:347:run$9492[8] +.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$12194.C[10] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$51270$n1609 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[10] CO=$auto$alumacc.cc:470:replace_alu$12194.C[11] I0=$false I1=KEYBOARD.row_time[10] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$12194.C[11] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$9641.C[10] O=$abc$51270$n1620 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[11] CO=$auto$alumacc.cc:470:replace_alu$12194.C[12] I0=$false I1=KEYBOARD.row_time[11] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$12194.C[12] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[10] CO=$auto$alumacc.cc:474:replace_alu$9641.C[11] I0=$false I1=KEYBOARD.row_time[10] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$9641.C[11] O=$abc$51270$n1621 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[12] CO=$auto$alumacc.cc:470:replace_alu$12194.C[13] I0=$false I1=KEYBOARD.row_time[12] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$12194.C[13] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[11] CO=$auto$alumacc.cc:474:replace_alu$9641.C[12] I0=$false I1=KEYBOARD.row_time[11] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$9641.C[12] O=$abc$51270$n1626 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[13] CO=$auto$alumacc.cc:470:replace_alu$12194.C[14] I0=$false I1=KEYBOARD.row_time[13] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$12194.C[14] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$9641.C[2] I0=$false I1=KEYBOARD.row_time[1] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$9641.C[2] O=$abc$51270$n1612 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[14] CO=$auto$alumacc.cc:470:replace_alu$12194.C[15] I0=$false I1=KEYBOARD.row_time[14] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$12194.C[15] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[15] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[2] CO=$auto$alumacc.cc:474:replace_alu$9641.C[3] I0=$false I1=KEYBOARD.row_time[2] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$9641.C[3] O=$abc$51270$n1613 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$12194.C[2] I0=$false I1=KEYBOARD.row_time[1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$12194.C[2] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[3] CO=$auto$alumacc.cc:474:replace_alu$9641.C[4] I0=$false I1=KEYBOARD.row_time[3] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$9641.C[4] O=$abc$51270$n1607 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[2] CO=$auto$alumacc.cc:470:replace_alu$12194.C[3] I0=$false I1=KEYBOARD.row_time[2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$12194.C[3] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[4] CO=$auto$alumacc.cc:474:replace_alu$9641.C[5] I0=$false I1=KEYBOARD.row_time[4] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$9641.C[5] O=$abc$51270$n396 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[3] CO=$auto$alumacc.cc:470:replace_alu$12194.C[4] I0=$false I1=KEYBOARD.row_time[3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$12194.C[4] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[5] CO=$auto$alumacc.cc:474:replace_alu$9641.C[6] I0=$false I1=KEYBOARD.row_time[5] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$9641.C[6] O=$abc$51270$n394 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[4] CO=$auto$alumacc.cc:470:replace_alu$12194.C[5] I0=$false I1=KEYBOARD.row_time[4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$12194.C[5] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[6] CO=$auto$alumacc.cc:474:replace_alu$9641.C[7] I0=$false I1=KEYBOARD.row_time[6] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$9641.C[7] O=$abc$51270$n383 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[5] CO=$auto$alumacc.cc:470:replace_alu$12194.C[6] I0=$false I1=KEYBOARD.row_time[5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$12194.C[6] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[7] CO=$auto$alumacc.cc:474:replace_alu$9641.C[8] I0=$false I1=KEYBOARD.row_time[7] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$9641.C[8] O=$abc$51270$n1617 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[6] CO=$auto$alumacc.cc:470:replace_alu$12194.C[7] I0=$false I1=KEYBOARD.row_time[6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$12194.C[7] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[8] CO=$auto$alumacc.cc:474:replace_alu$9641.C[9] I0=$false I1=KEYBOARD.row_time[8] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$9641.C[9] O=$abc$51270$n1618 +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[7] CO=$auto$alumacc.cc:470:replace_alu$12194.C[8] I0=$false I1=KEYBOARD.row_time[7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$12194.C[8] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[9] CO=$auto$alumacc.cc:474:replace_alu$9641.C[10] I0=$false I1=KEYBOARD.row_time[9] +.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$51270$n2193 +.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[8] CO=$auto$alumacc.cc:470:replace_alu$12194.C[9] I0=$false I1=KEYBOARD.row_time[8] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$12194.C[9] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9644.C[2] I0=$false I1=KEYBOARD.row_counter[1] +.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$9644.C[2] O=$abc$51270$n2199 +.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[9] CO=$auto$alumacc.cc:470:replace_alu$12194.C[10] I0=$false I1=KEYBOARD.row_time[9] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$56607$n1541 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9644.C[2] CO=$auto$alumacc.cc:474:replace_alu$9644.C[3] I0=$false I1=KEYBOARD.row_counter[2] +.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$9644.C[3] O=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12197.C[2] I0=UART.tx_clk_counter[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12197.C[2] O=$abc$56607$n1544 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$51270$n1514 +.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12197.C[2] CO=$auto$alumacc.cc:470:replace_alu$12197.C[3] I0=UART.tx_clk_counter[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12197.C[3] O=$abc$56607$n1545 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9647.C[2] I0=UART.tx_clk_counter[1] I1=$true +.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9647.C[2] O=$abc$51270$n1517 +.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$56607$n2519 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9647.C[2] CO=$auto$alumacc.cc:474:replace_alu$9647.C[3] I0=UART.tx_clk_counter[2] I1=$true +.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9647.C[3] O=$abc$51270$n1518 +.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12200.C[2] I0=UART.tx_bit_counter[1] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12200.C[2] O=$abc$56607$n2603 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$51270$n2384 +.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12200.C[2] CO=$auto$alumacc.cc:470:replace_alu$12200.C[3] I0=UART.tx_bit_counter[2] I1=$true -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12200.C[3] O=$abc$56607$n2605 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9650.C[2] I0=UART.tx_bit_counter[1] I1=$true +.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9650.C[2] O=$abc$51270$n2465 +.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9650.C[2] CO=$auto$alumacc.cc:474:replace_alu$9650.C[3] I0=UART.tx_bit_counter[2] I1=$true +.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9650.C[3] O=$abc$51270$n2467 +.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$12778.C[5] I0=$abc$56607$n2543 I1=$abc$56607$n2545 +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10473.C[5] I0=$abc$51270$n2411 I1=$abc$51270$n2413 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$56607$n2691 I3=$auto$maccmap.cc:240:synth$12778.C[5] O=$abc$56607$n1832 +.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$51270$n2532 I3=$auto$maccmap.cc:240:synth$10473.C[5] O=$abc$51270$n1796 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$12778.C[5] CO=$auto$maccmap.cc:240:synth$12778.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$56607$n2691 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10473.C[5] CO=$auto$maccmap.cc:240:synth$10473.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$51270$n2532 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$12778.C[6] O=$abc$56607$n1835 +.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10473.C[6] O=$abc$51270$n1799 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$12778.C[6] CO=$auto$maccmap.cc:240:synth$12778.C[7] I0=$10\ring_rd[3:0][3] I1=$false +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10473.C[6] CO=$auto$maccmap.cc:240:synth$10473.C[7] I0=$10\ring_rd[3:0][3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$12778.C[7] O=$abc$56607$n1838 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10473.C[7] O=$abc$51270$n1802 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$13003.C[5] I0=$abc$56607$n2546 I1=$abc$56607$n2548 +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10495.C[5] I0=$abc$51270$n2414 I1=$abc$51270$n2416 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$56607$n2678 I2=$abc$56607$n2680 I3=$auto$maccmap.cc:240:synth$13003.C[5] O=$6\report_data_wadr[7:0][5] +.gate SB_LUT4 I0=$false I1=$abc$51270$n2519 I2=$abc$51270$n2521 I3=$auto$maccmap.cc:240:synth$10495.C[5] O=$6\report_data_wadr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13003.C[5] CO=$auto$maccmap.cc:240:synth$13003.C[6] I0=$abc$56607$n2678 I1=$abc$56607$n2680 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10495.C[5] CO=$auto$maccmap.cc:240:synth$10495.C[6] I0=$abc$51270$n2519 I1=$abc$51270$n2521 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$56607$n2681 I3=$auto$maccmap.cc:240:synth$13003.C[6] O=$6\report_data_wadr[7:0][6] +.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$51270$n2522 I3=$auto$maccmap.cc:240:synth$10495.C[6] O=$6\report_data_wadr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13003.C[6] CO=$auto$maccmap.cc:240:synth$13003.C[7] I0=ring_wr[3] I1=$abc$56607$n2681 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10495.C[6] CO=$auto$maccmap.cc:240:synth$10495.C[7] I0=ring_wr[3] I1=$abc$51270$n2522 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$13003.C[7] O=$6\report_data_wadr[7:0][7] +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10495.C[7] O=$6\report_data_wadr[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$13026.C[5] I0=$abc$56607$n2549 I1=$abc$56607$n2551 +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10566.C[5] I0=$abc$51270$n2417 I1=$abc$51270$n2419 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$56607$n2671 I3=$auto$maccmap.cc:240:synth$13026.C[5] O=$abc$56607$n1943 +.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$51270$n2512 I3=$auto$maccmap.cc:240:synth$10566.C[5] O=$abc$51270$n1906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13026.C[5] CO=$auto$maccmap.cc:240:synth$13026.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$56607$n2671 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10566.C[5] CO=$auto$maccmap.cc:240:synth$10566.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$51270$n2512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$13026.C[6] O=$abc$56607$n1946 +.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10566.C[6] O=$abc$51270$n1909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13026.C[6] CO=$auto$maccmap.cc:240:synth$13026.C[7] I0=$2\ring_wr[3:0][3] I1=$false +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10566.C[6] CO=$auto$maccmap.cc:240:synth$10566.C[7] I0=$2\ring_wr[3:0][3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$13026.C[7] O=$abc$56607$n1949 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10566.C[7] O=$abc$51270$n1912 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_DFFE C=CLK D=$abc$56607$n3 E=$abc$56607$n873 Q=LED1 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$56607$n842 Q=init_ram_cnt[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$56607$n842 Q=init_ram_cnt[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$56607$n842 Q=init_ram_cnt[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$56607$n842 Q=init_ram_cnt[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$56607$n842 Q=init_ram_cnt[4] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$56607$n842 Q=init_ram_cnt[5] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$56607$n842 Q=init_ram_cnt[6] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$56607$n842 Q=init_ram_cnt[7] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n3 E=$abc$51270$n788 Q=LED1 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$51270$n764 Q=init_ram_cnt[0] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$51270$n764 Q=init_ram_cnt[1] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$51270$n764 Q=init_ram_cnt[2] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$51270$n764 Q=init_ram_cnt[3] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$51270$n764 Q=init_ram_cnt[4] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$51270$n764 Q=init_ram_cnt[5] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$51270$n764 Q=init_ram_cnt[6] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$51270$n764 Q=init_ram_cnt[7] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$56607$n881 Q=UART_WR R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$56607$n888 Q=UART_TX_DATA[0] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$56607$n888 Q=UART_TX_DATA[1] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$56607$n888 Q=UART_TX_DATA[2] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$56607$n888 Q=UART_TX_DATA[3] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$56607$n888 Q=UART_TX_DATA[4] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$56607$n888 Q=UART_TX_DATA[5] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$56607$n888 Q=UART_TX_DATA[6] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$56607$n888 Q=UART_TX_DATA[7] S=$abc$56607$n19 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][0] E=$abc$56607$n901 Q=int_tmr[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][1] E=$abc$56607$n938 Q=int_tmr[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][2] E=$abc$56607$n901 Q=int_tmr[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][3] E=$abc$56607$n901 Q=int_tmr[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][4] E=$abc$56607$n901 Q=int_tmr[4] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][5] E=$abc$56607$n901 Q=int_tmr[5] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][6] E=$abc$56607$n901 Q=int_tmr[6] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][7] E=$abc$56607$n901 Q=int_tmr[7] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][8] E=$abc$56607$n901 Q=int_tmr[8] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][9] E=$abc$56607$n901 Q=int_tmr[9] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][10] E=$abc$56607$n901 Q=int_tmr[10] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][11] E=$abc$56607$n901 Q=int_tmr[11] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][12] E=$abc$56607$n901 Q=int_tmr[12] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][13] E=$abc$56607$n901 Q=int_tmr[13] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][14] E=$abc$56607$n901 Q=int_tmr[14] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][15] E=$abc$56607$n901 Q=int_tmr[15] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][16] E=$abc$56607$n901 Q=int_tmr[16] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][17] E=$abc$56607$n901 Q=int_tmr[17] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][18] E=$abc$56607$n901 Q=int_tmr[18] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][19] E=$abc$56607$n985 Q=int_tmr[19] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$true E=$abc$56607$n988 Q=$abc$56607$n8 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$56607$n992 Q=ring_wr[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$56607$n992 Q=ring_wr[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$56607$n992 Q=ring_wr[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$56607$n992 Q=ring_wr[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$56607$n999 Q=ring_rd[0] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$56607$n999 Q=ring_rd[1] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$56607$n999 Q=ring_rd[2] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$56607$n999 Q=ring_rd[3] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$56607$n1007 Q=wr_cnt[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$56607$n1007 Q=wr_cnt[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$56607$n1007 Q=wr_cnt[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$56607$n1007 Q=wr_cnt[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$56607$n1014 Q=report_wr_en S=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$56607$n1018 Q=report_data_wadr[0] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$56607$n1018 Q=report_data_wadr[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$56607$n1018 Q=report_data_wadr[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$56607$n1018 Q=report_data_wadr[3] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$56607$n1018 Q=report_data_wadr[4] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$56607$n1018 Q=report_data_wadr[5] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$56607$n1018 Q=report_data_wadr[6] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$56607$n1018 Q=report_data_wadr[7] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$add$top.v:180$513_Y[0] E=$abc$56607$n1050 Q=report_data_radr[0] R=$abc$56607$n21 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$56607$n1039 Q=report_data_radr[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$56607$n1050 Q=report_data_radr[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$56607$n1050 Q=report_data_radr[3] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$56607$n1050 Q=report_data_radr[4] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$56607$n1050 Q=report_data_radr[5] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$56607$n1050 Q=report_data_radr[6] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$56607$n1050 Q=report_data_radr[7] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$56607$n1018 Q=report_data_wr[0] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$56607$n1018 Q=report_data_wr[1] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$56607$n1018 Q=report_data_wr[2] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$56607$n1018 Q=report_data_wr[3] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$56607$n1018 Q=report_data_wr[4] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$56607$n1018 Q=report_data_wr[5] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$56607$n1018 Q=report_data_wr[6] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$56607$n1018 Q=report_data_wr[7] R=$abc$56607$n23 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$56607$n1113 Q=temp_output_report[0] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$56607$n1113 Q=temp_output_report[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$56607$n1113 Q=temp_output_report[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$56607$n1155 Q=i2c_input_data_type[0] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$56607$n1155 Q=i2c_input_data_type[1] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$56607$n1155 Q=i2c_input_data_type[2] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$56607$n1155 Q=i2c_input_data_type[3] -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[4] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[5] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[6] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[7] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[0] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[1] S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[0] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[1] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[2] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[3] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[4] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[5] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[6] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[7] R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$56607$n1211 Q=LED2 S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$56607$n1211 Q=LED3 R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$56607$n1211 Q=LED4 S=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.wr E=$abc$56607$n1218 Q=last_wr -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$56607$n1221 Q=last_trans R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$56607$n1227 Q=last_uart_active R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$56607$n1232 Q=last_isr R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$56607$n1236 Q=uart_double_ff R=$abc$56607$n35 -.attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$51270$n796 Q=UART_WR R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$51270$n799 Q=UART_TX_DATA[0] S=$abc$51270$n19 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$51270$n799 Q=UART_TX_DATA[1] S=$abc$51270$n19 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$51270$n799 Q=UART_TX_DATA[2] S=$abc$51270$n19 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$51270$n799 Q=UART_TX_DATA[3] S=$abc$51270$n19 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$51270$n799 Q=UART_TX_DATA[4] S=$abc$51270$n19 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$51270$n799 Q=UART_TX_DATA[5] S=$abc$51270$n19 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$51270$n799 Q=UART_TX_DATA[6] S=$abc$51270$n19 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$51270$n799 Q=UART_TX_DATA[7] S=$abc$51270$n19 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][0] E=$abc$51270$n871 Q=int_tmr[0] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][1] E=$abc$51270$n867 Q=int_tmr[1] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][2] E=$abc$51270$n871 Q=int_tmr[2] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][3] E=$abc$51270$n871 Q=int_tmr[3] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][4] E=$abc$51270$n871 Q=int_tmr[4] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][5] E=$abc$51270$n871 Q=int_tmr[5] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][6] E=$abc$51270$n871 Q=int_tmr[6] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][7] E=$abc$51270$n871 Q=int_tmr[7] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][8] E=$abc$51270$n871 Q=int_tmr[8] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][9] E=$abc$51270$n871 Q=int_tmr[9] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][10] E=$abc$51270$n871 Q=int_tmr[10] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][11] E=$abc$51270$n871 Q=int_tmr[11] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][12] E=$abc$51270$n871 Q=int_tmr[12] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][13] E=$abc$51270$n871 Q=int_tmr[13] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][14] E=$abc$51270$n871 Q=int_tmr[14] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$true E=$abc$51270$n917 Q=$abc$51270$n8 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$51270$n921 Q=ring_wr[0] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$51270$n921 Q=ring_wr[1] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$51270$n921 Q=ring_wr[2] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$51270$n921 Q=ring_wr[3] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$51270$n934 Q=ring_rd[0] S=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$51270$n934 Q=ring_rd[1] S=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$51270$n934 Q=ring_rd[2] S=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$51270$n934 Q=ring_rd[3] S=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$51270$n937 Q=wr_cnt[0] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$51270$n937 Q=wr_cnt[1] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$51270$n937 Q=wr_cnt[2] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$51270$n937 Q=wr_cnt[3] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$51270$n945 Q=report_wr_en S=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$51270$n950 Q=report_data_wadr[0] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$51270$n950 Q=report_data_wadr[1] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$51270$n950 Q=report_data_wadr[2] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$51270$n950 Q=report_data_wadr[3] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$51270$n950 Q=report_data_wadr[4] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$51270$n950 Q=report_data_wadr[5] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$51270$n950 Q=report_data_wadr[6] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$51270$n950 Q=report_data_wadr[7] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$add$top.v:182$302_Y[0] E=$abc$51270$n974 Q=report_data_radr[0] R=$abc$51270$n21 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$51270$n968 Q=report_data_radr[1] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$51270$n974 Q=report_data_radr[2] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$51270$n974 Q=report_data_radr[3] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$51270$n974 Q=report_data_radr[4] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$51270$n974 Q=report_data_radr[5] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$51270$n974 Q=report_data_radr[6] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$51270$n974 Q=report_data_radr[7] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$51270$n950 Q=report_data_wr[0] R=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$51270$n950 Q=report_data_wr[1] R=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$51270$n950 Q=report_data_wr[2] R=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$51270$n950 Q=report_data_wr[3] R=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$51270$n950 Q=report_data_wr[4] R=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$51270$n950 Q=report_data_wr[5] R=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$51270$n950 Q=report_data_wr[6] R=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$51270$n950 Q=report_data_wr[7] R=$abc$51270$n23 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$51270$n1046 Q=temp_output_report[0] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$51270$n1046 Q=temp_output_report[1] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$51270$n1046 Q=temp_output_report[2] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$51270$n1112 Q=i2c_input_data_type[0] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$51270$n1112 Q=i2c_input_data_type[1] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$51270$n1112 Q=i2c_input_data_type[2] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$51270$n1112 Q=i2c_input_data_type[3] +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[0] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[1] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[2] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[3] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[4] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[5] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[6] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[7] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[0] S=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[1] S=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[2] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[0] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[1] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[2] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[3] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[4] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[5] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[6] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[7] R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$51270$n1138 Q=LED2 S=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$51270$n1138 Q=LED3 R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$51270$n1138 Q=LED4 S=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.wr E=$abc$51270$n1149 Q=last_wr +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$51270$n1152 Q=last_trans R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$51270$n1159 Q=last_uart_active R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$51270$n1163 Q=last_isr R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$51270$n1168 Q=uart_double_ff R=$abc$51270$n35 +.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF -.attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.attr src "top.v:21|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF -.attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFF C=CLK D=$abc$56607$n2091 Q=I2C.wr -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2089 Q=I2C.is_ack -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2073 Q=I2C.byte_counter[0] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2075 Q=I2C.byte_counter[1] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2077 Q=I2C.byte_counter[2] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2079 Q=I2C.byte_counter[3] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2081 Q=I2C.byte_counter[4] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2083 Q=I2C.byte_counter[5] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2085 Q=I2C.byte_counter[6] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2087 Q=I2C.byte_counter[7] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1248 Q=I2C.received_byte[0] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1258 Q=I2C.received_byte[1] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1268 Q=I2C.received_byte[2] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1278 Q=I2C.received_byte[3] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1283 Q=I2C.received_byte[4] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1290 Q=I2C.received_byte[5] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1297 Q=I2C.received_byte[6] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1302 Q=I2C.received_byte[7] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$56607$n2065 Q=I2C.i2c_bit_counter[0] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2067 Q=I2C.i2c_bit_counter[1] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2069 Q=I2C.i2c_bit_counter[2] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2071 Q=I2C.i2c_bit_counter[3] -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2063 Q=I2C.is_read -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$56607$n2043 E=$abc$56607$n1305 Q=I2C.i2c_start_latency -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$56607$n5 Q=$abc$56607$n14 -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n7 Q=$abc$56607$n16 -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$56607$n2059 Q=I2C.SDA_DIR -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$56607$n2226 E=I2C.FLT_SCL.RESET Q=UART.tx_activity -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n1 E=$abc$56607$n1320 Q=$abc$56607$n10 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2219 E=$abc$56607$n1315 Q=UART.tx_clk_counter[0] R=$abc$56607$n35 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2221 E=$abc$56607$n1315 Q=UART.tx_clk_counter[1] R=$abc$56607$n35 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2223 E=$abc$56607$n1315 Q=UART.tx_clk_counter[2] R=$abc$56607$n35 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2225 E=$abc$56607$n1315 Q=UART.tx_clk_counter[3] R=$abc$56607$n35 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2519 E=$abc$56607$n1320 Q=UART.tx_bit_counter[0] S=$abc$56607$n25 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2517 E=$abc$56607$n1320 Q=UART.tx_bit_counter[1] R=$abc$56607$n25 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2603 E=$abc$56607$n1320 Q=UART.tx_bit_counter[2] R=$abc$56607$n25 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2605 E=$abc$56607$n1320 Q=UART.tx_bit_counter[3] S=$abc$56607$n25 -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.attr src "top.v:21|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFF C=CLK D=$abc$51270$n2054 Q=I2C.wr +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2052 Q=I2C.is_ack +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2036 Q=I2C.byte_counter[0] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2038 Q=I2C.byte_counter[1] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2040 Q=I2C.byte_counter[2] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2042 Q=I2C.byte_counter[3] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2044 Q=I2C.byte_counter[4] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2046 Q=I2C.byte_counter[5] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2048 Q=I2C.byte_counter[6] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2050 Q=I2C.byte_counter[7] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1177 Q=I2C.received_byte[0] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1190 Q=I2C.received_byte[1] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1195 Q=I2C.received_byte[2] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1200 Q=I2C.received_byte[3] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1205 Q=I2C.received_byte[4] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1210 Q=I2C.received_byte[5] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1217 Q=I2C.received_byte[6] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1225 Q=I2C.received_byte[7] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$51270$n2028 Q=I2C.i2c_bit_counter[0] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2030 Q=I2C.i2c_bit_counter[1] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2032 Q=I2C.i2c_bit_counter[2] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2034 Q=I2C.i2c_bit_counter[3] +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2026 Q=I2C.is_read +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$51270$n2006 E=$abc$51270$n1228 Q=I2C.i2c_start_latency +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$51270$n5 Q=$abc$51270$n14 +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n7 Q=$abc$51270$n16 +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51270$n2022 Q=I2C.SDA_DIR +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$51270$n2189 E=I2C.FLT_SCL.RESET Q=UART.tx_activity +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n1 E=$abc$51270$n1231 Q=$abc$51270$n10 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2182 E=$abc$51270$n1238 Q=UART.tx_clk_counter[0] R=$abc$51270$n35 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2184 E=$abc$51270$n1238 Q=UART.tx_clk_counter[1] R=$abc$51270$n35 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2186 E=$abc$51270$n1238 Q=UART.tx_clk_counter[2] R=$abc$51270$n35 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2188 E=$abc$51270$n1238 Q=UART.tx_clk_counter[3] R=$abc$51270$n35 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2384 E=$abc$51270$n1231 Q=UART.tx_bit_counter[0] S=$abc$51270$n25 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2382 E=$abc$51270$n1231 Q=UART.tx_bit_counter[1] R=$abc$51270$n25 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2465 E=$abc$51270$n1231 Q=UART.tx_bit_counter[2] R=$abc$51270$n25 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2467 E=$abc$51270$n1231 Q=UART.tx_bit_counter[3] S=$abc$51270$n25 +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last -.attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$56607$n1328 Q=KEYBOARD.report[6][0] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$56607$n1328 Q=KEYBOARD.report[6][1] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$56607$n1328 Q=KEYBOARD.report[6][2] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$56607$n1328 Q=KEYBOARD.report[6][3] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$56607$n1328 Q=KEYBOARD.report[6][4] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$56607$n1328 Q=KEYBOARD.report[6][5] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$56607$n1328 Q=KEYBOARD.report[6][6] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$56607$n1328 Q=KEYBOARD.report[6][7] R=$abc$56607$n27 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7] -.attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1407 Q=kbd_report[5][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1407 Q=kbd_report[5][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1407 Q=kbd_report[5][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1407 Q=kbd_report[5][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1407 Q=kbd_report[5][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1407 Q=kbd_report[5][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1407 Q=kbd_report[5][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1407 Q=kbd_report[5][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1422 Q=KEYBOARD.report[4][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1422 Q=KEYBOARD.report[4][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1422 Q=KEYBOARD.report[4][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1422 Q=KEYBOARD.report[4][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1422 Q=KEYBOARD.report[4][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1422 Q=KEYBOARD.report[4][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1422 Q=KEYBOARD.report[4][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1422 Q=KEYBOARD.report[4][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1431 Q=KEYBOARD.report[3][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1431 Q=KEYBOARD.report[3][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1431 Q=KEYBOARD.report[3][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1431 Q=KEYBOARD.report[3][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1431 Q=KEYBOARD.report[3][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1431 Q=KEYBOARD.report[3][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1431 Q=KEYBOARD.report[3][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1431 Q=KEYBOARD.report[3][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1435 Q=KEYBOARD.report[2][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1435 Q=KEYBOARD.report[2][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1435 Q=KEYBOARD.report[2][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1435 Q=KEYBOARD.report[2][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1435 Q=KEYBOARD.report[2][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1435 Q=KEYBOARD.report[2][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1435 Q=KEYBOARD.report[2][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1435 Q=KEYBOARD.report[2][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1450 Q=KEYBOARD.report[1][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1450 Q=KEYBOARD.report[1][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1450 Q=KEYBOARD.report[1][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1450 Q=KEYBOARD.report[1][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1450 Q=KEYBOARD.report[1][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1450 Q=KEYBOARD.report[1][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1450 Q=KEYBOARD.report[1][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1450 Q=KEYBOARD.report[1][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2436 E=$abc$56607$n1457 Q=KEYBOARD.report[0][0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2439 E=$abc$56607$n1457 Q=KEYBOARD.report[0][1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2442 E=$abc$56607$n1457 Q=KEYBOARD.report[0][2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2445 E=$abc$56607$n1457 Q=KEYBOARD.report[0][3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2448 E=$abc$56607$n1457 Q=KEYBOARD.report[0][4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2451 E=$abc$56607$n1457 Q=KEYBOARD.report[0][5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2454 E=$abc$56607$n1457 Q=KEYBOARD.report[0][6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2457 E=$abc$56607$n1457 Q=KEYBOARD.report[0][7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[0] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[0] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2534 E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[1] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[2] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[2] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[3] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[3] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[4] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[4] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[5] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[5] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[6] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[6] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[7] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[7] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[8] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[8] R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2232 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[0] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2235 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[1] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2238 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[2] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2240 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[3] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2242 E=$abc$56607$n1470 Q=KEYBOARD.ram_wr S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n26 E=$abc$56607$n1490 Q=KEYBOARD.is_pressed -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2269 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[0] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2271 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[1] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2273 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[2] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2276 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[3] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2279 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[4] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2282 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[5] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2285 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[6] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2287 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[7] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2701 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[0] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2702 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[1] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2703 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[2] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2704 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[3] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2705 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[4] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2706 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[5] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2707 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[6] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2708 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[7] R=$abc$56607$n28 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2701 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[8] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2702 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[9] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2703 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[10] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2704 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[11] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2705 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[12] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2706 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[13] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2707 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[14] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2708 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[15] R=$abc$56607$n29 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2433 E=$abc$56607$n1483 Q=KEYBOARD.isr R=$abc$56607$n35 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2291 E=$abc$56607$n1484 Q=KEYBOARD.temp[0] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2293 E=$abc$56607$n1484 Q=KEYBOARD.temp[1] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2295 E=$abc$56607$n1484 Q=KEYBOARD.temp[2] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2297 E=$abc$56607$n1484 Q=KEYBOARD.temp[3] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2299 E=$abc$56607$n1484 Q=KEYBOARD.temp[4] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2301 E=$abc$56607$n1484 Q=KEYBOARD.temp[5] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2303 E=$abc$56607$n1484 Q=KEYBOARD.temp[6] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$56607$n2305 E=$abc$56607$n1484 Q=KEYBOARD.temp[7] S=$abc$56607$n31 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2244 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[0] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2246 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[1] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2248 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[2] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$56607$n2250 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[3] -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[0] E=$abc$56607$n1490 Q=KEYBOARD.row_time[0] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2536 E=$abc$56607$n1489 Q=KEYBOARD.row_time[1] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[2] E=$abc$56607$n1490 Q=KEYBOARD.row_time[2] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[3] E=$abc$56607$n1490 Q=KEYBOARD.row_time[3] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[4] E=$abc$56607$n1490 Q=KEYBOARD.row_time[4] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[5] E=$abc$56607$n1490 Q=KEYBOARD.row_time[5] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[6] E=$abc$56607$n1490 Q=KEYBOARD.row_time[6] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[7] E=$abc$56607$n1490 Q=KEYBOARD.row_time[7] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[8] E=$abc$56607$n1490 Q=KEYBOARD.row_time[8] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[9] E=$abc$56607$n1490 Q=KEYBOARD.row_time[9] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[10] E=$abc$56607$n1490 Q=KEYBOARD.row_time[10] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[11] E=$abc$56607$n1490 Q=KEYBOARD.row_time[11] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[12] E=$abc$56607$n1490 Q=KEYBOARD.row_time[12] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[13] E=$abc$56607$n1490 Q=KEYBOARD.row_time[13] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[14] E=$abc$56607$n1490 Q=KEYBOARD.row_time[14] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[15] E=$abc$56607$n1490 Q=KEYBOARD.row_time[15] R=$abc$56607$n33 -.attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2472 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[0] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[1] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[2] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[3] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[4] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2474 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[5] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2476 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[6] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$56607$n2478 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[7] R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$56607$n35 -.attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFR C=CLK D=$abc$56607$n2061 Q=I2C.i2c_state_machine R=$abc$56607$n35 -.attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$56607$n1501 Q=I2C.FLT_SDA.out S=$abc$56607$n35 -.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$56607$n2479 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[0] R=$abc$56607$n35 -.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$56607$n2480 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[1] R=$abc$56607$n35 -.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$56607$n2481 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[2] R=$abc$56607$n35 -.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$56607$n1511 Q=I2C.FLT_SCL.out S=$abc$56607$n35 -.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$56607$n2482 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[0] R=$abc$56607$n35 -.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$56607$n2483 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[1] R=$abc$56607$n35 -.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$56607$n2484 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[2] R=$abc$56607$n35 -.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1258 Q=KEYBOARD.report[5][0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1258 Q=KEYBOARD.report[5][1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1258 Q=KEYBOARD.report[5][2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1258 Q=KEYBOARD.report[5][3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1258 Q=KEYBOARD.report[5][4] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1258 Q=KEYBOARD.report[5][5] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1258 Q=KEYBOARD.report[5][6] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1258 Q=KEYBOARD.report[5][7] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$51270$n1313 Q=KEYBOARD.report[6][0] R=$abc$51270$n27 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$51270$n1313 Q=KEYBOARD.report[6][1] R=$abc$51270$n27 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$51270$n1313 Q=KEYBOARD.report[6][2] R=$abc$51270$n27 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$51270$n1313 Q=KEYBOARD.report[6][3] R=$abc$51270$n27 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$51270$n1313 Q=KEYBOARD.report[6][4] R=$abc$51270$n27 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$51270$n1313 Q=KEYBOARD.report[6][5] R=$abc$51270$n27 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$51270$n1313 Q=KEYBOARD.report[6][6] R=$abc$51270$n27 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$51270$n1313 Q=KEYBOARD.report[6][7] R=$abc$51270$n27 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1325 Q=KEYBOARD.report[4][0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1325 Q=KEYBOARD.report[4][1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1325 Q=KEYBOARD.report[4][2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1325 Q=KEYBOARD.report[4][3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1325 Q=KEYBOARD.report[4][4] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1325 Q=KEYBOARD.report[4][5] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1325 Q=KEYBOARD.report[4][6] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1325 Q=KEYBOARD.report[4][7] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1354 Q=KEYBOARD.report[3][0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1354 Q=KEYBOARD.report[3][1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1354 Q=KEYBOARD.report[3][2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1354 Q=KEYBOARD.report[3][3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1354 Q=KEYBOARD.report[3][4] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1354 Q=KEYBOARD.report[3][5] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1354 Q=KEYBOARD.report[3][6] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1354 Q=KEYBOARD.report[3][7] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1365 Q=KEYBOARD.report[2][0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1365 Q=KEYBOARD.report[2][1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1365 Q=KEYBOARD.report[2][2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1365 Q=KEYBOARD.report[2][3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1365 Q=KEYBOARD.report[2][4] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1365 Q=KEYBOARD.report[2][5] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1365 Q=KEYBOARD.report[2][6] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1365 Q=KEYBOARD.report[2][7] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1374 Q=KEYBOARD.report[1][0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1374 Q=KEYBOARD.report[1][1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1374 Q=KEYBOARD.report[1][2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1374 Q=KEYBOARD.report[1][3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1374 Q=KEYBOARD.report[1][4] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1374 Q=KEYBOARD.report[1][5] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1374 Q=KEYBOARD.report[1][6] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1374 Q=KEYBOARD.report[1][7] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2289 E=$abc$51270$n1381 Q=KEYBOARD.report[0][0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2292 E=$abc$51270$n1381 Q=KEYBOARD.report[0][1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2295 E=$abc$51270$n1381 Q=KEYBOARD.report[0][2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2298 E=$abc$51270$n1381 Q=KEYBOARD.report[0][3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2301 E=$abc$51270$n1381 Q=KEYBOARD.report[0][4] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2304 E=$abc$51270$n1381 Q=KEYBOARD.report[0][5] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2307 E=$abc$51270$n1381 Q=KEYBOARD.report[0][6] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2310 E=$abc$51270$n1381 Q=KEYBOARD.report[0][7] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[0] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2400 E=$abc$51270$n1387 Q=KEYBOARD.init_ram_cnt[1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[2] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[3] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[4] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[4] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[5] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[5] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[6] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[6] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[7] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[7] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[8] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[8] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[0] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2399 E=$abc$51270$n1393 Q=KEYBOARD.init_delay_cnt[1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[2] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[3] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2194 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[0] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2197 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[1] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2200 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[2] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2202 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[3] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[8] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[8] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2216 E=$abc$51270$n1320 Q=KEYBOARD.ram_wr S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n26 E=$abc$51270$n1418 Q=KEYBOARD.is_pressed +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2267 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[0] S=$abc$51270$n29 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2268 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[1] S=$abc$51270$n29 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2269 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[2] S=$abc$51270$n29 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2270 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[3] S=$abc$51270$n29 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2271 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[4] S=$abc$51270$n29 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2272 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[5] S=$abc$51270$n29 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2273 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[6] S=$abc$51270$n29 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2274 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[7] S=$abc$51270$n29 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2246 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[1] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2249 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[2] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2252 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[3] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2255 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[4] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2258 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[5] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2261 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[6] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2264 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[7] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2575 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[0] R=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2576 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[1] R=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2577 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[2] R=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2578 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[3] R=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2579 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[4] R=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2580 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[5] R=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2581 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[6] R=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2582 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[7] R=$abc$51270$n30 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2575 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[8] R=$abc$51270$n31 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2576 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[9] R=$abc$51270$n31 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2577 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[10] R=$abc$51270$n31 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2578 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[11] R=$abc$51270$n31 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2579 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[12] R=$abc$51270$n31 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2580 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[13] R=$abc$51270$n31 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2581 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[14] R=$abc$51270$n31 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2582 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[15] R=$abc$51270$n31 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2285 E=$abc$51270$n1415 Q=KEYBOARD.isr R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2276 E=$abc$51270$n1417 Q=KEYBOARD.temp[0] S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2280 E=$abc$51270$n1417 Q=KEYBOARD.temp[1] S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2278 E=$abc$51270$n1417 Q=KEYBOARD.temp[2] S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2281 E=$abc$51270$n1417 Q=KEYBOARD.temp[3] S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2277 E=$abc$51270$n1417 Q=KEYBOARD.temp[4] S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2282 E=$abc$51270$n1417 Q=KEYBOARD.temp[5] S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2283 E=$abc$51270$n1417 Q=KEYBOARD.temp[6] S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51270$n2279 E=$abc$51270$n1417 Q=KEYBOARD.temp[7] S=$abc$51270$n33 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2206 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[0] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2208 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[1] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2210 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[2] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51270$n2212 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[3] +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1609 E=$abc$51270$n1257 Q=KEYBOARD.row_time[0] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1610 E=$abc$51270$n1257 Q=KEYBOARD.row_time[1] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1612 E=$abc$51270$n1257 Q=KEYBOARD.row_time[2] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1613 E=$abc$51270$n1257 Q=KEYBOARD.row_time[3] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1607 E=$abc$51270$n1257 Q=KEYBOARD.row_time[4] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n396 E=$abc$51270$n1257 Q=KEYBOARD.row_time[5] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n394 E=$abc$51270$n1257 Q=KEYBOARD.row_time[6] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n383 E=$abc$51270$n1257 Q=KEYBOARD.row_time[7] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1617 E=$abc$51270$n1257 Q=KEYBOARD.row_time[8] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1618 E=$abc$51270$n1257 Q=KEYBOARD.row_time[9] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1620 E=$abc$51270$n1257 Q=KEYBOARD.row_time[10] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1621 E=$abc$51270$n1257 Q=KEYBOARD.row_time[11] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n1626 E=$abc$51270$n1257 Q=KEYBOARD.row_time[12] R=$abc$51270$n35 +.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2325 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[0] R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[1] R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[2] R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[3] R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[4] R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2327 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[5] R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2329 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[6] R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51270$n2331 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[7] R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$51270$n35 +.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFR C=CLK D=$abc$51270$n2024 Q=I2C.i2c_state_machine R=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$51270$n1429 Q=I2C.FLT_SDA.out S=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$51270$n2332 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[0] R=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$51270$n2333 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[1] R=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$51270$n2334 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[2] R=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$51270$n1439 Q=I2C.FLT_SCL.out S=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$51270$n2335 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[0] R=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$51270$n2336 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[1] R=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$51270$n2337 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[2] R=$abc$51270$n35 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA -.attr src "i2c_slave.v:178" +.attr src "top.v:21|i2c_slave.v:178" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false -.attr src "descriptors.v:143" +.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_TX_DESC[3] RDATA[4]=I2C_TX_DESC[4] RDATA[5]=I2C_TX_DESC[5] RDATA[6]=I2C_TX_DESC[6] RDATA[7]=I2C_TX_DESC[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.attr src "top.v:41|descriptors.v:143" .param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011 .param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000 @@ -3609,7 +3438,7 @@ .param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3629,8 +3458,8 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false -.attr src "matrix_kbd.v:161" +.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.attr src "top.v:39|matrix_kbd.v:189" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 @@ -3644,70 +3473,70 @@ .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] -.attr src "matrix_kbd.v:187" +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3863,16 +3692,6 @@ 1 1 .names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] 1 1 -.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] -1 1 -.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] -1 1 -.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] -1 1 -.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] -1 1 -.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] -1 1 .names I2C.wr I2C_WR 1 1 .names COM_DCD INT @@ -3937,7 +3756,7 @@ 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] 1 1 -.names $undef KEYBOARD.RAM.raddr[8] +.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.raddr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 @@ -3971,7 +3790,7 @@ 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] 1 1 -.names $undef KEYBOARD.RAM.waddr[8] +.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.waddr[8] 1 1 .names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] 1 1 @@ -4105,9 +3924,21 @@ 1 1 .names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] 1 1 -.names kbd_report[5][0] KEYBOARD.kbd_r6[0] +.names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0] +1 1 +.names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1] 1 1 -.names kbd_report[5][1] KEYBOARD.kbd_r6[1] +.names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2] +1 1 +.names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3] +1 1 +.names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4] +1 1 +.names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5] +1 1 +.names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6] +1 1 +.names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7] 1 1 .names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] 1 1 @@ -4125,8 +3956,6 @@ 1 1 .names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7] 1 1 -.names $undef KEYBOARD.ram_adr[8] -1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1] @@ -4143,10 +3972,6 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 -.names kbd_report[5][0] KEYBOARD.report[5][0] -1 1 -.names kbd_report[5][1] KEYBOARD.report[5][1] -1 1 .names I2C_TRANS LED5 1 1 .names CLK REPORT_DATA.clk @@ -4335,6 +4160,22 @@ 1 1 .names KEYBOARD.report[4][7] kbd_report[4][7] 1 1 +.names KEYBOARD.report[5][0] kbd_report[5][0] +1 1 +.names KEYBOARD.report[5][1] kbd_report[5][1] +1 1 +.names KEYBOARD.report[5][2] kbd_report[5][2] +1 1 +.names KEYBOARD.report[5][3] kbd_report[5][3] +1 1 +.names KEYBOARD.report[5][4] kbd_report[5][4] +1 1 +.names KEYBOARD.report[5][5] kbd_report[5][5] +1 1 +.names KEYBOARD.report[5][6] kbd_report[5][6] +1 1 +.names KEYBOARD.report[5][7] kbd_report[5][7] +1 1 .names KEYBOARD.report[6][0] kbd_report[6][0] 1 1 .names KEYBOARD.report[6][1] kbd_report[6][1] diff --git a/i2c_keyboard/i2c_kbd_alt.bin b/i2c_keyboard/i2c_kbd_alt.bin index 53e8fa1..8d84e19 100644 Binary files a/i2c_keyboard/i2c_kbd_alt.bin and b/i2c_keyboard/i2c_kbd_alt.bin differ diff --git a/i2c_keyboard/i2c_kbd_alt.blif b/i2c_keyboard/i2c_kbd_alt.blif index 219f03a..0b2cb3a 100644 --- a/i2c_keyboard/i2c_kbd_alt.blif +++ b/i2c_keyboard/i2c_kbd_alt.blif @@ -1,4 +1,4 @@ -# Generated by Yosys 0.5+ (git sha1 f13e387, gcc 5.3.1-8ubuntu2 -O2 -fstack-protector-strong -fPIC -Os) +# Generated by Yosys 0.8+53 (git sha1 ab97edd, clang 3.8.0-2ubuntu4 -fPIC -Os) .model top .inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] @@ -7,3737 +7,4701 @@ .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$123635$n705 I1=$abc$123635$n700 I2=$abc$123635$n658 I3=$abc$123635$n710 O=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001011101 -.gate SB_LUT4 I0=$abc$123635$n691 I1=$abc$123635$n685 I2=$abc$123635$n659 I3=$abc$123635$n696 O=$abc$123635$n658 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101110100000000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$123635$n660 I2=$abc$123635$n681 I3=$abc$123635$n677 O=$abc$123635$n659 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n673 I1=$abc$123635$n676 I2=$abc$123635$n661 I3=$abc$123635$n668_1 O=$abc$123635$n660 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101110100001101 -.gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n665 I2=$abc$123635$n667 I3=$false O=$abc$123635$n661 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n664 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$123635$n662 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$123635$n663_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$123635$n664 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n678 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$51271$n678 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n666 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$123635$n665 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$123635$n666 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n667 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000100000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$123635$n668_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110001010011 -.gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n670_1 I2=$abc$123635$n671_1 I3=$abc$123635$n672 O=$abc$123635$n669_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[11] O=$abc$123635$n670_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$51271$n681 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n2189 I1=$abc$51271$n688 I2=$abc$51271$n683 I3=UART.tx_activity O=$abc$51271$n3 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$abc$51271$n687 I1=$abc$51271$n684 I2=$abc$51271$n2359 I3=$abc$51271$n2345 O=$abc$51271$n683 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$51271$n685 I3=$false O=$abc$51271$n684 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51271$n2380 I1=$abc$51271$n2381 I2=$false I3=$false O=$abc$51271$n685 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$51271$n2380 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$51271$n685 I3=$false O=$abc$51271$n687 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51271$n690 I1=$abc$51271$n689 I2=$abc$51271$n2345 I3=$abc$51271$n2359 O=$abc$51271$n688 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$51271$n685 I3=$false O=$abc$51271$n689 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$51271$n685 I3=$false O=$abc$51271$n690 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51271$n693 I2=$false I3=$false O=$abc$51271$n21 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$51271$n694 I3=I2C.byte_counter[1] O=$abc$51271$n693 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n671_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$123635$n672 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010000000000000 -.gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n666 I2=$abc$123635$n674 I3=$abc$123635$n675 O=$abc$123635$n673 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n674 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000100 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$123635$n675 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$123635$n676 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010110001010011 -.gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n671_1 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n677 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n679 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$123635$n678 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n680 I2=$false I3=$false O=$abc$123635$n679 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$51271$n694 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51271$n696 I1=$abc$51271$n29 I2=$abc$51271$n1499_1 I3=KEYBOARD.is_pressed O=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000011111101 +.gate SB_LUT4 I0=$abc$51271$n697 I1=$abc$51271$n710 I2=$abc$51271$n712 I3=$abc$51271$n701 O=$abc$51271$n696 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51271$n2246 I1=$abc$51271$n700 I2=$false I3=$false O=$abc$51271$n697 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[11] O=$abc$123635$n680 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010000000000000 -.gate SB_LUT4 I0=$abc$123635$n683 I1=$abc$123635$n684 I2=$abc$123635$n661 I3=$abc$123635$n682 O=$abc$123635$n681 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$123635$n682 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011001010 -.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$123635$n683 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011001010 -.gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n666 I2=$abc$123635$n674 I3=$abc$123635$n675 O=$abc$123635$n684 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n686 I1=$abc$123635$n677 I2=$abc$123635$n688 I3=$false O=$abc$123635$n685 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n687 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$false O=$abc$123635$n686 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001110 -.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n687 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2246 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n679 I1=$abc$123635$n689 I2=$abc$123635$n690 I3=$false O=$abc$123635$n688 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n699 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n396 I2=$abc$51271$n394 I3=$false O=$abc$51271$n700 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n702 I1=$abc$51271$n704 I2=$abc$51271$n706 I3=$abc$51271$n708 O=$abc$51271$n701 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$51271$n703 I2=$false I3=$false O=$abc$51271$n702 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n394 I1=$abc$51271$n383 I2=$abc$51271$n396 I3=$false O=$abc$51271$n703 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$51271$n705 I2=$false I3=$false O=$abc$51271$n704 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n705 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$51271$n707 I2=$false I3=$false O=$abc$51271$n706 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n707 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n709 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$51271$n699 I3=KBD_COLUMNS[0] O=$abc$51271$n708 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n709 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n689 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n690 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n711 I1=KEYBOARD.COLS_SHADOW[6] I2=$false I3=$false O=$abc$51271$n710 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n396 I1=$abc$51271$n394 I2=$abc$51271$n383 I3=$false O=$abc$51271$n711 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n394 I1=$abc$51271$n396 I2=$abc$51271$n383 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$51271$n712 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$123635$n692 I1=$abc$123635$n694 I2=$false I3=$false O=$abc$123635$n691 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n693 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n692 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n722 I1=$abc$51271$n725 I2=$abc$51271$n1618 I3=$false O=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111111 +.gate SB_LUT4 I0=$abc$51271$n1607 I1=$abc$51271$n724 I2=$false I3=$false O=$abc$51271$n722 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$51271$n1607 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$51271$n1604 I1=$abc$51271$n1606 I2=$abc$51271$n1609 I3=$abc$51271$n1610 O=$abc$51271$n724 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51271$n1614 I1=$abc$51271$n1615 I2=$abc$51271$n1617 I3=$abc$51271$n1623 O=$abc$51271$n725 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n693 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n754 I1=$abc$51271$n746 I2=$abc$51271$n922 I3=$abc$51271$n727 O=$abc$51271$n751 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$51271$n737 I1=$abc$51271$n741 I2=$abc$51271$n745 I3=$abc$51271$n728 O=$abc$51271$n727 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$123635$n695 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n688 O=$abc$123635$n694 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111000000000 -.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.RAM.r_data[4] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n695 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n698 I1=$abc$123635$n692 I2=$abc$123635$n697 I3=$false O=$abc$123635$n696 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n674 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n697 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=$abc$123635$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$123635$n698 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10001110 -.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n699 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n701 I1=$abc$123635$n703 I2=$false I3=$false O=$abc$123635$n700 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n702 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$123635$n697 O=$abc$123635$n701 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111000000000 -.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n702 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n679 I1=$abc$123635$n704 I2=$abc$123635$n667 I3=$false O=$abc$123635$n703 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n734 O=$abc$51271$n728 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101011 +.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n22 I2=$false I3=$false O=$abc$51271$n778 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$51271$n731 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51271$n730 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n732 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$51271$n731 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n704 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$51271$n733 I3=$false O=$abc$51271$n732 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[4] I2=init_ram_cnt[6] I3=init_ram_cnt[3] O=$abc$51271$n733 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n735 I2=$abc$51271$n22 I3=$false O=$abc$51271$n734 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n736 I1=KEYBOARD.isr I2=last_isr I3=I2C.FLT_SCL.RESET O=$abc$51271$n735 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$51271$n736 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n738 I2=$abc$51271$n740 I3=$false O=$abc$51271$n737 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n706 I1=$abc$123635$n708 I2=$false I3=$false O=$abc$123635$n705 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n707 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$123635$n703 O=$abc$123635$n706 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000100000000 -.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n707 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n709 I1=$abc$123635$n663_1 I2=$abc$123635$n670_1 I3=$abc$123635$n671_1 O=$abc$123635$n708 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n709 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n711 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$123635$n708 O=$abc$123635$n710 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111000000000 -.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KEYBOARD.RAM.r_data[0] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n711 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$123635$n689 I1=$abc$123635$n714 I2=$abc$123635$n663_1 I3=$abc$123635$n715 O=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n664 I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=$false O=$abc$123635$n714 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=KEYBOARD.row_time[6] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$123635$n715 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=RESET -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n718 I1=$abc$123635$n730 I2=$abc$123635$n732_1 I3=$abc$123635$n733 O=$auto$dff2dffe.cc:175:make_patterns_logic$100411 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000010000 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n727 I2=$false I3=$false O=$abc$123635$n718 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n720 I1=$abc$123635$n726_1 I2=$false I3=$false O=$abc$123635$n719 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n739 I1=$abc$51271$n22 I2=$false I3=$false O=$abc$51271$n738 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n725_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n720 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n722 I1=RESET I2=KEYBOARD.isr I3=last_isr O=$abc$123635$n721 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000100 -.gate SB_LUT4 I0=$abc$123635$n723 I1=$abc$123635$n724 I2=init_ram_cnt[7] I3=init_ram_cnt[5] O=$abc$123635$n722 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=init_ram_cnt[3] I1=init_ram_cnt[1] I2=init_ram_cnt[0] I3=init_ram_cnt[2] O=$abc$123635$n723 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=init_ram_cnt[4] I1=init_ram_cnt[6] I2=$false I3=$false O=$abc$123635$n724 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n725_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$123635$n726_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n728 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n727 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[1] O=$abc$123635$n728 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$123635$n729_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n731_1 I2=$abc$123635$n719 I3=$false O=$abc$123635$n730 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$123635$n731_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n720 I1=RESET I2=$false I3=$false O=$abc$123635$n732_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n734_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n733 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n736 I3=$false O=$abc$51271$n739 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$51271$n740 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n742 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n744 I3=$false O=$abc$51271$n741 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n725_1 I1=$abc$123635$n726_1 I2=$false I3=$false O=$abc$123635$n734_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n736 I1=$abc$123635$n720 I2=$abc$123635$n739 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$100674 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n739 I1=$abc$51271$n743 I2=$false I3=$false O=$abc$51271$n742 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n740 I1=I2C_TRANS I2=last_trans I3=$abc$51271$n19 O=$abc$51271$n743 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=UART.tx_activity I1=uart_double_ff I2=last_uart_active I3=$false O=$abc$51271$n744 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=$abc$123635$n738 I2=$false I3=$false O=$abc$123635$n736 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n722 I1=RESET I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$99517 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10111111 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$123635$n738 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n22 I1=$abc$51271$n731 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n745 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n749 I2=$false I3=$false O=$abc$51271$n746 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n748 I2=$abc$51271$n22 I3=$false O=$abc$51271$n747 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51271$n740 I1=$abc$51271$n739 I2=$false I3=$false O=$abc$51271$n748 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=RESET I1=$abc$123635$n722 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n739 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110101 -.gate SB_LUT4 I0=$abc$123635$n743_1 I1=$abc$123635$n742 I2=$abc$123635$n741_1 I3=$abc$123635$n736 O=$auto$dff2dffe.cc:175:make_patterns_logic$100744 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010100011 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n725_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n741_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=KEYBOARD.isr I2=last_isr I3=$abc$123635$n739 O=$abc$123635$n742 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110101100000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n743_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n745 I1=$abc$123635$n730 I2=$abc$123635$n749 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$auto$dff2dffe.cc:175:make_patterns_logic$102697 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010000000000000 -.gate SB_LUT4 I0=$abc$123635$n747_1 I1=RESET I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$abc$123635$n746 O=$abc$123635$n745 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$123635$n741_1 I1=$abc$123635$n736 I2=$false I3=$false O=$abc$123635$n746 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$2\UART_WR[0:0] I1=$abc$51271$n678 I2=$false I3=$false O=$abc$51271$n749 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n748 I2=$false I3=$false O=$abc$123635$n747_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$123635$n748 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n733 I1=RESET I2=$false I3=$false O=$abc$123635$n749 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$abc$51271$n751_1 I3=$false O=$2\UART_WR[0:0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n19 I2=$false I3=$false O=$abc$51271$n751_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n743_1 I1=$abc$123635$n742 I2=$abc$123635$n751 I3=$abc$123635$n741_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$103374 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101000000110000 -.gate SB_LUT4 I0=$abc$123635$n738 I1=$abc$123635$n722 I2=RESET I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n751 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001111111111111 -.gate SB_LUT4 I0=$abc$123635$n753 I1=$abc$123635$n719 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$104178 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n762 I2=$abc$123635$n754 I3=$abc$123635$n766 O=$abc$123635$n753 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$123635$n755_1 I1=$abc$123635$n727 I2=$abc$123635$n764_1 I3=I2C.is_read O=$abc$123635$n754 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n763 I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=$abc$123635$n756 O=$abc$123635$n755_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101111100000000 -.gate SB_LUT4 I0=$abc$123635$n757 I1=$abc$123635$n761_1 I2=$abc$123635$n762 I3=I2C.is_read O=$abc$123635$n756 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=$abc$123635$n758_1 I1=$abc$123635$n759 I2=$false I3=$false O=$abc$123635$n757 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n728 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n758_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n759 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$123635$n760 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[1] I3=$false O=$abc$123635$n761_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n678 I2=$abc$51271$n19 I3=$false O=$abc$51271$n922 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n762 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=$abc$123635$n729_1 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$123635$n763 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n760 I2=$abc$123635$n765 I3=I2C.is_read O=$abc$123635$n764_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$123635$n765 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n767 I2=$abc$123635$n757 I3=$abc$123635$n768 O=$abc$123635$n766 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010111010 -.gate SB_LUT4 I0=$abc$123635$n761_1 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n767 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$false I3=$false O=$abc$51271$n754 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n746 I1=$abc$51271$n922 I2=$abc$51271$n756_1 I3=$false O=$abc$51271$n756 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n744 I1=$abc$51271$n757 I2=$abc$51271$n737 I3=$abc$51271$n759 O=$abc$51271$n756_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$51271$n758 I1=$abc$51271$n743 I2=$false I3=$false O=$abc$51271$n757 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n769 I2=I2C.byte_counter[2] I3=I2C.byte_counter[3] O=$abc$123635$n768 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=$abc$123635$n770_1 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n769 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n739 I2=$abc$51271$n22 I3=$false O=$abc$51271$n758 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51271$n778 I1=$abc$51271$n734 I2=KEYBOARD.isr I3=last_isr O=$abc$51271$n759 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$51271$n768 I1=$abc$51271$n761 I2=$abc$51271$n780 I3=$false O=$abc$51271$n819 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n765 I1=$abc$51271$n922 I2=$abc$51271$n763 I3=$abc$51271$n762 O=$abc$51271$n761 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$abc$51271$n751_1 I1=$abc$51271$n747 I2=$abc$51271$n734 I3=$abc$51271$n737 O=$abc$51271$n762 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000001011 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$abc$51271$n754 I2=$abc$51271$n749 I3=$abc$51271$n747 O=$abc$51271$n763 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011111011111111 +.gate SB_LUT4 I0=$abc$51271$n2419 I1=$abc$51271$n766_1 I2=$false I3=$false O=$abc$51271$n765 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n767 I1=I2C.is_read I2=$false I3=$false O=$abc$51271$n766_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[2] I3=$false O=$abc$123635$n770_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n772 I1=$abc$123635$n792 I2=$abc$123635$n801 I3=$abc$123635$n802 O=$auto$dff2dffe.cc:175:make_patterns_logic$106376 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000100 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n773_1 I2=$abc$123635$n780_1 I3=$abc$123635$n787 O=$abc$123635$n772 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010100010101010 -.gate SB_LUT4 I0=$abc$123635$n776_1 I1=$abc$123635$n764_1 I2=$abc$123635$n774_1 I3=$abc$123635$n727 O=$abc$123635$n773_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n775 I2=I2C.is_read I3=$abc$123635$n756 O=$abc$123635$n774_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011111101 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$123635$n775 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n770_1 I1=$abc$123635$n777_1 I2=$abc$123635$n779_1 I3=$abc$123635$n757 O=$abc$123635$n776_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101010100000000 -.gate SB_LUT4 I0=$abc$123635$n778 I1=I2C.received_byte[5] I2=$false I3=$false O=$abc$123635$n777_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$123635$n778 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n779_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51271$n767 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n777 I1=$abc$51271$n771 I2=$abc$51271$n769 I3=$false O=$abc$51271$n768 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$51271$n778 I1=$abc$51271$n742 I2=$false I3=$false O=$abc$51271$n769 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n754 I1=$abc$51271$n749 I2=$abc$51271$n747 I3=$false O=$abc$51271$n770 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_TRANS I1=UART_WR I2=$abc$51271$n767 I3=$abc$51271$n772 O=$abc$51271$n771 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$123635$n775 I1=$abc$123635$n784 I2=$abc$123635$n786 I3=$abc$123635$n781 O=$abc$123635$n780_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$123635$n783_1 I3=$abc$123635$n782_1 O=$abc$123635$n781 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$123635$n769 I3=$abc$123635$n762 O=$abc$123635$n782_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$123635$n770_1 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n783_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n777_1 I1=$abc$123635$n785_1 I2=$false I3=$false O=$abc$123635$n784 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n773 I1=$abc$51271$n775 I2=$abc$51271$n776 I3=$false O=$abc$51271$n772 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51271$n774 I1=int_tmr[12] I2=int_tmr[13] I3=int_tmr[14] O=$abc$51271$n773 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=int_tmr[8] I1=int_tmr[9] I2=int_tmr[10] I3=int_tmr[11] O=$abc$51271$n774 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=int_tmr[4] I1=int_tmr[5] I2=int_tmr[6] I3=int_tmr[7] O=$abc$51271$n775 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=int_tmr[0] I1=int_tmr[1] I2=int_tmr[2] I3=int_tmr[3] O=$abc$51271$n776 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$51271$n778_1 I3=$abc$51271$n779 O=$abc$51271$n777 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$51271$n778_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$51271$n779 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n780 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$51271$n785 I1=$abc$51271$n783 I2=$abc$51271$n787 I3=$abc$51271$n761 O=$abc$51271$n861 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51271$n728 I1=$abc$51271$n784 I2=$false I3=$false O=$abc$51271$n783 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n785_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=$abc$123635$n770_1 I1=$abc$123635$n777_1 I2=I2C.received_byte[3] I3=I2C.received_byte[2] O=$abc$123635$n786 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010100010 -.gate SB_LUT4 I0=$abc$123635$n790 I1=$abc$123635$n767 I2=$abc$123635$n788 I3=$abc$123635$n791 O=$abc$123635$n787 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n19 I1=$abc$51271$n758 I2=$abc$51271$n745 I3=$abc$51271$n737 O=$abc$51271$n784 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000001011 -.gate SB_LUT4 I0=$abc$123635$n758_1 I1=$abc$123635$n777_1 I2=$abc$123635$n789 I3=I2C.is_read O=$abc$123635$n788 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n789 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n789 I1=$abc$123635$n777_1 I2=$abc$123635$n770_1 I3=$false O=$abc$123635$n790 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n760 I2=$abc$123635$n765 I3=I2C.is_read O=$abc$123635$n791 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n793 I2=$abc$123635$n720 I3=$false O=$abc$123635$n792 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$auto$dff2dffe.cc:175:make_patterns_logic$99418 I2=$false I3=$false O=$abc$123635$n793 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=int_tmr[0] I1=$abc$51271$n770 I2=$false I3=$false O=$abc$51271$n785 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n772 I1=UART_WR I2=$false I3=$false O=$abc$51271$n786 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n795 I1=$abc$123635$n800 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99418 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n796_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$abc$123635$n795 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n797 I1=$abc$123635$n725_1 I2=$false I3=$false O=$abc$123635$n796_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123580 I1=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I2=$false I3=$false O=$abc$123635$n797 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$98030[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n786 I1=$abc$51271$n769 I2=$abc$51271$n788 I3=$false O=$abc$51271$n787 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$51271$n747 I1=$2\uart_double_ff[0:0] I2=$false I3=$false O=$abc$51271$n788 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$123635$n800 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n786 I1=$abc$51271$n769 I2=$abc$51271$n790 I3=$abc$51271$n761 O=$abc$51271$n871 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n790 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101011 +.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n778 I2=$abc$51271$n745 I3=$false O=$abc$51271$n926 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$51271$n728 I1=$abc$51271$n793 I2=$abc$51271$n794 I3=$false O=$abc$51271$n940 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51271$n766_1 I1=$abc$51271$n737 I2=$abc$51271$n747 I3=$false O=$abc$51271$n793 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$51271$n21 I1=$abc$51271$n745 I2=$abc$51271$n737 I3=$false O=$abc$51271$n794 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$51271$n758 I1=$abc$51271$n780 I2=$false I3=$false O=$abc$51271$n943 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n795 I1=$abc$123635$n800 I2=$false I3=$false O=$abc$123635$n801 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n720 I1=$auto$rtlil.cc:1692:NotGate$123580 I2=$false I3=$false O=$abc$123635$n802 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n741_1 I1=$abc$123635$n742 I2=$abc$123635$n733 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$106593 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000100 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$109998 I1=$abc$123635$n806 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$107370 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n798 I1=$abc$51271$n734 I2=I2C.FLT_SCL.RESET I3=$abc$51271$n797 O=$abc$51271$n951 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n739 I3=$abc$51271$n778 O=$abc$51271$n797 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$51271$n798 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51271$n790 I1=$abc$51271$n800 I2=$abc$51271$n798 I3=$abc$51271$n734 O=$abc$51271$n955 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111100010001 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n731 I3=$abc$51271$n22 O=$abc$51271$n800 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$51271$n748 I1=$abc$51271$n803 I2=$abc$51271$n759 I3=$false O=$abc$51271$n971 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n21 I1=report_data_radr[0] I2=$abc$51271$n766_1 I3=$false O=$abc$51271$n803 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$51271$n734 I1=$abc$51271$n793 I2=$abc$51271$n790 I3=I2C.FLT_SCL.RESET O=$abc$51271$n977 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$51271$n694 I2=I2C.byte_counter[2] I3=$false O=$abc$51271$n809 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=$abc$51271$n811 I3=$false O=$abc$51271$n810 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$51271$n811 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n802 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$109998 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n746 I1=$abc$123635$n801 I2=$abc$123635$n807 I3=$abc$123635$n739 O=$abc$123635$n806 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n808 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n807 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n734_1 I1=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I2=$auto$rtlil.cc:1692:NotGate$123580 I3=$false O=$abc$123635$n808 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$99418 I1=$abc$123635$n810 I2=$abc$123635$n826 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$109317 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$123635$n811 I1=$abc$123635$n824 I2=$abc$123635$n825 I3=I2C.is_read O=$abc$123635$n810 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000010 -.gate SB_LUT4 I0=$abc$123635$n812_1 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$123635$n811 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$123635$n812_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n814 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51271$n813 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n814 I1=$abc$123635$n819_1 I2=$false I3=$false O=$abc$123635$n813 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n811 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$51271$n814 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n817 I1=$abc$123635$n818 I2=$abc$123635$n769 I3=$abc$123635$n815 O=$abc$123635$n814 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$123635$n775 I1=$abc$123635$n816 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n815 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010100010 -.gate SB_LUT4 I0=$abc$123635$n817 I1=I2C_INPUT_LEN[2] I2=I2C_INPUT_LEN[3] I3=$false O=$abc$123635$n816 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$123635$n817 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n826 I1=$abc$51271$n825 I2=$abc$51271$n821 I3=$false O=$abc$51271$n820 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$51271$n814 I1=$abc$51271$n822 I2=I2C.byte_counter[1] I3=I2C.byte_counter[0] O=$abc$51271$n821 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=i2c_input_data_type[0] I2=I2C.received_byte[1] I3=$abc$51271$n823 O=$abc$51271$n822 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=$abc$51271$n824 I3=$false O=$abc$51271$n823 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$51271$n824 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n818 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001000000 -.gate SB_LUT4 I0=$abc$123635$n765 I1=$abc$123635$n821 I2=$abc$123635$n822 I3=$abc$123635$n820 O=$abc$123635$n819_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=$abc$123635$n816 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$123635$n783_1 O=$abc$123635$n820 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101111100000000 -.gate SB_LUT4 I0=$abc$123635$n816 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$123635$n821 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=$abc$123635$n823_1 I1=$abc$123635$n817 I2=$abc$123635$n765 I3=$auto$alumacc.cc:484:replace_alu$76232[3] O=$abc$123635$n822 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n823_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n824 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$123635$n825 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=$abc$51271$n823 I3=$false O=$abc$51271$n825 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$51271$n811 I2=i2c_input_data_type[0] I3=$false O=$abc$51271$n826 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$51271$n830 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51271$n824 I1=$abc$51271$n833_1 I2=$false I3=$false O=$abc$51271$n832 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n827 I1=$abc$123635$n801 I2=$abc$123635$n742 I3=$false O=$abc$123635$n826 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n802 I2=$abc$123635$n741_1 I3=$abc$123635$n807 O=$abc$123635$n827 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$51271$n833_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n749 I1=$abc$123635$n802 I2=$abc$123635$n829 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110152 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$123635$n830 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n829 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n734_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$auto$rtlil.cc:1692:NotGate$123580 O=$abc$123635$n830 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=$abc$123635$n829 I1=UART.tx_activity I2=last_uart_active I3=$abc$123635$n832 O=$auto$dff2dffe.cc:175:make_patterns_logic$110365 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=RESET I1=$abc$123635$n800 I2=$abc$123635$n830 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n832 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000101010101010 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=$abc$123635$n721 I2=$abc$123635$n739 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110418 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$109998 I1=$abc$123635$n732_1 I2=$abc$123635$n835 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110597 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=UART.tx_activity I2=last_uart_active I3=$abc$123635$n795 O=$abc$123635$n835 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n832 I1=I2C.byte_counter[0] I2=$abc$51271$n835 I3=$abc$51271$n693 O=$abc$51271$n834_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111011111110000 +.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$51271$n826 I2=I2C.byte_counter[0] I3=$abc$51271$n809 O=$abc$51271$n835 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111000000000 +.gate SB_LUT4 I0=$abc$51271$n743 I1=$abc$51271$n19 I2=$abc$51271$n758 I3=$false O=$abc$51271$n837 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n734 I2=$abc$51271$n790 I3=$false O=$abc$51271$n1116 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n757 I1=$abc$51271$n788 I2=$abc$51271$n784 I3=$abc$51271$n842 O=$abc$51271$n1119 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n734 O=$abc$51271$n842 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$51271$n845 I1=$abc$51271$n859 I2=I2C.FLT_SCL.RESET I3=$abc$51271$n922 O=$abc$51271$n1136 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000100000001111 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$51271$n846 I2=$false I3=$false O=$abc$51271$n845 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n858 I1=$abc$51271$n1458 I2=$abc$51271$n847 I3=i2c_input_data_type[2] O=$abc$51271$n846 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51271$n850 I1=$abc$51271$n852 I2=$abc$51271$n813 I3=$abc$51271$n848 O=$abc$51271$n847 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$abc$51271$n810 I1=$abc$51271$n849 I2=$abc$51271$n2423 I3=$false O=$abc$51271$n848 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$51271$n851 I2=$abc$51271$n850 I3=$false O=$abc$51271$n849 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$51271$n850 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$51271$n851 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$51271$n852 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$51271$n850 I3=$false O=$abc$51271$n855 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n811 I2=$false I3=$false O=$abc$51271$n857 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$abc$51271$n855 I3=$abc$51271$n826 O=$abc$51271$n858 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$123635$n837 I1=$abc$123635$n842 I2=$abc$123635$n846 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110669 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n838 I1=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I2=$false I3=$false O=$abc$123635$n837 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76299[0] I1=$techmap\I2C.$procmux$31037_Y[1] I2=$false I3=$false O=$abc$123635$n838 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76299[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.is_read I1=$abc$51271$n860 I2=i2c_input_data_type[1] I3=$false O=$abc$51271$n859 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000001 +.gate SB_LUT4 I0=$abc$51271$n858 I1=$abc$51271$n847 I2=i2c_input_data_type[0] I3=$false O=$abc$51271$n860 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n19 I1=$abc$51271$n758 I2=$abc$51271$n737 I3=$false O=$abc$51271$n1146 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$51271$n863 I1=$abc$51271$n837 I2=$false I3=$false O=$abc$51271$n1149 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=$false O=$techmap\I2C.$procmux$30991_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11011111 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n737 I1=$abc$51271$n734 I2=$abc$51271$n790 I3=$false O=$abc$51271$n863 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n863 I1=$abc$51271$n865 I2=$false I3=$false O=$abc$51271$n1156 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n843 I1=$abc$123635$n844 I2=$abc$123635$n845 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n842 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000010000 -.gate SB_LUT4 I0=I2C.is_read I1=$techmap\I2C.$procmux$30991_Y I2=I2C.i2c_state_machine I3=I2C.i2c_start_latency O=$abc$123635$n843 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000101010 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.i2c_state_machine O=$abc$123635$n844 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100011011111 -.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$123635$n845 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$simplemap.cc:250:simplemap_eqne$79726[4] I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$abc$123635$n846 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110736 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n842 I1=$abc$123635$n846 I2=$false I3=$false O=$abc$123635$n848 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n678 I1=$abc$51271$n751_1 I2=$abc$51271$n747 I3=$abc$51271$n770 O=$abc$51271$n865 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n1160 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000001111101 +.gate SB_LUT4 I0=$abc$51271$n746 I1=$abc$51271$n922 I2=$abc$51271$n783 I3=$false O=$abc$51271$n1165 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n876 I2=$false I3=$false O=$abc$51271$n1174 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110803 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110870 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=$abc$123635$n837 I1=$abc$123635$n842 I2=$abc$123635$n852 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110937 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$simplemap.cc:250:simplemap_eqne$79726[4] I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$abc$123635$n852 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111004 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n307 I1=$abc$51271$n874 I2=$abc$51271$n875 I3=$abc$51271$n870 O=$abc$51271$n869 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51271$n871_1 I1=$abc$51271$n873 I2=$false I3=$false O=$abc$51271$n870 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51271$n2003 I3=I2C.is_read O=$abc$51271$n871_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n2003 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$51271$n873 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100010000 +.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n874 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n308 I1=$abc$51271$n319 I2=$abc$51271$n2391 I3=$false O=$abc$51271$n875 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n305 I1=$abc$51271$n877 I2=$false I3=$false O=$abc$51271$n876 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$51271$n2003 I3=$false O=$abc$51271$n877 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n877 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1188 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n842 I1=$abc$123635$n852 I2=$false I3=$false O=$abc$123635$n854 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n880 I2=$false I3=$false O=$abc$51271$n1193 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111071 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111138 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$techmap\I2C.$procmux$30991_Y I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$111147 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00101111 -.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=RESET I3=UART.tx_activity O=$auto$dff2dffe.cc:158:make_patterns_logic$111225 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101001111 -.gate SB_LUT4 I0=UART.tx_activity I1=$abc$123635$n860 I2=RESET I3=$auto$dff2dffe.cc:158:make_patterns_logic$111225 O=$auto$dff2dffe.cc:175:make_patterns_logic$111206 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$123635$n863 I1=UART.tx_bit_counter[2] I2=UART.tx_bit_counter[3] I3=$abc$123635$n861 O=$abc$123635$n860 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110100000000 -.gate SB_LUT4 I0=$abc$123635$n862 I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$abc$123635$n861 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00101000 -.gate SB_LUT4 I0=$techmap\UART.$sub$uart.v:32$583_Y[0] I1=$techmap\UART.$sub$uart.v:32$583_Y[2] I2=$techmap\UART.$sub$uart.v:32$583_Y[3] I3=$false O=$abc$123635$n862 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$123635$n863 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n305 I2=$false I3=$false O=$abc$51271$n880 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n905 I1=$abc$123635$n899_1 I2=$abc$123635$n898 I3=$abc$123635$n865 O=$auto$dff2dffe.cc:175:make_patterns_logic$111710 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n889 I2=$false I3=$false O=$abc$123635$n865 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$abc$123635$n883 I3=$false O=$abc$123635$n866 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n869 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1198 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n868 I1=RESET I2=$false I3=$false O=$abc$123635$n867 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n876 I2=$false I3=$false O=$abc$51271$n1203 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n879 I1=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I2=$abc$123635$n874 I3=$false O=$abc$123635$n868 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$auto$simplemap.cc:127:simplemap_reduce$120655 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120653 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n870 I1=$abc$51271$n874 I2=$abc$51271$n875 I3=$abc$51271$n307 O=$abc$51271$n883 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n877 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1208 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n880 I2=$false I3=$false O=$abc$51271$n1214 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n871 I1=RESET I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$120655 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n883 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1222 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51271$n2003 I3=$false O=$abc$51271$n1225 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$51271$n889 I1=$abc$51271$n892 I2=$abc$51271$n894 I3=I2C.FLT_SCL.RESET O=$abc$51271$n1230 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51271$n890 I1=UART.tx_activity I2=$false I3=$false O=$abc$51271$n889 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n872 I1=$abc$123635$n873 I2=KEYBOARD.init_ram_cnt[8] I3=KEYBOARD.init_ram_cnt[0] O=$abc$123635$n871 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[5] I1=KEYBOARD.init_ram_cnt[6] I2=KEYBOARD.init_ram_cnt[7] I3=$false O=$abc$123635$n872 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.init_ram_cnt[2] I2=KEYBOARD.init_ram_cnt[3] I3=KEYBOARD.init_ram_cnt[4] O=$abc$123635$n873 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n882 I1=$abc$123635$n879 I2=$abc$123635$n875_1 I3=RESET O=$abc$123635$n874 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000101011111111 -.gate SB_LUT4 I0=$abc$123635$n878 I1=$abc$123635$n876 I2=$auto$alumacc.cc:484:replace_alu$76186[7] I3=$auto$alumacc.cc:484:replace_alu$76198[7] O=$abc$123635$n875_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$123635$n877 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=$false O=$abc$123635$n876 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$abc$123635$n877 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n878 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n880 I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$abc$123635$n879 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n881 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.kbd_code_hid[3] O=$abc$123635$n880 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n881 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$51271$n891_1 I3=$false O=$abc$51271$n890 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$51271$n1511 I1=$abc$51271$n1514 I2=$abc$51271$n1515 I3=$false O=$abc$51271$n891_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$123635$n871 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$abc$123635$n882 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$51271$n893 I2=$false I3=$false O=$abc$51271$n892 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$123635$n887 I2=$abc$123635$n884 I3=$false O=$abc$123635$n883 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$51271$n893 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$51271$n894 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n885 I1=$abc$123635$n886 I2=$false I3=$false O=$abc$123635$n884 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n894 I2=$false I3=$false O=$abc$51271$n1235 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$51271$n897 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1273 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[0] I1=KEYBOARD.init_delay_cnt[1] I2=KEYBOARD.init_delay_cnt[2] I3=KEYBOARD.init_delay_cnt[3] O=$abc$51271$n897 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51271$n1408 I1=$abc$51271$n928 I2=$abc$51271$n899 I3=$abc$51271$n924 O=$abc$51271$n1274 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n900 I2=$abc$51271$n920 I3=$false O=$abc$51271$n899 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$51271$n27 I1=$abc$51271$n915 I2=$false I3=$false O=$abc$51271$n900 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n909 I1=$abc$51271$n903 I2=$false I3=$false O=$abc$51271$n902 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n906 I2=$false I3=$false O=$abc$51271$n903 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$51271$n905 I3=$false O=$abc$51271$n904 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$51271$n905 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51271$n907 I1=$abc$51271$n908 I2=$false I3=$false O=$abc$51271$n906 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$123635$n885 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$51271$n907 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$123635$n886 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$51271$n908 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n888 I1=KEYBOARD.report[2][0] I2=KEYBOARD.report[2][1] I3=KEYBOARD.report[2][2] O=$abc$123635$n887 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$123635$n888 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n897 I1=$abc$123635$n896 I2=$abc$123635$n890 I3=$abc$123635$n893 O=$abc$123635$n889 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n891 I1=$abc$123635$n892 I2=$false I3=$false O=$abc$123635$n890 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n910 I1=$abc$51271$n911 I2=$false I3=$false O=$abc$51271$n909 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$123635$n891 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$51271$n910 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$123635$n892 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$51271$n911 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n894 I1=$abc$123635$n895 I2=$false I3=$false O=$abc$123635$n893 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n913 I1=$abc$51271$n914 I2=$false I3=$false O=$abc$51271$n912 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$123635$n894 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$51271$n913 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$123635$n895 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$51271$n914 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$123635$n896 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$123635$n897 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n917 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n915 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n897 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n1408 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$51271$n919 I2=$abc$51271$n918 I3=$false O=$abc$51271$n917 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n918 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$51271$n919 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$false I3=$false O=$abc$123635$n898 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n917 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n1273 I3=$false O=$abc$51271$n920 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$51271$n922_1 I1=$abc$51271$n923 I2=$false I3=$false O=$abc$51271$n921 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n904 I1=$abc$123635$n900_1 I2=$abc$123635$n867 I3=$false O=$abc$123635$n899_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$51271$n922_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$51271$n923 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n925 I3=$false O=$abc$51271$n924 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n901 I1=$abc$123635$n902 I2=$abc$123635$n903 I3=$false O=$abc$123635$n900_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n927 I1=$abc$51271$n926_1 I2=$abc$51271$n27 I3=$false O=$abc$51271$n925 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110001 +.gate SB_LUT4 I0=$abc$51271$n902 I1=$abc$51271$n1408 I2=$abc$51271$n912 I3=$false O=$abc$51271$n926_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[6][4] I3=KEYBOARD.report[6][5] O=$abc$123635$n901 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$123635$n902 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[6][0] I3=KEYBOARD.report[6][1] O=$abc$123635$n903 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$123635$n904 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n906 I1=$abc$123635$n907_1 I2=$false I3=$false O=$abc$123635$n905 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n906 I1=$abc$51271$n909 I2=$abc$51271$n904 I3=$abc$51271$n1408 O=$abc$51271$n927 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$51271$n929 I1=$abc$51271$n935 I2=$abc$51271$n27 I3=$false O=$abc$51271$n928 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$51271$n931 I1=$abc$51271$n930 I2=$false I3=$false O=$abc$51271$n929 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n912 I2=$abc$51271$n909 I3=$abc$51271$n903 O=$abc$51271$n930 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51271$n932 I1=$abc$51271$n933 I2=$false I3=$false O=$abc$51271$n931 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$123635$n906 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$51271$n932 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$123635$n907_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$51271$n933 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n865 I1=$abc$123635$n909 I2=$abc$123635$n910 I3=$abc$123635$n916 O=$auto$dff2dffe.cc:175:make_patterns_logic$113720 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n893 I1=$abc$123635$n866 I2=$abc$123635$n868 I3=$false O=$abc$123635$n909 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n898 I1=$abc$123635$n911 I2=$false I3=$false O=$abc$123635$n910 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n912 I1=$abc$123635$n913 I2=$abc$123635$n914 I3=$abc$123635$n915 O=$abc$123635$n911 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[5][0] I3=KEYBOARD.report[5][1] O=$abc$123635$n912 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[5][4] I3=KEYBOARD.report[5][5] O=$abc$123635$n913 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$123635$n914 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$123635$n915 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n917 I1=$abc$123635$n866 I2=$abc$123635$n890 I3=$abc$123635$n893 O=$abc$123635$n916 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100010101 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$abc$123635$n883 I3=$false O=$abc$123635$n917 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000100 -.gate SB_LUT4 I0=$abc$123635$n919 I1=$abc$123635$n909 I2=$abc$123635$n920 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$115418 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n890 I2=$abc$123635$n893 I3=$false O=$abc$123635$n919 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n921 I1=$abc$123635$n898 I2=$abc$123635$n917 I3=$false O=$abc$123635$n920 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n922 I1=$abc$123635$n923 I2=$abc$123635$n924 I3=$abc$123635$n925 O=$abc$123635$n921 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n936 I1=$abc$51271$n937 I2=$abc$51271$n938 I3=$abc$51271$n939 O=$abc$51271$n935 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[4][0] I3=KEYBOARD.report[4][1] O=$abc$123635$n922 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[4][4] I3=KEYBOARD.report[4][5] O=$abc$123635$n923 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$123635$n924 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$123635$n925 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n927 I1=$abc$123635$n928 I2=$abc$123635$n917 I3=$abc$123635$n868 O=$auto$dff2dffe.cc:175:make_patterns_logic$116870 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n893 I2=$false I3=$false O=$abc$123635$n927 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n898 I1=$abc$123635$n929 I2=$false I3=$false O=$abc$123635$n928 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n930 I1=$abc$123635$n931 I2=$abc$123635$n932 I3=$abc$123635$n933 O=$abc$123635$n929 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[5][3] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[5][5] O=$abc$51271$n936 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[5][4] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[5][7] O=$abc$51271$n937 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[5][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[5][2] O=$abc$51271$n938 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[5][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[5][6] O=$abc$51271$n939 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n897 I2=$false I3=$false O=$abc$51271$n1313 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n945 I1=$abc$51271$n944 I2=$abc$51271$n27 I3=$abc$51271$n929 O=$abc$51271$n943_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=kbd_report[6][4] I1=kbd_report[6][5] I2=kbd_report[6][6] I3=kbd_report[6][7] O=$abc$51271$n944 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=kbd_report[6][0] I1=kbd_report[6][1] I2=kbd_report[6][2] I3=kbd_report[6][3] O=$abc$51271$n945 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$51271$n950 I1=$abc$51271$n951_1 I2=$abc$51271$n952 I3=$abc$51271$n953 O=$abc$51271$n949 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[3][0] I3=KEYBOARD.report[3][1] O=$abc$123635$n930 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[3][4] I3=KEYBOARD.report[3][5] O=$abc$123635$n931 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$123635$n932 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$123635$n933 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n935 I2=$abc$123635$n868 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$118084 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=kbd_report[6][3] I2=KEYBOARD.kbd_code_hid[7] I3=kbd_report[6][7] O=$abc$51271$n950 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=kbd_report[6][0] I2=KEYBOARD.kbd_code_hid[6] I3=kbd_report[6][6] O=$abc$51271$n951_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=kbd_report[6][4] I2=KEYBOARD.kbd_code_hid[5] I3=kbd_report[6][5] O=$abc$51271$n952 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=kbd_report[6][1] I2=KEYBOARD.kbd_code_hid[2] I3=kbd_report[6][2] O=$abc$51271$n953 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51271$n1464 I1=$abc$51271$n925 I2=$abc$51271$n955_1 I3=$false O=$abc$51271$n1336 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n936 I1=$abc$123635$n884 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$abc$123635$n867 O=$abc$123635$n935 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010001111111111 -.gate SB_LUT4 I0=$abc$123635$n937 I1=$abc$123635$n938 I2=$abc$123635$n939 I3=$abc$123635$n940 O=$abc$123635$n936 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n900 I2=$abc$51271$n956 I3=$false O=$abc$51271$n955_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n920 I3=$false O=$abc$51271$n956 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=$abc$51271$n963 I3=$false O=$abc$51271$n962 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[4][0] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.report[4][1] O=$abc$51271$n963 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51271$n927 I1=$abc$51271$n27 I2=$abc$51271$n956 I3=$abc$51271$n966 O=$abc$51271$n1349 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$51271$n967 I1=$abc$51271$n912 I2=$abc$51271$n27 I3=$abc$51271$n915 O=$abc$51271$n966 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110011111111 +.gate SB_LUT4 I0=$abc$51271$n968 I1=$abc$51271$n969 I2=$abc$51271$n970 I3=$abc$51271$n971_1 O=$abc$51271$n967 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[2][0] I3=KEYBOARD.report[2][1] O=$abc$123635$n937 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[2][4] I3=KEYBOARD.report[2][5] O=$abc$123635$n938 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$123635$n939 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$123635$n940 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n867 I1=$abc$123635$n942 I2=$abc$123635$n948 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$119085 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n947 I1=$abc$123635$n943 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$false O=$abc$123635$n942 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n944 I1=$abc$123635$n945 I2=$abc$123635$n946 I3=$false O=$abc$123635$n943 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[1][4] I3=KEYBOARD.report[1][5] O=$abc$123635$n944 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$123635$n945 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[1][0] I3=KEYBOARD.report[1][1] O=$abc$123635$n946 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$123635$n947 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001001000001 -.gate SB_LUT4 I0=$abc$123635$n868 I1=$abc$123635$n867 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$abc$123635$n884 O=$abc$123635$n948 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010100010 -.gate SB_LUT4 I0=RESET I1=$abc$123635$n879 I2=$abc$123635$n882 I3=$abc$123635$n875_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$119875 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111010101010101 -.gate SB_LUT4 I0=$abc$123635$n882 I1=$auto$rtlil.cc:1692:NotGate$123596 I2=$auto$simplemap.cc:127:simplemap_reduce$120655 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120327 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[3][4] O=$abc$51271$n968 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[3][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[3][6] O=$abc$51271$n969 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[3][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[3][2] O=$abc$51271$n970 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[3][0] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[3][7] O=$abc$51271$n971_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n977_1 I2=$abc$51271$n1408 I3=$false O=$abc$51271$n976 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n978 I1=$abc$51271$n979 I2=$abc$51271$n980 I3=$abc$51271$n981 O=$abc$51271$n977_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$51271$n978 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[2][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[2][6] O=$abc$51271$n979 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[2][7] O=$abc$51271$n980 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[2][3] I2=KEYBOARD.report[2][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51271$n981 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51271$n1408 I1=$abc$51271$n983 I2=$abc$51271$n956 I3=$false O=$abc$51271$n1372 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11010000 -.gate SB_LUT4 I0=RESET I1=$abc$123635$n882 I2=$abc$123635$n952 I3=$auto$rtlil.cc:1692:NotGate$123596 O=$auto$dff2dffe.cc:175:make_patterns_logic$120622 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010100010 -.gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n667 I2=$abc$123635$n672 I3=$false O=$abc$123635$n952 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I1=$auto$rtlil.cc:1692:NotGate$123596 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120964 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n874 I1=$abc$123635$n955 I2=$abc$123635$n942 I3=$abc$123635$n929 O=$auto$dff2dffe.cc:175:make_patterns_logic$121761 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000101010 -.gate SB_LUT4 I0=$abc$123635$n899_1 I1=$abc$123635$n911 I2=$abc$123635$n921 I3=$abc$123635$n936 O=$abc$123635$n955 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=I2C.wr I1=I2C_HID_DESC.last_rd_request I2=RESET I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122712 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00101111 -.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] I1=$abc$123635$n960 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$122811 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n959 I1=$auto$wreduce.cc:310:run$76138[0] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$123635$n959 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] I1=$auto$wreduce.cc:310:run$76138[2] I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$123635$n960 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n959 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122826 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01111101 -.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] I1=$abc$123635$n966 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$122871 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n965 I1=$auto$wreduce.cc:310:run$76137[0] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$123635$n965 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] I1=$auto$wreduce.cc:310:run$76137[2] I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$123635$n966 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n965 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122886 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01111101 -.gate SB_LUT4 I0=$abc$123635$n970 I1=$abc$123635$n745 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$90143 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n976 I1=$abc$123635$n801 I2=$abc$123635$n793 I3=$abc$123635$n971 O=$abc$123635$n970 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=RESET I2=$abc$123635$n972 I3=$false O=$abc$123635$n971 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n903 I1=$abc$51271$n1470 I2=$abc$51271$n27 I3=$false O=$abc$51271$n983 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=$false I3=$false O=$abc$51271$n988 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[1][7] O=$abc$51271$n990 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n920 I3=$false O=$abc$51271$n1378 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n973 I1=$abc$123635$n747_1 I2=RESET I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n972 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n974 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n975 O=$abc$123635$n973 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=$abc$123635$n734_1 I1=$auto$rtlil.cc:1692:NotGate$123580 I2=$abc$123635$n738 I3=$false O=$abc$123635$n974 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=UART.tx_activity I1=uart_double_ff I2=last_uart_active I3=$false O=$abc$123635$n975 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$123635$n976 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n835 I1=$abc$123635$n972 I2=$abc$123635$n733 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$90751 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n983 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$92180 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n897 I1=KEYBOARD.init_delay_cnt[0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1383 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n995 I2=$abc$51271$n1313 I3=$false O=$abc$51271$n1386 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$51271$n1615 I1=$abc$51271$n1617 I2=$abc$51271$n722 I3=$abc$51271$n996 O=$abc$51271$n995 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51271$n1614 I1=$abc$51271$n1618 I2=$abc$51271$n1623 I3=$abc$51271$n699 O=$abc$51271$n996 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51271$n29 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n1390 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n995 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n1392 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n982 I1=I2C.is_read I2=$abc$123635$n981 I3=$abc$123635$n980 O=$abc$123635$n979 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$123635$n829 I1=UART.tx_activity I2=last_uart_active I3=$false O=$abc$123635$n980 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00101000 -.gate SB_LUT4 I0=$abc$123635$n830 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n981 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n731_1 I1=$auto$alumacc.cc:484:replace_alu$76176[7] I2=$false I3=$false O=$abc$123635$n982 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n827 I1=$abc$123635$n984 I2=$abc$123635$n997 I3=$abc$123635$n998 O=$abc$123635$n983 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n993 I1=$abc$123635$n989 I2=$abc$123635$n985 I3=$false O=$abc$123635$n984 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n1464 I1=$abc$51271$n1470 I2=$abc$51271$n1000 I3=$abc$51271$n1273 O=$abc$51271$n1405 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$51271$n935 I1=$abc$51271$n949 I2=$abc$51271$n967 I3=$abc$51271$n977_1 O=$abc$51271$n1000 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n29 I2=$abc$51271$n1313 I3=$false O=$abc$51271$n1407 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n736 I1=$abc$123635$n986 I2=$abc$123635$n739 I3=$false O=$abc$123635$n985 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n897 I2=KEYBOARD.init_ram_cnt[0] I3=I2C.FLT_SCL.RESET O=$abc$51271$n1414 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000011111111 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n897 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1415 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1417 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$51271$n2329 I1=$abc$51271$n1629 I2=$abc$51271$n1008 I3=$false O=$abc$51271$n1426 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=$abc$123635$n974 I2=$abc$123635$n987 I3=$false O=$abc$123635$n986 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$123635$n988 I1=$abc$123635$n748 I2=UART_WR I3=$false O=$abc$123635$n987 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=I2C_TRANS I1=UART.tx_activity I2=last_trans I3=last_uart_active O=$abc$123635$n988 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=$abc$123635$n990 I1=$abc$123635$n991 I2=$abc$123635$n992 I3=int_tmr[19] O=$abc$123635$n989 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n734_1 I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$123635$n990 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000010 -.gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n797 I2=$abc$123635$n988 I3=$false O=$abc$123635$n991 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=RESET I1=UART_WR I2=$false I3=$false O=$abc$123635$n992 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n994 I1=$abc$123635$n731_1 I2=I2C_TRANS I3=int_tmr[19] O=$abc$123635$n993 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=$abc$123635$n995 I1=$abc$123635$n996 I2=$false I3=$false O=$abc$123635$n994 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=ring_wr[0] I1=ring_wr[1] I2=ring_rd[0] I3=ring_rd[1] O=$abc$123635$n995 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=ring_wr[2] I1=ring_wr[3] I2=ring_rd[2] I3=ring_rd[3] O=$abc$123635$n996 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=$abc$123635$n731_1 I1=I2C_TRANS I2=int_tmr[19] I3=$abc$123635$n989 O=$abc$123635$n997 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101111100000000 -.gate SB_LUT4 I0=$abc$123635$n990 I1=$abc$123635$n991 I2=$abc$123635$n992 I3=int_tmr[19] O=$abc$123635$n998 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n1000 I2=$abc$123635$n989 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$95013 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=$abc$123635$n749 I1=$abc$123635$n802 I2=$abc$123635$n807 I3=$abc$123635$n986 O=$abc$123635$n1000 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n1007 I1=$abc$51271$n1626 I2=$false I3=$false O=$abc$51271$n2329 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$51271$n1007 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$51271$n2330 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$51271$n1008 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$51271$n2330 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$51271$n1007 I3=$false O=$abc$51271$n1427 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$51271$n2332 I1=$abc$51271$n1013 I2=$abc$51271$n2333 I3=$abc$51271$n1633 O=$abc$51271$n1436 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n1000 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99225 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=RESET I1=$3\report_wr_en[0:0] I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99687 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010101 -.gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n748 I2=$false I3=$false O=$3\report_wr_en[0:0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$76297[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1630 O=$abc$51271$n2332 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$51271$n1013 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$51271$n2333 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1013 O=$abc$51271$n1437 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$51271$n1490 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51271$n1491 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$51271$n1493 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$51271$n1494 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[4] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$51271$n1496 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[5] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$51271$n1497 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[6] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$51271$n1499 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[7] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$51271$n1500 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[0] I2=$false I3=$false O=$0\rststate[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][0] I2=$false I3=$false O=$10\int_tmr[14:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n811 I1=$abc$123635$n824 I2=$abc$123635$n1014 I3=i2c_input_data_type[1] O=$abc$123635$n1013 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n1015 I2=$abc$123635$n734_1 I3=$false O=$abc$123635$n1014 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$abc$123635$n797 I2=I2C.is_read I3=$false O=$abc$123635$n1015 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[1] I2=$false I3=$false O=$0\rststate[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n678 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[14:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][2] I2=$false I3=$false O=$10\int_tmr[14:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[2] I2=$false I3=$false O=$0\rststate[3:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][3] I2=$false I3=$false O=$10\int_tmr[14:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[3] I2=$false I3=$false O=$0\rststate[3:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][4] I2=$false I3=$false O=$10\int_tmr[14:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n1022 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[0] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$abc$123635$n1021 I1=$auto$alumacc.cc:484:replace_alu$76214[7] I2=$false I3=$false O=$abc$123635$n1020 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][5] I2=$false I3=$false O=$10\int_tmr[14:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n723 I1=$abc$123635$n724 I2=init_ram_cnt[7] I3=init_ram_cnt[5] O=$abc$123635$n1021 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[0] I1=$6\report_data_wadr[7:0][0] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1022 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1020 I1=init_ram_cnt[1] I2=$abc$123635$n1024 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111100010001 -.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=wr_cnt[1] I2=ring_wr[0] I3=$abc$123635$n748 O=$abc$123635$n1024 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010111000011 -.gate SB_LUT4 I0=$add$top.v:98$41_Y[0] I1=ring_wr[0] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n1027 I1=$add$top.v:98$41_Y[4] I2=$false I3=$false O=$abc$123635$n1026_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1028 I1=$abc$123635$n1029 I2=$add$top.v:98$41_Y[0] I3=ring_rd[0] O=$abc$123635$n1027 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0100000000000100 -.gate SB_LUT4 I0=ring_wr[0] I1=ring_wr[1] I2=ring_rd[1] I3=$false O=$abc$123635$n1028 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10010110 -.gate SB_LUT4 I0=$add$top.v:98$41_Y[2] I1=$add$top.v:98$41_Y[3] I2=ring_rd[2] I3=ring_rd[3] O=$abc$123635$n1029 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000010000100001 -.gate SB_LUT4 I0=$abc$123635$n1035_1 I1=$abc$123635$n1031 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$0\report_data_wadr[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][6] I2=$false I3=$false O=$10\int_tmr[14:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][7] I2=$false I3=$false O=$10\int_tmr[14:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][8] I2=$false I3=$false O=$10\int_tmr[14:0][8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][9] I2=$false I3=$false O=$10\int_tmr[14:0][9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][10] I2=$false I3=$false O=$10\int_tmr[14:0][10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][11] I2=$false I3=$false O=$10\int_tmr[14:0][11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][12] I2=$false I3=$false O=$10\int_tmr[14:0][12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][13] I2=$false I3=$false O=$10\int_tmr[14:0][13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][14] I2=$false I3=$false O=$10\int_tmr[14:0][14] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51271$n1040 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$51271$n1040 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51271$n1042 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$51271$n1042 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$51271$n1044 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$51271$n1044 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51271$n1046 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$51271$n1046 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51271$n1048 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$51271$n1048 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$51271$n1050 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$51271$n1050 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$51271$n1052 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$abc$123635$n1033_1 I2=$abc$123635$n1034_1 I3=$abc$123635$n748 O=$abc$123635$n1031 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010111000011 -.gate SB_LUT4 I0=$abc$123635$n1026_1 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$51271$n1052 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$51271$n1054 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$51271$n1054 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$51271$n1772 I1=ring_rd[0] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51271$n777 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110100 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$123635$n1033_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n1778 I1=ring_rd[2] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51271$n1781 I1=ring_rd[3] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=report_data_radr[1] I1=$10\ring_rd[3:0][0] I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$51271$n1785 I1=$10\ring_rd[3:0][1] I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51271$n1788 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$51271$n21 O=$0\report_data_radr[7:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011110010101010 +.gate SB_LUT4 I0=$abc$51271$n1791 I1=$abc$51271$n2410 I2=$abc$51271$n2408 I3=$abc$51271$n21 O=$0\report_data_radr[7:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011110010101010 +.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$51271$n2410 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=$false I3=$false O=$abc$123635$n1034_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51271$n2408 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$123635$n1020 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$false O=$abc$123635$n1035_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01000001 -.gate SB_LUT4 I0=$abc$123635$n1020 I1=$sub$top.v:88$26_Y[3] I2=$abc$123635$n1037 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$123635$n1039 I1=$2\ring_wr[3:0][2] I2=$2\ring_wr[3:0][0] I3=$abc$123635$n748 O=$abc$123635$n1037 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001101010101 -.gate SB_LUT4 I0=$add$top.v:98$41_Y[2] I1=ring_wr[2] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n1794 I1=$abc$51271$n1793 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n1040 I1=$abc$123635$n1041 I2=ring_wr[0] I3=$false O=$abc$123635$n1039 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01101001 -.gate SB_LUT4 I0=$abc$123635$n1033_1 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$123635$n1040 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010111 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$123635$n1041 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$123635$n1020 I1=$sub$top.v:88$26_Y[4] I2=$abc$123635$n1043_1 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n1797 I1=$abc$51271$n1796 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51271$n1800 I1=$abc$51271$n1799 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n860 I2=$abc$51271$n1069 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$123635$n1047_1 I1=$auto$maccmap.cc:111:fulladd$77152[4] I2=$auto$maccmap.cc:112:fulladd$77153[3] I3=$abc$123635$n748 O=$abc$123635$n1043_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100001110101010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=$2\ring_wr[3:0][2] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$77153[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51271$n1071 I2=$abc$51271$n1070 I3=I2C.received_byte[0] O=$abc$51271$n1069 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$51271$n2426 I1=$abc$51271$n825 I2=$abc$51271$n2418 I3=$abc$51271$n21 O=$abc$51271$n1070 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51271$n809 I3=$abc$51271$n1072 O=$abc$51271$n1071 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$abc$51271$n833_1 I3=$false O=$abc$51271$n1072 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n1074 I2=$abc$51271$n1075 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$51271$n1458 I1=$abc$51271$n847 I2=i2c_input_data_type[1] I3=$false O=$abc$51271$n1074 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51271$n1070 I2=$abc$51271$n1076 I3=$false O=$abc$51271$n1075 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$51271$n1071 I3=$false O=$abc$51271$n1076 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n846 I2=$abc$51271$n1078 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$51271$n1076 I1=$abc$51271$n1069 I2=I2C.received_byte[2] I3=$abc$51271$n1070 O=$abc$51271$n1078 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n740 I2=$abc$51271$n1081 I3=$abc$51271$n1082 O=$0\i2c_input_data_type[3:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100010000 +.gate SB_LUT4 I0=$abc$51271$n847 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$51271$n1081 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:111:fulladd$77152[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$add$top.v:98$41_Y[3] I1=ring_wr[3] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n1070 I1=$abc$51271$n740 I2=I2C.received_byte[3] I3=$false O=$abc$51271$n1082 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51271$n778_1 I1=$abc$51271$n1084 I2=ring_wr[0] I3=$abc$51271$n1862 O=$2\ring_wr[3:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111011101000000 +.gate SB_LUT4 I0=$abc$51271$n1451 I1=ring_rd[3] I2=$abc$51271$n1871 I3=$abc$51271$n1085 O=$abc$51271$n1084 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$51271$n1862 I2=ring_rd[2] I3=$abc$51271$n1868 O=$abc$51271$n1085 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51271$n1087 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110100 +.gate SB_LUT4 I0=$abc$51271$n778_1 I1=ring_wr[0] I2=$abc$51271$n1084 I3=$false O=$abc$51271$n1087 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$51271$n1868 I1=ring_wr[2] I2=$abc$51271$n1087 I3=$false O=$2\ring_wr[3:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$auto$maccmap.cc:112:fulladd$77134[3] I1=$auto$maccmap.cc:111:fulladd$77133[4] I2=$false I3=$false O=$abc$123635$n1047_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n1049 I1=$abc$123635$n1040 I2=ring_wr[0] I3=$abc$123635$n1041 O=$auto$maccmap.cc:112:fulladd$77134[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110101000110000 -.gate SB_LUT4 I0=$abc$123635$n1033_1 I1=$abc$123635$n1034_1 I2=$false I3=$false O=$abc$123635$n1049 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1041 I1=wr_cnt[2] I2=ring_wr[1] I3=$abc$123635$n1051 O=$auto$maccmap.cc:111:fulladd$77133[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111101110000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=ring_wr[3] I3=$false O=$abc$123635$n1051 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01111000 -.gate SB_LUT4 I0=$abc$123635$n1053 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[5] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[5] I1=$6\report_data_wadr[7:0][5] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1053 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1055 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[6] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[6] I1=$6\report_data_wadr[7:0][6] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1055 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1057 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[7] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000110000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[7] I1=$6\report_data_wadr[7:0][7] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1057 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1059 I1=$abc$123635$n1073 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$123635$n1072_1 I2=$abc$123635$n1060 I3=$abc$123635$n1065 O=$abc$123635$n1059 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1061 O=$abc$123635$n1060 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][0] I2=$false I3=$false O=$abc$123635$n1061 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1062 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100100000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1063 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000110 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n1064 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000100 -.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=$abc$123635$n1071 I2=$abc$123635$n1066 I3=$abc$123635$n1069 O=$abc$123635$n1065 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][0] I2=KEYBOARD.report[6][0] I3=$abc$123635$n1067 O=$abc$123635$n1066 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n1871 I1=ring_wr[3] I2=$abc$51271$n1087 I3=$false O=$2\ring_wr[3:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$51271$n1091 I1=$abc$51271$n1094 I2=$abc$51271$n1095 I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$51271$n1093 I1=$abc$51271$n860 I2=$abc$51271$n1092 I3=$false O=$abc$51271$n1091 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$51271$n1074 I1=$abc$51271$n860 I2=$abc$51271$n846 I3=$abc$51271$n1081 O=$abc$51271$n1092 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=$abc$51271$n857 I1=$abc$51271$n1074 I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51271$n1093 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$51271$n857 I1=$abc$51271$n1074 I2=$abc$51271$n1092 I3=I2C_OUTPUT_TYPE[1] O=$abc$51271$n1094 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011000000110111 +.gate SB_LUT4 I0=$abc$51271$n1092 I1=I2C_OUTPUT_TYPE[2] I2=$abc$51271$n1098 I3=$false O=$abc$51271$n1095 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n811 I2=$abc$51271$n1074 I3=$false O=$abc$51271$n1096 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n681 I1=I2C.is_read I2=$false I3=$false O=$abc$51271$n1098 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$51271$n1091 I1=$abc$51271$n1098 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$51271$n1094 I1=$abc$51271$n1098 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$51271$n1092 I1=$abc$51271$n1096 I2=$abc$51271$n1098 I3=I2C_OUTPUT_TYPE[2] O=$2\I2C_OUTPUT_TYPE[2:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51271$n798 I1=$abc$51271$n1854 I2=$abc$51271$n681 I3=$false O=$2\wr_cnt[3:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=$abc$51271$n1857 I3=$false O=$2\wr_cnt[3:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=$abc$51271$n1859 I3=$false O=$2\wr_cnt[3:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n260 I1=$abc$51271$n1111 I2=$false I3=$false O=$abc$51271$n1110 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$51271$n253 I3=$false O=$abc$51271$n1111 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][0] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][0] O=$abc$51271$n1112 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1067 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011000000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1068_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$123635$n1070_1 I1=KEYBOARD.report[1][0] I2=$false I3=$false O=$abc$123635$n1069 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n260 I2=$false I3=$false O=$abc$51271$n1113 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1070_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010010000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1071 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001100000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1072_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0110000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=$abc$123635$n1078 I2=$abc$123635$n1074_1 I3=$abc$123635$n1076 O=$abc$123635$n1073 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[5][0] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1074_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=wr_cnt[1] I1=$sub$top.v:122$76_Y[0] I2=$false I3=$false O=$abc$123635$n1075 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1076 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=$false O=$abc$123635$n1077 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010010 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=$false O=$abc$123635$n1078 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=$false O=$abc$123635$n1079 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n1087 I2=$abc$123635$n1081 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010101011 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$123635$n1072_1 I2=$abc$123635$n1082_1 I3=$abc$123635$n1084 O=$abc$123635$n1081 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1083 O=$abc$123635$n1082_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][1] I2=$false I3=$false O=$abc$123635$n1083 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=$abc$123635$n1070_1 I2=$abc$123635$n1085 I3=$abc$123635$n1086 O=$abc$123635$n1084 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][1] I2=$abc$123635$n1071 I3=KEYBOARD.report[3][1] O=$abc$123635$n1085 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n253 I1=$abc$51271$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51271$n1114 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=$abc$51271$n253 I1=$abc$51271$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51271$n1116_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001100010000001 +.gate SB_LUT4 I0=kbd_report[6][0] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][0] O=$abc$51271$n1117 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][1] I2=$false I3=$false O=$abc$123635$n1086 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$123635$n1077 I2=$abc$123635$n1088 I3=$abc$123635$n1064 O=$abc$123635$n1087 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=KEYBOARD.report[5][1] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1088 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=$abc$123635$n1090 I1=$abc$123635$n1096 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$123635$n1072_1 I2=$abc$123635$n1091_1 I3=$abc$123635$n1093 O=$abc$123635$n1090 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n260 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n253 O=$abc$51271$n1118 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=$abc$51271$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n260 O=$abc$51271$n1119_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1120 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$51271$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n260 O=$abc$51271$n1121 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$51271$n269 I3=$false O=$abc$51271$n1125 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n1127 I2=$false I3=$false O=$abc$51271$n1126 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$51271$n1127 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$51271$n1127 I1=$abc$51271$n1135 I2=$abc$51271$n1130 I3=$abc$51271$n681 O=$3\report_data_wr[7:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51271$n1116_1 I2=$abc$51271$n1131 I3=$abc$51271$n1133 O=$abc$51271$n1130 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[3][2] I1=$abc$123635$n1071 I2=$abc$123635$n1064 I3=$abc$123635$n1092_1 O=$abc$123635$n1091_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][2] I2=$false I3=$false O=$abc$123635$n1092_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][2] I1=$abc$123635$n1063 I2=$abc$123635$n1094 I3=$abc$123635$n1095 O=$abc$123635$n1093 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[3][1] I1=$abc$51271$n1118 I2=$abc$51271$n1120 I3=$abc$51271$n1132 O=$abc$51271$n1131 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][2] I2=$false I3=$false O=$abc$123635$n1094 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][2] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][2] O=$abc$123635$n1095 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.report[4][1] I1=$abc$51271$n1121 I2=$abc$51271$n1110 I3=KEYBOARD.report[1][1] O=$abc$51271$n1132 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[6][2] I1=$abc$123635$n1078 I2=$abc$123635$n1097 I3=$abc$123635$n1098 O=$abc$123635$n1096 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][2] I1=KEYBOARD.report[5][2] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1097 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1098 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$51271$n1114 I2=$abc$51271$n1134 I3=$false O=$abc$51271$n1133 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n1106_1 I2=$abc$123635$n1100 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010101011 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$123635$n1072_1 I2=$abc$123635$n1101_1 I3=$abc$123635$n1103_1 O=$abc$123635$n1100 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=kbd_report[6][1] I1=$abc$51271$n1119_1 I2=$abc$51271$n1113 I3=KEYBOARD.report[5][1] O=$abc$51271$n1134 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=KEYBOARD.report[0][1] I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1135 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$51271$n1127 I1=$abc$51271$n1153 I2=$abc$51271$n1148 I3=$abc$51271$n681 O=$3\report_data_wr[7:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51271$n1116_1 I2=$abc$51271$n1120 I3=$abc$51271$n1149_1 O=$abc$51271$n1148 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$51271$n1114 I2=$abc$51271$n1150 I3=$abc$51271$n1152 O=$abc$51271$n1149_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1102 O=$abc$123635$n1101_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][3] I2=$false I3=$false O=$abc$123635$n1102 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[3][3] I1=$abc$123635$n1071 I2=$abc$123635$n1104_1 I3=$abc$123635$n1105 O=$abc$123635$n1103_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][3] I2=KEYBOARD.report[6][3] I3=$abc$123635$n1067 O=$abc$123635$n1104_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=kbd_report[6][3] I1=$abc$51271$n1119_1 I2=$abc$51271$n1151 I3=$false O=$abc$51271$n1150 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][3] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][3] O=$abc$51271$n1151 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=KEYBOARD.report[4][3] I1=$abc$51271$n1121 I2=$abc$51271$n1110 I3=KEYBOARD.report[1][3] O=$abc$51271$n1152 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$123635$n1070_1 I1=KEYBOARD.report[1][3] I2=$false I3=$false O=$abc$123635$n1105 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=KEYBOARD.report[0][3] I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1153 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$51271$n1120 I1=$abc$51271$n1164 I2=$abc$51271$n1158 I3=$abc$51271$n1126 O=$3\report_data_wr[7:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=$abc$51271$n1121 I2=$abc$51271$n1120 I3=$abc$51271$n1159 O=$abc$51271$n1158 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$51271$n1161 I1=$abc$51271$n1162 I2=$abc$51271$n1160_1 I3=$abc$51271$n1163 O=$abc$51271$n1159 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[5][4] I2=$abc$51271$n260 I3=$abc$51271$n1111 O=$abc$51271$n1160_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=$abc$51271$n1119_1 I1=kbd_report[6][4] I2=$false I3=$false O=$abc$51271$n1161 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$123635$n1077 I2=$abc$123635$n1107_1 I3=$abc$123635$n1064 O=$abc$123635$n1106_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=KEYBOARD.report[5][3] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1107_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=$abc$123635$n1109 I1=$abc$123635$n1115 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$123635$n1072_1 I2=$abc$123635$n1110_1 I3=$abc$123635$n1112 O=$abc$123635$n1109 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1111 O=$abc$123635$n1110_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][4] I2=$false I3=$false O=$abc$123635$n1111 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][4] I2=$false I3=$false O=$abc$51271$n1162 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=$abc$123635$n1070_1 I2=$abc$123635$n1113 I3=$abc$123635$n1114 O=$abc$123635$n1112 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][4] I2=$abc$123635$n1063 I3=KEYBOARD.report[2][4] O=$abc$123635$n1113 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][4] I2=$abc$51271$n1114 I3=KEYBOARD.report[2][4] O=$abc$51271$n1163 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][4] I2=$false I3=$false O=$abc$123635$n1114 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$123635$n1077 I2=$abc$123635$n1116 I3=$abc$123635$n1064 O=$abc$123635$n1115 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=$abc$123635$n1078 I2=$abc$123635$n1118 I3=$abc$123635$n1117 O=$abc$123635$n1116 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][4] I3=$false O=$abc$123635$n1117 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][4] O=$abc$123635$n1118 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n1120 I1=$abc$123635$n1126 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$123635$n1072_1 I2=$abc$123635$n1121 I3=$abc$123635$n1123 O=$abc$123635$n1120 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1122 O=$abc$123635$n1121 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][5] I2=$false I3=$false O=$abc$123635$n1122 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[0][4] I2=$abc$51271$n1125 I3=$false O=$abc$51271$n1164 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][5] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][5] O=$abc$51271$n1171 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][5] I2=$false I3=$false O=$abc$51271$n1172 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][5] I1=$abc$123635$n1063 I2=$abc$123635$n1124 I3=$abc$123635$n1125 O=$abc$123635$n1123 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=kbd_report[6][5] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][5] O=$abc$51271$n1173 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=KEYBOARD.report[4][6] I1=$abc$51271$n1121 I2=$abc$51271$n1120 I3=$abc$51271$n1179 O=$abc$51271$n1178 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][5] I2=$false I3=$false O=$abc$123635$n1124 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n1180 I1=$abc$51271$n1182 I2=$abc$51271$n1181 I3=$abc$51271$n1183 O=$abc$51271$n1179 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51271$n1110 I1=KEYBOARD.report[1][6] I2=$false I3=$false O=$abc$51271$n1180 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][5] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][5] O=$abc$123635$n1125 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][6] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][6] O=$abc$51271$n1181 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$123635$n1077 I2=$abc$123635$n1127 I3=$abc$123635$n1064 O=$abc$123635$n1126 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$123635$n1078 I2=$abc$123635$n1129 I3=$abc$123635$n1128 O=$abc$123635$n1127 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][5] I3=$false O=$abc$123635$n1128 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][5] O=$abc$123635$n1129 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n1131 I1=$abc$123635$n1137 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$123635$n1072_1 I2=$abc$123635$n1132 I3=$abc$123635$n1134 O=$abc$123635$n1131 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1133 O=$abc$123635$n1132 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][6] I2=$false I3=$false O=$abc$123635$n1133 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][6] I2=$false I3=$false O=$abc$51271$n1182 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=$abc$123635$n1070_1 I2=$abc$123635$n1135 I3=$abc$123635$n1136 O=$abc$123635$n1134 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001110000 -.gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][6] I2=$abc$123635$n1063 I3=KEYBOARD.report[2][6] O=$abc$123635$n1135 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=kbd_report[6][6] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][6] O=$abc$51271$n1183 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][6] I2=$false I3=$false O=$abc$123635$n1136 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=kbd_report[6][7] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][7] O=$abc$51271$n1191 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n681 I2=$false I3=$false O=$3\report_wr_en[0:0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1913 I2=$abc$51271$n1199 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=init_ram_cnt[7] I1=init_ram_cnt[5] I2=$abc$51271$n732 I3=$abc$51271$n2420 O=$abc$51271$n1198_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$51271$n681 I1=$6\report_data_wadr[7:0][0] I2=$false I3=$false O=$abc$51271$n1199 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=init_ram_cnt[1] I1=$abc$51271$n1198_1 I2=$abc$51271$n22 I3=$abc$51271$n1201 O=$0\report_data_wadr[7:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=$abc$51271$n1202 I1=$2\ring_wr[3:0][0] I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1201 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$51271$n1202 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$51271$n1204 I1=$abc$51271$n1206 I2=$false I3=$false O=$0\report_data_wadr[7:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$51271$n1205 I1=$2\ring_wr[3:0][1] I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1204 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$51271$n1205 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000011101111000 +.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$51271$n22 O=$abc$51271$n1206 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000010111110 +.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1919 I2=$abc$51271$n1208_1 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$51271$n681 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$51271$n1209 O=$abc$51271$n1208_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011010111 +.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n1210 I2=$abc$51271$n1212 I3=ring_wr[0] O=$abc$51271$n1209 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001010001000001 +.gate SB_LUT4 I0=ring_wr[1] I1=wr_cnt[2] I2=$abc$51271$n1211 I3=$false O=$abc$51271$n1210 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$51271$n1211 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51271$n1212 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1921 I2=$abc$51271$n1214_1 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$51271$n1217 I1=$abc$51271$n2414 I2=$abc$51271$n2416 I3=$abc$51271$n681 O=$abc$51271$n1214_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100001110101010 +.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$51271$n2416 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$123635$n1077 I2=$abc$123635$n1138 I3=$abc$123635$n1064 O=$abc$123635$n1137 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$123635$n1078 I2=$abc$123635$n1140 I3=$abc$123635$n1139 O=$abc$123635$n1138 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][6] I3=$false O=$abc$123635$n1139 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][6] O=$abc$123635$n1140 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010000000000 -.gate SB_LUT4 I0=$abc$123635$n1142 I1=$abc$123635$n1148 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$123635$n1072_1 I2=$abc$123635$n1143 I3=$abc$123635$n1145 O=$abc$123635$n1142 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[3][7] I1=$abc$123635$n1071 I2=$abc$123635$n1064 I3=$abc$123635$n1144 O=$abc$123635$n1143 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][7] I2=$false I3=$false O=$abc$123635$n1144 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51271$n2414 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$51271$n2413 I1=$abc$51271$n2411 I2=$false I3=$false O=$abc$51271$n1217 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$51271$n1211 I1=$abc$51271$n1210 I2=ring_wr[0] I3=$abc$51271$n1212 O=$abc$51271$n2413 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110101000110000 +.gate SB_LUT4 I0=$abc$51271$n1220 I1=$abc$51271$n1221 I2=ring_wr[1] I3=ring_wr[3] O=$abc$51271$n2411 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110101100010100 +.gate SB_LUT4 I0=$abc$51271$n1212 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$51271$n1220 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51271$n1221 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][7] I1=$abc$123635$n1063 I2=$abc$123635$n1146 I3=$abc$123635$n1147 O=$abc$123635$n1145 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$123635$n1146 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1923 I2=$abc$51271$n22 I3=$abc$51271$n1223 O=$0\report_data_wadr[7:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$6\report_data_wadr[7:0][5] I1=$abc$51271$n1903 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1223 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1925 I2=$abc$51271$n22 I3=$abc$51271$n1225_1 O=$0\report_data_wadr[7:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$6\report_data_wadr[7:0][6] I1=$abc$51271$n1906 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1225_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1927 I2=$abc$51271$n22 I3=$abc$51271$n1227 O=$0\report_data_wadr[7:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$6\report_data_wadr[7:0][7] I1=$abc$51271$n1909 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1227 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1937 I2=$false I3=$false O=$0\rststate[3:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$51271$n845 I2=$abc$51271$n922 I3=$abc$51271$n826 O=$abc$51271$n1229 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1939 I2=$false I3=$false O=$0\rststate[3:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1941 I2=$false I3=$false O=$0\rststate[3:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1943 I2=$false I3=$false O=$0\rststate[3:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$51271$n2005 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][7] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][7] O=$abc$123635$n1147 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[6][7] I1=$abc$123635$n1078 I2=$abc$123635$n1149 I3=$abc$123635$n1150 O=$abc$123635$n1148 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][7] I1=KEYBOARD.report[5][7] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1149 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011111101011111 -.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1150 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$51271$n2007 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$51271$n2009 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$51271$n2003 I2=$false I3=$false O=$abc$51271$n2457 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51271$n1247 I2=$abc$51271$n1239 I3=$false O=$abc$51271$n2019 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$51271$n1240 I1=$abc$51271$n1261 I2=$abc$51271$n1260 I3=$abc$51271$n1250 O=$abc$51271$n1239 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000011111 +.gate SB_LUT4 I0=$abc$51271$n1247 I1=$abc$51271$n1241 I2=$abc$51271$n1177 I3=$abc$51271$n1249 O=$abc$51271$n1240 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$51271$n1246 I1=$abc$51271$n1242 I2=$abc$51271$n307 I3=$abc$51271$n1243 O=$abc$51271$n1241 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=$abc$51271$n1052 I1=$abc$51271$n1048 I2=$abc$51271$n305 I3=$abc$51271$n877 O=$abc$51271$n1242 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110011111010 +.gate SB_LUT4 I0=$abc$51271$n1244 I1=$abc$51271$n1245 I2=$abc$51271$n877 I3=$abc$51271$n305 O=$abc$51271$n1243 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51271$n1054 I1=$abc$51271$n1046 I2=$abc$51271$n307 I3=$false O=$abc$51271$n1244 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$51271$n1050 I1=$abc$51271$n1042 I2=$abc$51271$n307 I3=$false O=$abc$51271$n1245 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$51271$n1044 I1=$abc$51271$n1040 I2=$abc$51271$n305 I3=$abc$51271$n877 O=$abc$51271$n1246 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110011111010 +.gate SB_LUT4 I0=$abc$51271$n2003 I1=$abc$51271$n1248 I2=$false I3=$false O=$abc$51271$n1247 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$51271$n14 I3=I2C.SDA_DIR O=$abc$51271$n1248 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$51271$n16 I2=$false I3=$false O=$abc$51271$n1249 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1247 I2=$abc$51271$n1251 I3=$abc$51271$n870 O=$abc$51271$n1250 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$51271$n1252 I1=$abc$51271$n1257 I2=$false I3=$false O=$abc$51271$n1251 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n1253 I1=$abc$51271$n1255 I2=$false I3=$false O=$abc$51271$n1252 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$51271$n1254 I1=$abc$51271$n694 I2=$abc$51271$n2003 I3=$false O=$abc$51271$n1253 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n743_1 I1=$add$top.v:125$80_Y[0] I2=$abc$123635$n748 I3=$false O=$2\wr_cnt[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=$add$top.v:125$80_Y[2] I3=$false O=$2\wr_cnt[3:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=$add$top.v:125$80_Y[3] I3=$false O=$2\wr_cnt[3:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$abc$123635$n748 I2=$false I3=$false O=$2\INT[0:0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1157 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111101 -.gate SB_LUT4 I0=$abc$123635$n824 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[0] I3=$abc$123635$n1158 O=$abc$123635$n1157 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100000011 -.gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n824 I2=$abc$123635$n812_1 I3=$abc$123635$n1159 O=$abc$123635$n1158 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001110 -.gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$123635$n1159 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[0] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$51271$n1254 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$51271$n1256 I3=I2C.received_byte[6] O=$abc$51271$n1255 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$51271$n1256 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51271$n1258 I1=$abc$51271$n1259 I2=$false I3=$false O=$abc$51271$n1257 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.is_ack I2=$false I3=$false O=$abc$51271$n1258 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n812_1 I2=$abc$123635$n1159 I3=i2c_input_data_type[0] O=$abc$123635$n1160 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n1162 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111101 -.gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[1] I3=$abc$123635$n1158 O=$abc$123635$n1162 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100000011 -.gate SB_LUT4 I0=$abc$123635$n1164 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=$abc$123635$n1158 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$123635$n1164 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$123635$n1166 I1=$abc$123635$n748 I2=$false I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1157 I1=$abc$123635$n1162 I2=$abc$123635$n1164 I3=I2C.is_read O=$abc$123635$n1166 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000110 -.gate SB_LUT4 I0=$abc$123635$n1168 I1=$abc$123635$n824 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100001100 -.gate SB_LUT4 I0=$abc$123635$n1170 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[0] O=$abc$123635$n1168 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010101010101 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76243[7] I1=$abc$123635$n784 I2=$auto$alumacc.cc:484:replace_alu$76181[7] I3=$false O=$abc$123635$n1169 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n778 I2=$abc$123635$n789 I3=$false O=$abc$123635$n1170 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n1172 I1=$abc$123635$n825 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100001100 -.gate SB_LUT4 I0=$abc$123635$n1173 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[1] O=$abc$123635$n1172 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010101010101 -.gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n1174 I2=$abc$123635$n789 I3=$false O=$abc$123635$n1173 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=$abc$123635$n1175 I3=$false O=$abc$123635$n1174 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$123635$n1175 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n1249 I1=$abc$51271$n1177 I2=$false I3=$false O=$abc$51271$n1259 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n871_1 I1=$abc$51271$n873 I2=$false I3=$false O=$abc$51271$n1260 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.is_ack I1=$abc$51271$n1249 I2=$abc$51271$n1177 I3=$abc$51271$n1247 O=$abc$51271$n1261 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$abc$51271$n1252 I1=$abc$51271$n1257 I2=$abc$51271$n870 I3=$abc$51271$n1263 O=$abc$51271$n2021 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=$abc$51271$n1248 I1=$abc$51271$n1264 I2=I2C.FLT_SDA.out I3=$abc$51271$n1260 O=$abc$51271$n1263 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$51271$n1258 I1=I2C.FLT_SCL.out I2=$abc$51271$n16 I3=$abc$51271$n1177 O=$abc$51271$n1264 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51271$n1266 I1=$abc$51271$n871_1 I2=$false I3=$false O=$abc$51271$n2023 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51271$n1253 I1=$abc$51271$n1257 I2=$abc$51271$n873 I3=I2C.received_byte[0] O=$abc$51271$n1266 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51271$n1272 I1=$abc$51271$n305 I2=$abc$51271$n1268 I3=$abc$51271$n2005 O=$abc$51271$n2025 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$51271$n1269 I1=$abc$51271$n1264 I2=$abc$51271$n1271 I3=$abc$51271$n873 O=$abc$51271$n1268 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$51271$n1270 I1=$abc$51271$n871_1 I2=$false I3=$false O=$abc$51271$n1269 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n1249 I2=$false I3=$false O=$abc$51271$n1270 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1258 I2=$abc$51271$n871_1 I3=$abc$51271$n874 O=$abc$51271$n1271 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n1177 I1=$abc$123635$n812_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010100001100 -.gate SB_LUT4 I0=$abc$123635$n1178 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[2] O=$abc$123635$n1177 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0001010101010101 -.gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n789 I2=$abc$123635$n1175 I3=$false O=$abc$123635$n1178 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n874 I1=$abc$51271$n1270 I2=$abc$51271$n871_1 I3=$abc$51271$n873 O=$abc$51271$n1272 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n1272 I2=$abc$51271$n1268 I3=$abc$51271$n2007 O=$abc$51271$n2027 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=$abc$51271$n1272 I1=$abc$51271$n307 I2=$abc$51271$n1268 I3=$abc$51271$n2009 O=$abc$51271$n2029 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$51271$n1276 I1=$abc$51271$n1277 I2=$abc$51271$n1279 I3=$false O=$abc$51271$n2031 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$abc$51271$n1270 I1=$abc$51271$n2457 I2=$abc$51271$n1264 I3=$abc$51271$n871_1 O=$abc$51271$n1276 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=$abc$51271$n2457 I1=$abc$51271$n874 I2=$abc$51271$n1278 I3=$abc$51271$n873 O=$abc$51271$n1277 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1258 I2=$abc$51271$n871_1 I3=$false O=$abc$51271$n1278 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$51271$n2457 I1=$abc$51271$n308 I2=$abc$51271$n1272 I3=$false O=$abc$51271$n1279 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2170 I2=$abc$51271$n1490 I3=$abc$51271$n1281 O=$abc$51271$n2033 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51271$n1264 I1=$abc$51271$n1257 I2=$abc$51271$n871_1 I3=$abc$51271$n873 O=$abc$51271$n1281 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$51271$n1494 I1=$abc$51271$n1499 I2=$abc$51271$n1283 I3=$false O=$abc$51271$n1282 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$123635$n1180 I1=$abc$123635$n1159 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000001100 -.gate SB_LUT4 I0=$abc$123635$n1169 I1=$abc$123635$n727 I2=I2C.received_byte[3] I3=$false O=$abc$123635$n1180 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n1284 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$51271$n1283 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[0] I1=$mul$top.v:177$125_Y[0] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:176$124_Y[0] I1=ring_rd[0] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=report_data_radr[0] I2=report_data_radr[1] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101000111100 -.gate SB_LUT4 I0=$abc$123635$n994 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$2\ring_rd[3:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[2] I1=$2\ring_rd[3:0][1] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[3] I1=$2\ring_rd[3:0][0] I2=$2\ring_rd[3:0][2] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$add$top.v:176$124_Y[2] I1=ring_rd[2] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$51271$n1284 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$51271$n1491 I1=$abc$51271$n1286 I2=$abc$51271$n1281 I3=$false O=$abc$51271$n2035 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$51271$n1282 I1=$2\I2C_INPUT_LEN[7:0][1] I2=$false I3=$false O=$abc$51271$n1286 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2172 I2=$abc$51271$n1493 I3=$abc$51271$n1281 O=$abc$51271$n2037 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2173 I2=$abc$51271$n1494 I3=$abc$51271$n1281 O=$abc$51271$n2039 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2174 I2=$abc$51271$n1496 I3=$abc$51271$n1281 O=$abc$51271$n2041 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2175 I2=$abc$51271$n1497 I3=$abc$51271$n1281 O=$abc$51271$n2043 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2176 I2=$abc$51271$n1499 I3=$abc$51271$n1281 O=$abc$51271$n2045 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2177 I2=$abc$51271$n1500 I3=$abc$51271$n1281 O=$abc$51271$n2047 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$51271$n870 I1=$abc$51271$n1251 I2=$abc$51271$n1295 I3=$abc$51271$n1296 O=$abc$51271$n2049 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111111111000 +.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n1258 I2=$abc$51271$n1249 I3=$abc$51271$n1260 O=$abc$51271$n1295 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n871_1 I2=$abc$51271$n873 I3=$abc$51271$n1258 O=$abc$51271$n1296 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001111100000000 +.gate SB_LUT4 I0=$abc$51271$n1299 I1=I2C.wr I2=$abc$51271$n1298 I3=$abc$51271$n1264 O=$abc$51271$n2051 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$51271$n2428 I1=$abc$51271$n1263 I2=$abc$51271$n870 I3=$false O=$abc$51271$n1298 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$51271$n1278 I1=$abc$51271$n1268 I2=$abc$51271$n1301 I3=$false O=$abc$51271$n1299 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n1301 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$51271$n1511 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2179 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$51271$n2181 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$51271$n1514 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2183 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$51271$n1515 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2185 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$51271$n892 I1=$abc$51271$n890 I2=$abc$51271$n894 I3=$false O=$abc$51271$n2186 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$abc$51271$n2190 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2191 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[4] I1=$auto$maccmap.cc:111:fulladd$76951[4] I2=$auto$maccmap.cc:112:fulladd$76952[3] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$51271$n2194 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=$2\ring_rd[3:0][2] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$76952[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_rd[3:0][1] I1=$2\ring_rd[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:111:fulladd$76951[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$add$top.v:176$124_Y[3] I1=ring_rd[3] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[5] I1=$mul$top.v:177$125_Y[5] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[6] I1=$mul$top.v:177$125_Y[6] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$abc$51271$n2196 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2197 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$add$top.v:180$126_Y[7] I1=$mul$top.v:177$125_Y[7] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$abc$51271$n30 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2199 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$auto$rtlil.cc:1692:NotGate$123580 O=$2\UART_WR[0:0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111001011111111 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$123635$n1197 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$51271$n2190 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2203 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_TX_DESC[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$123635$n1197 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$123635$n1199 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_TX_DESC[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$123635$n1199 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$123635$n1201 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_TX_DESC[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$123635$n1201 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$123635$n1203 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_TX_DESC[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$123635$n1203 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n995 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$51271$n2205 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$51271$n2196 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2207 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$123635$n1205 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$51271$n30 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2209 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_TX_DESC[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$123635$n1205 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n995 I1=KEYBOARD.ram_wr I2=$abc$51271$n29 I3=$abc$51271$n709 O=$abc$51271$n2213 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2243 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$123635$n1207 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2249 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_TX_DESC[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$123635$n1207 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2252 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$123635$n1209 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2255 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_TX_DESC[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$123635$n1209 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2258 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$123635$n1211 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2261 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_TX_DESC[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$123635$n1211 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2262 I2=$false I3=$false O=$abc$51271$n2264 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51271$n696 I1=$abc$51271$n1499_1 I2=$false I3=$false O=$abc$51271$n2271 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n394 I2=$false I3=$false O=$abc$51271$n2265 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n383 I2=$false I3=$false O=$abc$51271$n2266 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2203 I2=$false I3=$false O=$abc$51271$n2267 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2205 I2=$false I3=$false O=$abc$51271$n2268 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2207 I2=$false I3=$false O=$abc$51271$n2269 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2209 I2=$false I3=$false O=$abc$51271$n2270 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2273 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][0] I2=$false I3=$false O=$2\int_tmr[19:0][0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=int_tmr[0] I2=int_tmr[1] I3=$false O=$2\int_tmr[19:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][2] I2=$false I3=$false O=$2\int_tmr[19:0][2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][3] I2=$false I3=$false O=$2\int_tmr[19:0][3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][4] I2=$false I3=$false O=$2\int_tmr[19:0][4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][5] I2=$false I3=$false O=$2\int_tmr[19:0][5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][6] I2=$false I3=$false O=$2\int_tmr[19:0][6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][7] I2=$false I3=$false O=$2\int_tmr[19:0][7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][8] I2=$false I3=$false O=$2\int_tmr[19:0][8] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][9] I2=$false I3=$false O=$2\int_tmr[19:0][9] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][10] I2=$false I3=$false O=$2\int_tmr[19:0][10] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][11] I2=$false I3=$false O=$2\int_tmr[19:0][11] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][12] I2=$false I3=$false O=$2\int_tmr[19:0][12] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][13] I2=$false I3=$false O=$2\int_tmr[19:0][13] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][14] I2=$false I3=$false O=$2\int_tmr[19:0][14] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][15] I2=$false I3=$false O=$2\int_tmr[19:0][15] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][16] I2=$false I3=$false O=$2\int_tmr[19:0][16] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][17] I2=$false I3=$false O=$2\int_tmr[19:0][17] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][18] I2=$false I3=$false O=$2\int_tmr[19:0][18] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$add$top.v:271$182_Y[19] I1=int_tmr[19] I2=$abc$123635$n800 I3=$false O=$2\int_tmr[19:0][19] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1242 I1=$abc$123635$n1243 I2=$abc$123635$n1235 I3=$false O=$techmap\I2C.$procmux$31862_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11110010 -.gate SB_LUT4 I0=$abc$123635$n1241 I1=$abc$123635$n1240 I2=$abc$123635$n844 I3=$abc$123635$n1236 O=$abc$123635$n1235 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010101010101000 -.gate SB_LUT4 I0=$abc$123635$n1239 I1=$abc$123635$n1237 I2=$abc$123635$n843 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n1236 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011000001010000 -.gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n845 I2=$false I3=$false O=$abc$123635$n1237 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.is_ack I2=$false I3=$false O=$abc$123635$n1238 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$123635$n1239 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n1239 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n843 O=$abc$123635$n1240 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.wr O=$abc$123635$n1241 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101011100000000 -.gate SB_LUT4 I0=$abc$123635$n1237 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$false I3=$false O=$abc$123635$n1242 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76292[7] I1=$abc$123635$n1244 I2=$abc$123635$n844 I3=$abc$123635$n843 O=$abc$123635$n1243 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110011111010 -.gate SB_LUT4 I0=$abc$123635$n1245 I1=I2C.FLT_SDA.out I2=$false I3=$false O=$abc$123635$n1244 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.SDA_DIR O=$abc$123635$n1245 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101011100000000 -.gate SB_LUT4 I0=I2C.is_ack I1=$abc$123635$n1247 I2=$abc$123635$n844 I3=$false O=$techmap\I2C.$procmux$31816_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$123635$n1255 I1=$abc$123635$n1248 I2=$abc$123635$n843 I3=$false O=$abc$123635$n1247 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$123635$n1249 I1=$abc$123635$n1239 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1238 O=$abc$123635$n1248 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100000010111111 -.gate SB_LUT4 I0=$abc$123635$n1250 I1=$abc$123635$n1253 I2=$false I3=$false O=$abc$123635$n1249 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1251 I1=$abc$123635$n1252 I2=$false I3=$false O=$abc$123635$n1250 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76297[0] I1=$auto$alumacc.cc:483:replace_alu$76296[1] I2=$auto$alumacc.cc:483:replace_alu$76296[2] I3=$auto$alumacc.cc:483:replace_alu$76296[3] O=$abc$123635$n1251 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$auto$alumacc.cc:483:replace_alu$76296[5] I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$auto$alumacc.cc:483:replace_alu$76296[7] O=$abc$123635$n1252 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$123635$n1254 I1=I2C.received_byte[2] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$123635$n1253 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001000000000 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=I2C.received_byte[1] O=$abc$123635$n1254 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000100000 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76209[3] I1=$abc$123635$n1238 I2=$abc$123635$n1239 I3=$false O=$abc$123635$n1255 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76297[0] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[0] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1257 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011001010 -.gate SB_LUT4 I0=$abc$123635$n1259 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$false I3=$false O=$abc$123635$n1258 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n1239 I2=$false I3=$false O=$abc$123635$n1259 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$123635$n1261 I1=$abc$123635$n1262 I2=$auto$alumacc.cc:483:replace_alu$76296[4] I3=I2C.byte_counter[5] O=$abc$123635$n1260 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$123635$n1261 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n1262 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$123635$n1257 I1=$abc$123635$n1260 I2=$auto$alumacc.cc:484:replace_alu$76297[0] I3=$auto$alumacc.cc:483:replace_alu$76296[1] O=$techmap\I2C.$procmux$31770_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101111110101000 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[2] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[3] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[3] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$abc$123635$n1268 I2=$abc$123635$n844 I3=$abc$123635$n1267 O=$techmap\I2C.$procmux$31770_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110100010 -.gate SB_LUT4 I0=$techmap\I2C.$add$i2c_slave.v:157$270_Y[4] I1=$abc$123635$n1260 I2=$abc$123635$n1257 I3=$false O=$abc$123635$n1267 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=$abc$51271$n699 I2=$abc$51271$n1333 I3=$abc$51271$n712 O=$abc$51271$n2274 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111111111000 +.gate SB_LUT4 I0=$abc$51271$n396 I1=$abc$51271$n394 I2=KEYBOARD.temp[4] I3=$false O=$abc$51271$n1333 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1268 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111111001010 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[5] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[5] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$abc$123635$n1271 I1=$abc$123635$n1257 I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$false O=$techmap\I2C.$procmux$31770_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10111010 -.gate SB_LUT4 I0=$techmap\I2C.$add$i2c_slave.v:157$270_Y[6] I1=$abc$123635$n1260 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1272 O=$abc$123635$n1271 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011100000 -.gate SB_LUT4 I0=$abc$123635$n1259 I1=$abc$123635$n1237 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1272 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111100110101 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[7] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110010101010 -.gate SB_LUT4 I0=$abc$123635$n1276 I1=$abc$123635$n1275 I2=$auto$alumacc.cc:483:replace_alu$76299[0] I3=$abc$123635$n844 O=$techmap\I2C.$procmux$31678_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111000010111010 -.gate SB_LUT4 I0=$abc$123635$n845 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$abc$123635$n1240 I3=$abc$123635$n1236 O=$abc$123635$n1275 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000101111 -.gate SB_LUT4 I0=$abc$123635$n1277 I1=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I2=$false I3=$false O=$abc$123635$n1276 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$123635$n843 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=I2C.SCL_LAST I3=I2C.FLT_SCL.out O=$abc$123635$n1277 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000100100000 -.gate SB_LUT4 I0=$abc$123635$n1280 I1=$abc$123635$n838 I2=$abc$123635$n1279 I3=$techmap\I2C.$procmux$31037_Y[1] O=$techmap\I2C.$procmux$31678_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010111100100010 -.gate SB_LUT4 I0=$abc$123635$n1275 I1=$abc$123635$n844 I2=$false I3=$false O=$abc$123635$n1279 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1277 I1=$abc$123635$n844 I2=$false I3=$false O=$abc$123635$n1280 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=$abc$123635$n1280 I1=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I2=$techmap\I2C.$procmux$31037_Y[2] I3=$abc$123635$n1279 O=$techmap\I2C.$procmux$31678_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000100011111000 -.gate SB_LUT4 I0=$abc$123635$n1280 I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$alumacc.cc:483:replace_alu$76208[3] I3=$abc$123635$n1279 O=$techmap\I2C.$procmux$31678_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101110100001101 -.gate SB_LUT4 I0=$abc$123635$n1284 I1=$abc$123635$n843 I2=$false I3=$false O=$techmap\I2C.$procmux$31632_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$abc$51271$n697 I1=$abc$51271$n1335 I2=$false I3=$false O=$abc$51271$n2275 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n1250 I1=$abc$123635$n1258 I2=$abc$123635$n844 I3=I2C.received_byte[0] O=$abc$123635$n1284 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=$abc$123635$n1286 I1=$abc$123635$n1249 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$techmap\I2C.$procmux$31586_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001010111 -.gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n1244 I3=$abc$123635$n843 O=$abc$123635$n1286 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1100000010101010 -.gate SB_LUT4 I0=$abc$123635$n1245 I1=$abc$123635$n1288 I2=$abc$123635$n844 I3=$false O=$techmap\I2C.$procmux$31540_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$51271$n700 I3=$abc$51271$n699 O=$abc$51271$n1335 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$51271$n1337 I2=$abc$51271$n709 I3=$false O=$abc$51271$n2276 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$123635$n1296 I1=$abc$123635$n1289 I2=$abc$123635$n1298 I3=$abc$123635$n843 O=$abc$123635$n1288 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000001110101010 -.gate SB_LUT4 I0=$abc$123635$n1295 I1=$abc$123635$n1294 I2=$abc$123635$n1290 I3=$auto$simplemap.cc:250:simplemap_eqne$79429[2] O=$abc$123635$n1289 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010000011000000 -.gate SB_LUT4 I0=$abc$123635$n1291 I1=$abc$123635$n1292 I2=$abc$123635$n1239 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n1290 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000010000 -.gate SB_LUT4 I0=$abc$123635$n1205 I1=$abc$123635$n1197 I2=$abc$123635$n837 I3=$auto$simplemap.cc:250:simplemap_eqne$79429[2] O=$abc$123635$n1291 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1010000011000000 -.gate SB_LUT4 I0=$abc$123635$n838 I1=$abc$123635$n1293 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$abc$123635$n1292 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$123635$n1209 I1=$abc$123635$n1201 I2=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I3=$false O=$abc$123635$n1293 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$123635$n1203 I1=$abc$123635$n1199 I2=$abc$123635$n838 I3=$auto$simplemap.cc:250:simplemap_eqne$79651[0] O=$abc$123635$n1294 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$123635$n1211 I1=$abc$123635$n1207 I2=$abc$123635$n838 I3=$auto$simplemap.cc:250:simplemap_eqne$79651[0] O=$abc$123635$n1295 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1249 I2=$abc$123635$n1297 I3=$false O=$abc$123635$n1296 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76209[3] I1=$abc$123635$n1239 I2=$abc$123635$n1245 I3=$false O=$abc$123635$n1297 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$123635$n1239 I1=$abc$123635$n1238 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1245 O=$abc$123635$n1298 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1101010100000000 -.gate SB_LUT4 I0=$abc$123635$n1305 I1=$abc$123635$n1300 I2=$auto$alumacc.cc:484:replace_alu$76171[3] I3=$techmap\UART.$sub$uart.v:40$588_Y[0] O=$techmap\UART.$procmux$965_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111110011111010 -.gate SB_LUT4 I0=$abc$123635$n1304 I1=$abc$123635$n1303 I2=$abc$123635$n1301 I3=$false O=$abc$123635$n1300 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76170[1] I1=$auto$simplemap.cc:309:simplemap_lut$87755[7] I2=$false I3=$false O=$abc$123635$n1301 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[1] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1303 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[3] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1304 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$123635$n1307 I1=$abc$123635$n1306 I2=$abc$123635$n1301 I3=$false O=$abc$123635$n1305 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[0] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1306 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[2] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1307 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=$abc$123635$n1309 I3=UART.tx_activity O=$techmap\UART.$procmux$826_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000111100100010 -.gate SB_LUT4 I0=$abc$123635$n861 I1=$abc$123635$n863 I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$123635$n1309 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000001000 -.gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[0] I3=$false O=$techmap\UART.$procmux$812_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111011 -.gate SB_LUT4 I0=UART.tx_activity I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$techmap\UART.$procmux$812_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000010 -.gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[2] I3=$false O=$techmap\UART.$procmux$812_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111011 -.gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[3] I3=$false O=$techmap\UART.$procmux$812_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11111011 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n881 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$51271$n699 I3=$false O=$abc$51271$n1337 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$51271$n704 I1=$abc$51271$n1339 I2=$false I3=$false O=$abc$51271$n2277 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$51271$n705 I3=$abc$51271$n699 O=$abc$51271$n1339 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$51271$n706 I1=$abc$51271$n1341 I2=$false I3=$false O=$abc$51271$n2278 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$51271$n707 I3=$abc$51271$n699 O=$abc$51271$n1341 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$51271$n702 I1=$abc$51271$n1343 I2=$false I3=$false O=$abc$51271$n2279 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$51271$n703 I3=$abc$51271$n699 O=$abc$51271$n1343 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$51271$n1345 I2=$abc$51271$n711 I3=$false O=$abc$51271$n2280 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$51271$n699 I3=$false O=$abc$51271$n1345 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$51271$n943_1 I1=$abc$51271$n917 I2=$false I3=$false O=$abc$51271$n2282 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$51271$n27 I2=$abc$51271$n918 I3=$false O=$abc$51271$n2286 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1324 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51271$n27 I2=$abc$51271$n1349_1 I3=$false O=$abc$51271$n2289 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1324 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51271$n1349_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1326 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$51271$n27 I2=$abc$51271$n1351 I3=$false O=$abc$51271$n2292 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1326 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000100 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1328_1 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$51271$n1351 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51271$n27 I2=$abc$51271$n1353 I3=$false O=$abc$51271$n2295 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1328_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51271$n1353 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1330 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$51271$n27 I2=$abc$51271$n1355 I3=$false O=$abc$51271$n2298 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1330 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00000010 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1332 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1355 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$51271$n27 I2=$abc$51271$n1357 I3=$false O=$abc$51271$n2301 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1332 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00100000 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1334 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1357 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$51271$n27 I2=$abc$51271$n1359_1 I3=$false O=$abc$51271$n2304 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1334 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001000 -.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n878 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1359_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$51271$n27 I2=$abc$51271$n1361 I3=$false O=$abc$51271$n2307 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=$abc$123635$n1337_1 I1=$abc$123635$n879 I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$25493_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n883 I2=$abc$123635$n889 I3=$abc$123635$n905 O=$abc$123635$n1337_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$auto$wreduce.cc:310:run$76146[0] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$simplemap.cc:309:simplemap_lut$88852[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123596 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$auto$wreduce.cc:310:run$76140[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 01111000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$auto$wreduce.cc:310:run$76146[2] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$wreduce.cc:310:run$76140[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$auto$wreduce.cc:310:run$76146[3] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$wreduce.cc:310:run$76140[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$123635$n711 I2=$abc$123635$n708 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$123635$n702 I2=$abc$123635$n697 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=$abc$123635$n707 I2=$abc$123635$n703 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$123635$n699 I2=$abc$123635$n692 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$123635$n695 I2=$abc$123635$n688 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$123635$n687 I2=$abc$123635$n677 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$123635$n1349 I2=$abc$123635$n673 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n1349 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$123635$n1351 I2=$abc$123635$n661 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n1351 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$123635$n1362 I1=$abc$123635$n1360 I2=$abc$123635$n1353 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111110100010 -.gate SB_LUT4 I0=$abc$123635$n697 I1=$techmap\KEYBOARD.$add$matrix_kbd.v:143$436_Y[0] I2=$abc$123635$n1359 I3=$abc$123635$n1354 O=$abc$123635$n1353 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011110100 -.gate SB_LUT4 I0=$abc$123635$n688 I1=$auto$wreduce.cc:310:run$76141[0] I2=$abc$123635$n1355 I3=$abc$123635$n1358 O=$abc$123635$n1354 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010111100000000 -.gate SB_LUT4 I0=$abc$123635$n677 I1=$abc$123635$n1357 I2=$auto$wreduce.cc:310:run$76142[0] I3=$abc$123635$n1356 O=$abc$123635$n1355 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011111101 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76143[0] I1=$auto$wreduce.cc:310:run$76144[0] I2=$abc$123635$n660 I3=$abc$123635$n673 O=$abc$123635$n1356 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000010100000011 -.gate SB_LUT4 I0=$abc$123635$n687 I1=KEYBOARD.COLS_SHADOW[5] I2=$false I3=$false O=$abc$123635$n1357 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n688 I1=$abc$123635$n695 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n692 O=$abc$123635$n1358 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$123635$n692 I1=$abc$123635$n699 I2=KEYBOARD.COLS_SHADOW[3] I3=$abc$123635$n697 O=$abc$123635$n1359 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000011010111 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$add$matrix_kbd.v:143$412_Y[0] I1=$abc$123635$n697 I2=$abc$123635$n703 I3=$abc$123635$n1361 O=$abc$123635$n1360 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$123635$n697 I1=$abc$123635$n702 I2=KEYBOARD.COLS_SHADOW[1] I3=$false O=$abc$123635$n1361 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000010 -.gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n703 I2=$abc$123635$n1363 I3=$auto$wreduce.cc:310:run$76140[0] O=$abc$123635$n1362 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0101010101010001 -.gate SB_LUT4 I0=$abc$123635$n707 I1=KEYBOARD.COLS_SHADOW[2] I2=$false I3=$false O=$abc$123635$n1363 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n711 I2=KEYBOARD.COLS_SHADOW[0] I3=$false O=$abc$123635$n1364 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 10000010 -.gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n1361 I2=$abc$123635$n1366 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101010100 -.gate SB_LUT4 I0=$abc$123635$n1367 I1=$abc$123635$n697 I2=$false I3=$false O=$abc$123635$n1366 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$123635$n688 I1=$abc$123635$n695 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n1368 O=$abc$123635$n1367 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$123635$n1357 I1=$abc$123635$n677 I2=$abc$123635$n688 I3=$false O=$abc$123635$n1368 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n1371 I2=$abc$123635$n1370 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1111111101010100 -.gate SB_LUT4 I0=$abc$123635$n1361 I1=$abc$123635$n1359 I2=$abc$123635$n703 I3=$false O=$abc$123635$n1370 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$123635$n1363 I1=$abc$123635$n703 I2=$false I3=$false O=$abc$123635$n1371 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$simplemap.cc:309:simplemap_lut$88852[0] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n1368 I1=$abc$123635$n660 I2=$abc$123635$n1374_1 I3=$techmap\KEYBOARD.$procmux$15309_Y[2] O=$techmap\KEYBOARD.$procmux$15309_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$123635$n1358 I1=$abc$123635$n1361 I2=$abc$123635$n1371 I3=$abc$123635$n1364 O=$abc$123635$n1374_1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000000010 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n952 I1=$abc$123635$n871 I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$13598_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$auto$wreduce.cc:310:run$76146[0] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$techmap\KEYBOARD.$procmux$10950_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$auto$wreduce.cc:310:run$76146[2] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$auto$wreduce.cc:310:run$76146[3] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$auto$wreduce.cc:310:run$76139[0] O=$techmap\I2C_HID_DESC.$procmux$659_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100100000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$techmap\I2C_HID_DESC.$procmux$659_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1361 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$51271$n2308 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$51271$n2309 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$51271$n2310 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$51271$n2311 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$51271$n2312 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$51271$n2313 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$51271$n2314 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$51271$n2315 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51271$n2321 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51271$n2322 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$51271$n2324 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01001011 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$techmap\I2C_HID_DESC.$procmux$659_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$51271$n2326 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111110110000 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$auto$wreduce.cc:310:run$76139[7] O=$techmap\I2C_HID_DESC.$procmux$659_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1110111100100000 -.gate SB_LUT4 I0=$abc$123635$n959 I1=$auto$wreduce.cc:310:run$76138[2] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$123635$n965 I1=$auto$wreduce.cc:310:run$76137[2] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$51271$n2327 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51271$n2328 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=$abc$51271$n1007 I1=$abc$51271$n1629 I2=$false I3=$false O=$abc$51271$n2331 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1633 O=$abc$51271$n2334 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$false I3=$false O=$auto$wreduce.cc:310:run$76145[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$auto$wreduce.cc:310:run$76147[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$77153[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51271$n2509 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$auto$maccmap.cc:111:fulladd$77133[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51271$n2516 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001011111000000 -.gate SB_LUT4 I0=$abc$123635$n1041 I1=wr_cnt[2] I2=ring_wr[1] I3=ring_wr[3] O=$auto$maccmap.cc:112:fulladd$77134[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$auto$maccmap.cc:112:fulladd$77134[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=ring_wr[3] I1=$abc$51271$n1220 I2=$false I3=$false O=$abc$51271$n2518 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51271$n2519 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110100000000000 -.gate SB_LUT4 I0=$2\ring_rd[3:0][1] I1=$2\ring_rd[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$76952[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" +.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51271$n2529 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000001000001 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000010000010 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000010100 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0000000000101000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=$abc$51271$n2190 I1=$abc$51271$n2196 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51271$n2572 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$51271$n2196 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2190 O=$abc$51271$n2573 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$51271$n2190 I1=$abc$51271$n2196 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51271$n2574 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=$abc$51271$n2196 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2190 O=$abc$51271$n2575 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=$abc$51271$n2190 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2196 O=$abc$51271$n2576 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 1000001000000000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51271$n2190 I3=$abc$51271$n2196 O=$abc$51271$n2577 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$51271$n2190 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2196 O=$abc$51271$n2578 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" -.param LUT_INIT 0010100000000000 -.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$false I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$29977_CMP -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51271$n2190 I3=$abc$51271$n2196 O=$abc$51271$n2579 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$51271$n5 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[3] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$51271$n7 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n10 I1=$false I2=$false I3=$false O=COM_DSR +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=RESET I1=$false I2=$false I3=$false O=$logic_not$top.v:68$13_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n12 I1=$false I2=$false I3=$false O=COM_TX +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$eq$top.v:256$174_Y -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n22 I1=$false I2=$false I3=$false O=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I1=$false I2=$false I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122616 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$51271$n25 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n27 I1=$false I2=$false I3=$false O=$abc$51271$n26 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n30 I1=$false I2=$false I3=$false O=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$51271$n184 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$51271$n185 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$51271$n187 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$51271$n188 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$51271$n191 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$51271$n194 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$51271$n214 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$51271$n217 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$51271$n218 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$51271$n221 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$51271$n222 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$51271$n224 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$sub$top.v:88$26_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$51271$n225 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76208[3] I1=$false I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$51271$n227 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$87755[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$51271$n228 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76170[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$51271$n230 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76299[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[0] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n1313 I1=$false I2=$false I3=$false O=$abc$51271$n1382 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n1915 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n2457 I1=$false I2=$false I3=$false O=$abc$51271$n2011 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n2380 I1=$false I2=$false I3=$false O=$abc$51271$n2379 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n1491 I1=$false I2=$false I3=$false O=$abc$51271$n2390 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n2007 I1=$false I2=$false I3=$false O=$abc$51271$n2392 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n2396 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n2397 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76230.BB[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$51271$n2448 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$31037_Y[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$51271$n2449 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$techmap\I2C.$procmux$31037_Y[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$51271$n2450 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[1] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$51271$n2451 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$51271$n2452 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[5] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$51271$n2453 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[6] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$51271$n2454 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[7] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n2005 I1=$false I2=$false I3=$false O=$abc$51271$n2455 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n2009 I1=$false I2=$false I3=$false O=$abc$51271$n2456 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[4] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$51271$n2458 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76212.BB[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$51271$n2461 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[2] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n2381 I1=$false I2=$false I3=$false O=$abc$51271$n2463 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[3] -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n2464 I1=$false I2=$false I3=$false O=$abc$51271$n2465 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=INT I1=$false I2=$false I3=$false O=LED1 -.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" +.gate SB_LUT4 I0=$abc$51271$n2466 I1=$false I2=$false I3=$false O=$abc$51271$n2467 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76170[0] CO=$auto$alumacc.cc:484:replace_alu$76171[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76171[1] CO=$auto$alumacc.cc:484:replace_alu$76171[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76171[2] CO=$auto$alumacc.cc:484:replace_alu$76171[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76175[1] CO=$auto$alumacc.cc:484:replace_alu$76176[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[2] CO=$auto$alumacc.cc:484:replace_alu$76176[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[3] CO=$auto$alumacc.cc:484:replace_alu$76176[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[4] CO=$auto$alumacc.cc:484:replace_alu$76176[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[5] CO=$auto$alumacc.cc:484:replace_alu$76176[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[6] CO=$auto$alumacc.cc:484:replace_alu$76176[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.BB[1] CO=$auto$alumacc.cc:484:replace_alu$76181[2] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76241.BB[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[2] CO=$auto$alumacc.cc:484:replace_alu$76181[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[3] CO=$auto$alumacc.cc:484:replace_alu$76181[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[4] CO=$auto$alumacc.cc:484:replace_alu$76181[5] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[5] CO=$auto$alumacc.cc:484:replace_alu$76181[6] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[6] CO=$auto$alumacc.cc:484:replace_alu$76181[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:484:replace_alu$76186[4] I0=KEYBOARD.kbd_code_hid[4] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[4] CO=$auto$alumacc.cc:484:replace_alu$76186[5] I0=KEYBOARD.kbd_code_hid[5] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[5] CO=$auto$alumacc.cc:484:replace_alu$76186[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[6] CO=$auto$alumacc.cc:484:replace_alu$76186[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:484:replace_alu$76198[1] I0=KEYBOARD.kbd_code_hid[1] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[1] CO=$auto$alumacc.cc:484:replace_alu$76198[2] I0=KEYBOARD.kbd_code_hid[2] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[2] CO=$auto$alumacc.cc:484:replace_alu$76198[3] I0=KEYBOARD.kbd_code_hid[3] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[3] CO=$auto$alumacc.cc:484:replace_alu$76198[4] I0=KEYBOARD.kbd_code_hid[4] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[4] CO=$auto$alumacc.cc:484:replace_alu$76198[5] I0=KEYBOARD.kbd_code_hid[5] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[5] CO=$auto$alumacc.cc:484:replace_alu$76198[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[6] CO=$auto$alumacc.cc:484:replace_alu$76198[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76208[0] CO=$auto$alumacc.cc:484:replace_alu$76209[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76209[1] CO=$auto$alumacc.cc:484:replace_alu$76209[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76209[2] CO=$auto$alumacc.cc:484:replace_alu$76209[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76213[0] CO=$auto$alumacc.cc:484:replace_alu$76214[1] I0=$true I1=$sub$top.v:88$26_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[1] CO=$auto$alumacc.cc:484:replace_alu$76214[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[2] CO=$auto$alumacc.cc:484:replace_alu$76214[3] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76212.BB[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[3] CO=$auto$alumacc.cc:484:replace_alu$76214[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[4] CO=$auto$alumacc.cc:484:replace_alu$76214[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[5] CO=$auto$alumacc.cc:484:replace_alu$76214[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[6] CO=$auto$alumacc.cc:484:replace_alu$76214[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:484:replace_alu$76225[2] I0=init_ram_cnt[2] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[2] CO=$auto$alumacc.cc:484:replace_alu$76225[3] I0=init_ram_cnt[3] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[3] CO=$auto$alumacc.cc:484:replace_alu$76225[4] I0=init_ram_cnt[4] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[4] CO=$auto$alumacc.cc:484:replace_alu$76225[5] I0=init_ram_cnt[5] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[5] CO=$auto$alumacc.cc:484:replace_alu$76225[6] I0=init_ram_cnt[6] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[6] CO=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I0=init_ram_cnt[7] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76231[0] CO=$auto$alumacc.cc:484:replace_alu$76232[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76231[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76232[1] CO=$auto$alumacc.cc:484:replace_alu$76232[2] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76230.BB[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76232[2] CO=$auto$alumacc.cc:484:replace_alu$76232[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76231[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.BB[1] CO=$auto$alumacc.cc:470:replace_alu$76241.C[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[3] CO=$auto$alumacc.cc:470:replace_alu$76241.C[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[4] CO=$auto$alumacc.cc:470:replace_alu$76241.C[5] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[5] CO=$auto$alumacc.cc:470:replace_alu$76241.C[6] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[6] CO=$auto$alumacc.cc:470:replace_alu$76241.C[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[7] CO=$auto$alumacc.cc:484:replace_alu$76243[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76170[0] I3=$true O=$techmap\UART.$sub$uart.v:40$588_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76170[0] CO=$auto$alumacc.cc:484:replace_alu$76256[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76170[2] I3=$auto$alumacc.cc:484:replace_alu$76256[1] O=$techmap\UART.$sub$uart.v:40$588_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$add$top.v:125$80_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76259[1] I0=$false I1=wr_cnt[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76259[1] O=$add$top.v:125$80_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76259[1] CO=$auto$alumacc.cc:484:replace_alu$76259[2] I0=$false I1=wr_cnt[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76259[2] O=$add$top.v:125$80_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$add$top.v:176$124_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:484:replace_alu$76262[1] I0=$false I1=ring_rd[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:484:replace_alu$76262[1] O=$add$top.v:176$124_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76262[1] CO=$auto$alumacc.cc:484:replace_alu$76262[2] I0=$false I1=ring_rd[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:484:replace_alu$76262[2] O=$add$top.v:176$124_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:180$126_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:484:replace_alu$76265[1] I0=$false I1=report_data_radr[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:484:replace_alu$76265[1] O=$add$top.v:180$126_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[1] CO=$auto$alumacc.cc:484:replace_alu$76265[2] I0=$false I1=report_data_radr[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:484:replace_alu$76265[2] O=$add$top.v:180$126_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[2] CO=$auto$alumacc.cc:484:replace_alu$76265[3] I0=$false I1=report_data_radr[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:484:replace_alu$76265[3] O=$add$top.v:180$126_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[3] CO=$auto$alumacc.cc:484:replace_alu$76265[4] I0=$false I1=report_data_radr[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:484:replace_alu$76265[4] O=$add$top.v:180$126_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[4] CO=$auto$alumacc.cc:484:replace_alu$76265[5] I0=$false I1=report_data_radr[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:484:replace_alu$76265[5] O=$add$top.v:180$126_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[5] CO=$auto$alumacc.cc:484:replace_alu$76265[6] I0=$false I1=report_data_radr[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:484:replace_alu$76265[6] O=$add$top.v:180$126_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[19:0][0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:484:replace_alu$76268[9] O=$13\int_tmr[19:0][10] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[9] CO=$auto$alumacc.cc:484:replace_alu$76268[10] I0=$false I1=int_tmr[10] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:484:replace_alu$76268[10] O=$13\int_tmr[19:0][11] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[10] CO=$auto$alumacc.cc:484:replace_alu$76268[11] I0=$false I1=int_tmr[11] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:484:replace_alu$76268[11] O=$13\int_tmr[19:0][12] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[11] CO=$auto$alumacc.cc:484:replace_alu$76268[12] I0=$false I1=int_tmr[12] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:484:replace_alu$76268[12] O=$13\int_tmr[19:0][13] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[12] CO=$auto$alumacc.cc:484:replace_alu$76268[13] I0=$false I1=int_tmr[13] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:484:replace_alu$76268[13] O=$13\int_tmr[19:0][14] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[13] CO=$auto$alumacc.cc:484:replace_alu$76268[14] I0=$false I1=int_tmr[14] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[15] I3=$auto$alumacc.cc:484:replace_alu$76268[14] O=$13\int_tmr[19:0][15] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[14] CO=$auto$alumacc.cc:484:replace_alu$76268[15] I0=$false I1=int_tmr[15] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[16] I3=$auto$alumacc.cc:484:replace_alu$76268[15] O=$13\int_tmr[19:0][16] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[15] CO=$auto$alumacc.cc:484:replace_alu$76268[16] I0=$false I1=int_tmr[16] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[17] I3=$auto$alumacc.cc:484:replace_alu$76268[16] O=$13\int_tmr[19:0][17] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[16] CO=$auto$alumacc.cc:484:replace_alu$76268[17] I0=$false I1=int_tmr[17] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[18] I3=$auto$alumacc.cc:484:replace_alu$76268[17] O=$13\int_tmr[19:0][18] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[17] CO=$auto$alumacc.cc:484:replace_alu$76268[18] I0=$false I1=int_tmr[18] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[19] I3=$auto$alumacc.cc:484:replace_alu$76268[18] O=$add$top.v:271$182_Y[19] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:484:replace_alu$76268[1] I0=$false I1=int_tmr[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:484:replace_alu$76268[1] O=$13\int_tmr[19:0][2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[1] CO=$auto$alumacc.cc:484:replace_alu$76268[2] I0=$false I1=int_tmr[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:484:replace_alu$76268[2] O=$13\int_tmr[19:0][3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[2] CO=$auto$alumacc.cc:484:replace_alu$76268[3] I0=$false I1=int_tmr[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:484:replace_alu$76268[3] O=$13\int_tmr[19:0][4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[3] CO=$auto$alumacc.cc:484:replace_alu$76268[4] I0=$false I1=int_tmr[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:484:replace_alu$76268[4] O=$13\int_tmr[19:0][5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[4] CO=$auto$alumacc.cc:484:replace_alu$76268[5] I0=$false I1=int_tmr[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:484:replace_alu$76268[5] O=$13\int_tmr[19:0][6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[5] CO=$auto$alumacc.cc:484:replace_alu$76268[6] I0=$false I1=int_tmr[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:484:replace_alu$76268[6] O=$13\int_tmr[19:0][7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[6] CO=$auto$alumacc.cc:484:replace_alu$76268[7] I0=$false I1=int_tmr[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:484:replace_alu$76268[7] O=$13\int_tmr[19:0][8] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[7] CO=$auto$alumacc.cc:484:replace_alu$76268[8] I0=$false I1=int_tmr[8] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:484:replace_alu$76268[8] O=$13\int_tmr[19:0][9] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[8] CO=$auto$alumacc.cc:484:replace_alu$76268[9] I0=$false I1=int_tmr[9] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$logic_not$top.v:68$13_Y I2=rststate[0] I3=$false O=$add$top.v:68$14_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:484:replace_alu$76271[0] I0=$logic_not$top.v:68$13_Y I1=rststate[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:484:replace_alu$76271[0] O=$add$top.v:68$14_Y[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76271[0] CO=$auto$alumacc.cc:484:replace_alu$76271[1] I0=$false I1=rststate[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:484:replace_alu$76271[1] O=$add$top.v:68$14_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76271[1] CO=$auto$alumacc.cc:484:replace_alu$76271[2] I0=$false I1=rststate[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:484:replace_alu$76271[2] O=$add$top.v:68$14_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.gate SB_LUT4 I0=$abc$51271$n1494 I1=$false I2=$false I3=$false O=$abc$51271$n2468 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$51271$n1496 I1=$false I2=$false I3=$false O=$abc$51271$n2469 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$51271$n1499 I1=$false I2=$false I3=$false O=$abc$51271$n2470 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$51271$n1500 I1=$false I2=$false I3=$false O=$abc$51271$n2471 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$51271$n1493 I1=$false I2=$false I3=$false O=$abc$51271$n2472 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$51271$n1497 I1=$false I2=$false I3=$false O=$abc$51271$n2473 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$51271$n810 I1=$abc$51271$n813 I2=I2C.byte_counter[3] I3=I2C.byte_counter[2] O=$abc$51271$n1450 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101011000000 +.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.is_read I2=I2C.byte_counter[1] I3=$abc$51271$n694 O=$abc$51271$n1451_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$51271$n748 I1=$abc$51271$n1451_1 I2=$abc$51271$n1450 I3=$abc$51271$n759 O=$abc$51271$n1010 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51271$n824 I1=$abc$51271$n830 I2=$abc$51271$n832 I3=I2C.byte_counter[0] O=$abc$51271$n1453_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111101110111 +.gate SB_LUT4 I0=$abc$51271$n1453_1 I1=$abc$51271$n814 I2=I2C.byte_counter[1] I3=$abc$51271$n820 O=$abc$51271$n1454_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=$abc$51271$n809 I1=$abc$51271$n1454_1 I2=$abc$51271$n834_1 I3=$abc$51271$n737 O=$abc$51271$n1455 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000011111111 +.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=i2c_input_data_type[1] I3=$abc$51271$n855 O=$abc$51271$n1457_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011110111111111 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n1457_1 I2=$abc$51271$n811 I3=$false O=$abc$51271$n1458 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10111111 +.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n931 I2=$abc$51271$n949 I3=$abc$51271$n27 O=$abc$51271$n1459 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=$abc$51271$n943_1 I1=$abc$51271$n915 I2=$abc$51271$n924 I3=$abc$51271$n1459 O=$abc$51271$n1320 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[4][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[4][5] O=$abc$51271$n1461 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[4][6] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[4][7] O=$abc$51271$n1462 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=$abc$51271$n1462 I3=$abc$51271$n1461 O=$abc$51271$n1463 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$51271$n962 I1=$abc$51271$n1463 I2=$abc$51271$n915 I3=$abc$51271$n27 O=$abc$51271$n1464 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$51271$n906 I1=$abc$51271$n1408 I2=$abc$51271$n902 I3=$abc$51271$n915 O=$abc$51271$n1465 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51271$n1465 I1=$abc$51271$n976 I2=$abc$51271$n27 I3=$abc$51271$n956 O=$abc$51271$n1359 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[1][4] I2=KEYBOARD.report[1][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51271$n1467_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[1][2] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[1][6] O=$abc$51271$n1468 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=$abc$51271$n988 I1=$abc$51271$n1468 I2=$abc$51271$n1467_1 I3=$abc$51271$n990 O=$abc$51271$n1469 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51271$n1469 I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.report[1][3] I3=$abc$51271$n904 O=$abc$51271$n1470 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000001111101 +.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][0] O=$abc$51271$n1471 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100010111111111 +.gate SB_LUT4 I0=$abc$51271$n1121 I1=KEYBOARD.report[4][0] I2=$abc$51271$n1117 I3=$abc$51271$n1112 O=$abc$51271$n1472_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=$abc$51271$n1125 I1=$abc$51271$n1110 I2=$abc$51271$n1120 I3=KEYBOARD.report[1][0] O=$abc$51271$n1473 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$51271$n1120 I1=$abc$51271$n1472_1 I2=$abc$51271$n1473 I3=$abc$51271$n1471 O=$abc$51271$n1474 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001111111111111 +.gate SB_LUT4 I0=$abc$51271$n1474 I1=$abc$51271$n1126 I2=$false I3=$false O=$3\report_data_wr[7:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][2] O=$abc$51271$n1476 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100010111111111 +.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][2] I2=KEYBOARD.report[4][2] I3=$abc$51271$n1121 O=$abc$51271$n1477 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51271$n1121 I1=KEYBOARD.report[4][5] I2=$abc$51271$n1172 I3=$abc$51271$n1173 O=$abc$51271$n1484 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$51271$n1484 I1=$abc$51271$n1512 I2=$abc$51271$n1120 I3=$abc$51271$n1126 O=$3\report_data_wr[7:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100011100000000 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1486 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=KEYBOARD.report[1][6] I2=$abc$51271$n269 I3=$abc$51271$n1486 O=$abc$51271$n1487 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011111111 +.gate SB_LUT4 I0=$abc$51271$n1178 I1=$abc$51271$n1126 I2=$abc$51271$n1487 I3=$false O=$3\report_data_wr[7:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$51271$n1120 I3=$abc$51271$n260 O=$abc$51271$n1489 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.temp[2] I2=$abc$51271$n394 I3=$abc$51271$n396 O=$abc$51271$n1494_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101011000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[3] I2=$abc$51271$n396 I3=$abc$51271$n394 O=$abc$51271$n1496_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011111111110101 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.temp[7] I2=$abc$51271$n394 I3=$abc$51271$n396 O=$abc$51271$n1497_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011111101010000 +.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.temp[5] I2=$abc$51271$n394 I3=$abc$51271$n1497_1 O=$abc$51271$n1498 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111001100000101 +.gate SB_LUT4 I0=$abc$51271$n1494_1 I1=$abc$51271$n1496_1 I2=$abc$51271$n1498 I3=$abc$51271$n383 O=$abc$51271$n1499_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$51271$n734 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n790 I3=$abc$51271$n837 O=$abc$51271$n1500_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n740 I2=$abc$51271$n738 I3=$abc$51271$n922 O=$abc$51271$n1501 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=$abc$51271$n1501 I1=I2C.is_read I2=$abc$51271$n1500_1 I3=$abc$51271$n1455 O=$abc$51271$n1086 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=KEYBOARD.report[5][2] I1=KEYBOARD.report[1][2] I2=$abc$51271$n1120 I3=$abc$51271$n260 O=$abc$51271$n1503 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][2] I2=kbd_report[6][2] I3=$abc$51271$n1119_1 O=$abc$51271$n1504 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51271$n1504 I1=$abc$51271$n1477 I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1505_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n1503 I2=$abc$51271$n1120 I3=$abc$51271$n1505_1 O=$abc$51271$n1506_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011011111110000 +.gate SB_LUT4 I0=$abc$51271$n1476 I1=$abc$51271$n1506_1 I2=$abc$51271$n1126 I3=$false O=$3\report_data_wr[7:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1510 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$51271$n269 I3=$abc$51271$n1510 O=$abc$51271$n1511_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=$abc$51271$n1110 I1=$abc$51271$n1511_1 I2=$abc$51271$n1171 I3=$abc$51271$n1510 O=$abc$51271$n1512 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100110001110000 +.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][7] O=$abc$51271$n1513 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100010111111111 +.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[4][7] I3=$abc$51271$n1121 O=$abc$51271$n1514_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$51271$n1514_1 I1=$abc$51271$n1191 I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1515_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n1489 I2=$abc$51271$n1120 I3=$abc$51271$n1515_1 O=$abc$51271$n1516 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011011111110000 +.gate SB_LUT4 I0=$abc$51271$n1516 I1=$abc$51271$n1513 I2=$abc$51271$n1126 I3=$false O=$3\report_data_wr[7:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_CARRY CI=$abc$51271$n2463 CO=$auto$alumacc.cc:474:replace_alu$9510.C[2] I0=$false I1=$abc$51271$n2380 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9510.C[2] CO=$auto$alumacc.cc:474:replace_alu$9510.C[3] I0=$false I1=$abc$51271$n2465 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9510.C[3] CO=$abc$51271$n2189 I0=$false I1=$abc$51271$n2467 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51271$n230 CO=$auto$alumacc.cc:474:replace_alu$9515.C[3] I0=$true I1=$abc$51271$n228 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[3] CO=$auto$alumacc.cc:474:replace_alu$9515.C[4] I0=$false I1=$abc$51271$n227 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[4] CO=$auto$alumacc.cc:474:replace_alu$9515.C[5] I0=$false I1=$abc$51271$n225 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[5] CO=$auto$alumacc.cc:474:replace_alu$9515.C[6] I0=$false I1=$abc$51271$n224 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[6] CO=$auto$alumacc.cc:474:replace_alu$9515.C[7] I0=$false I1=$abc$51271$n222 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[7] CO=$abc$51271$n2418 I0=$false I1=$abc$51271$n221 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51271$n2448 CO=$auto$alumacc.cc:474:replace_alu$9520.C[3] I0=$false I1=$abc$51271$n2449 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[3] CO=$auto$alumacc.cc:474:replace_alu$9520.C[4] I0=$false I1=$abc$51271$n2450 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[4] CO=$auto$alumacc.cc:474:replace_alu$9520.C[5] I0=$false I1=$abc$51271$n2451 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[5] CO=$auto$alumacc.cc:474:replace_alu$9520.C[6] I0=$false I1=$abc$51271$n2452 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[6] CO=$auto$alumacc.cc:474:replace_alu$9520.C[7] I0=$false I1=$abc$51271$n2453 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[7] CO=$abc$51271$n2419 I0=$false I1=$abc$51271$n2454 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51271$n2455 CO=$auto$alumacc.cc:474:replace_alu$9530.C[2] I0=$false I1=$abc$51271$n2392 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9530.C[2] CO=$auto$alumacc.cc:474:replace_alu$9530.C[3] I0=$false I1=$abc$51271$n2456 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9530.C[3] CO=$abc$51271$n1177 I0=$false I1=$abc$51271$n2457 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51271$n194 CO=$auto$alumacc.cc:474:replace_alu$9535.C[2] I0=$true I1=$abc$51271$n1915 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[2] CO=$auto$alumacc.cc:474:replace_alu$9535.C[3] I0=$false I1=$abc$51271$n191 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[3] CO=$auto$alumacc.cc:474:replace_alu$9535.C[4] I0=$true I1=$abc$51271$n2458 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[4] CO=$auto$alumacc.cc:474:replace_alu$9535.C[5] I0=$false I1=$abc$51271$n188 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[5] CO=$auto$alumacc.cc:474:replace_alu$9535.C[6] I0=$false I1=$abc$51271$n187 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[6] CO=$auto$alumacc.cc:474:replace_alu$9535.C[7] I0=$false I1=$abc$51271$n185 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[7] CO=$abc$51271$n2420 I0=$false I1=$abc$51271$n184 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9546.C[3] I0=init_ram_cnt[2] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[3] CO=$auto$alumacc.cc:474:replace_alu$9546.C[4] I0=init_ram_cnt[3] I1=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[4] CO=$auto$alumacc.cc:474:replace_alu$9546.C[5] I0=init_ram_cnt[4] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[5] CO=$auto$alumacc.cc:474:replace_alu$9546.C[6] I0=init_ram_cnt[5] I1=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[6] CO=$auto$alumacc.cc:474:replace_alu$9546.C[7] I0=init_ram_cnt[6] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[7] CO=$abc$51271$n22 I0=init_ram_cnt[7] I1=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51271$n218 CO=$auto$alumacc.cc:474:replace_alu$9553.C[2] I0=$false I1=$abc$51271$n217 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9553.C[2] CO=$auto$alumacc.cc:474:replace_alu$9553.C[3] I0=$true I1=$abc$51271$n2461 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9553.C[3] CO=$abc$51271$n2423 I0=$false I1=$abc$51271$n214 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$51271$n230 CO=$auto$alumacc.cc:474:replace_alu$9564.C[3] I0=$false I1=$abc$51271$n228 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[3] CO=$auto$alumacc.cc:474:replace_alu$9564.C[4] I0=$false I1=$abc$51271$n227 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[4] CO=$auto$alumacc.cc:474:replace_alu$9564.C[5] I0=$false I1=$abc$51271$n225 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[5] CO=$auto$alumacc.cc:474:replace_alu$9564.C[6] I0=$false I1=$abc$51271$n224 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[6] CO=$auto$alumacc.cc:474:replace_alu$9564.C[7] I0=$false I1=$abc$51271$n222 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[7] CO=$abc$51271$n2426 I0=$false I1=$abc$51271$n221 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n2463 I3=$true O=$abc$51271$n2359 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$51271$n2463 CO=$auto$alumacc.cc:474:replace_alu$9577.C[2] I0=$false I1=$abc$51271$n2380 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n2465 I3=$auto$alumacc.cc:474:replace_alu$9577.C[2] O=$abc$51271$n2345 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$51271$n1862 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$9580.C[2] I0=$false I1=ring_wr[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$9580.C[2] O=$abc$51271$n1868 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9580.C[2] CO=$auto$alumacc.cc:474:replace_alu$9580.C[3] I0=$false I1=ring_wr[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$9580.C[3] O=$abc$51271$n1871 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9580.C[3] CO=$auto$alumacc.cc:474:replace_alu$9580.C[4] I0=$false I1=ring_wr[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$9580.C[4] O=$abc$51271$n1451 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$51271$n1854 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9583.C[2] I0=$false I1=wr_cnt[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9583.C[2] O=$abc$51271$n1857 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9583.C[2] CO=$auto$alumacc.cc:474:replace_alu$9583.C[3] I0=$false I1=wr_cnt[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9583.C[3] O=$abc$51271$n1859 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$51271$n1772 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$9586.C[2] I0=$false I1=ring_rd[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$9586.C[2] O=$abc$51271$n1778 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9586.C[2] CO=$auto$alumacc.cc:474:replace_alu$9586.C[3] I0=$false I1=ring_rd[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$9586.C[3] O=$abc$51271$n1781 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:182$126_Y[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:474:replace_alu$9589.C[2] I0=$false I1=report_data_radr[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:474:replace_alu$9589.C[2] O=$abc$51271$n1785 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[2] CO=$auto$alumacc.cc:474:replace_alu$9589.C[3] I0=$false I1=report_data_radr[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:474:replace_alu$9589.C[3] O=$abc$51271$n1788 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[3] CO=$auto$alumacc.cc:474:replace_alu$9589.C[4] I0=$false I1=report_data_radr[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:474:replace_alu$9589.C[4] O=$abc$51271$n1791 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[4] CO=$auto$alumacc.cc:474:replace_alu$9589.C[5] I0=$false I1=report_data_radr[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:474:replace_alu$9589.C[5] O=$abc$51271$n1794 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[5] CO=$auto$alumacc.cc:474:replace_alu$9589.C[6] I0=$false I1=report_data_radr[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:474:replace_alu$9589.C[6] O=$abc$51271$n1797 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[6] CO=$auto$alumacc.cc:474:replace_alu$9589.C[7] I0=$false I1=report_data_radr[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:474:replace_alu$9589.C[7] O=$abc$51271$n1800 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[14:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:474:replace_alu$9592.C[10] O=$13\int_tmr[14:0][10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[10] CO=$auto$alumacc.cc:474:replace_alu$9592.C[11] I0=$false I1=int_tmr[10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:474:replace_alu$9592.C[11] O=$13\int_tmr[14:0][11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[11] CO=$auto$alumacc.cc:474:replace_alu$9592.C[12] I0=$false I1=int_tmr[11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:474:replace_alu$9592.C[12] O=$13\int_tmr[14:0][12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[12] CO=$auto$alumacc.cc:474:replace_alu$9592.C[13] I0=$false I1=int_tmr[12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:474:replace_alu$9592.C[13] O=$13\int_tmr[14:0][13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[13] CO=$auto$alumacc.cc:474:replace_alu$9592.C[14] I0=$false I1=int_tmr[13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:474:replace_alu$9592.C[14] O=$13\int_tmr[14:0][14] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:474:replace_alu$9592.C[2] I0=$false I1=int_tmr[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:474:replace_alu$9592.C[2] O=$13\int_tmr[14:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[2] CO=$auto$alumacc.cc:474:replace_alu$9592.C[3] I0=$false I1=int_tmr[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:474:replace_alu$9592.C[3] O=$13\int_tmr[14:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[3] CO=$auto$alumacc.cc:474:replace_alu$9592.C[4] I0=$false I1=int_tmr[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:474:replace_alu$9592.C[4] O=$13\int_tmr[14:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[4] CO=$auto$alumacc.cc:474:replace_alu$9592.C[5] I0=$false I1=int_tmr[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:474:replace_alu$9592.C[5] O=$13\int_tmr[14:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[5] CO=$auto$alumacc.cc:474:replace_alu$9592.C[6] I0=$false I1=int_tmr[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:474:replace_alu$9592.C[6] O=$13\int_tmr[14:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[6] CO=$auto$alumacc.cc:474:replace_alu$9592.C[7] I0=$false I1=int_tmr[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:474:replace_alu$9592.C[7] O=$13\int_tmr[14:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[7] CO=$auto$alumacc.cc:474:replace_alu$9592.C[8] I0=$false I1=int_tmr[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:474:replace_alu$9592.C[8] O=$13\int_tmr[14:0][8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[8] CO=$auto$alumacc.cc:474:replace_alu$9592.C[9] I0=$false I1=int_tmr[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:474:replace_alu$9592.C[9] O=$13\int_tmr[14:0][9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[9] CO=$auto$alumacc.cc:474:replace_alu$9592.C[10] I0=$false I1=int_tmr[9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$51271$n35 I2=rststate[0] I3=$false O=$abc$51271$n1937 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$9595.C[1] I0=$abc$51271$n35 I1=rststate[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$9595.C[1] O=$abc$51271$n1939 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9595.C[1] CO=$auto$alumacc.cc:474:replace_alu$9595.C[2] I0=$false I1=rststate[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$9595.C[2] O=$abc$51271$n1941 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9595.C[2] CO=$auto$alumacc.cc:474:replace_alu$9595.C[3] I0=$false I1=rststate[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$9595.C[3] O=$abc$51271$n1943 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76274[1] I0=$false I1=init_ram_cnt[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76274[1] O=$2\init_ram_cnt[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[1] CO=$auto$alumacc.cc:484:replace_alu$76274[2] I0=$false I1=init_ram_cnt[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76274[2] O=$2\init_ram_cnt[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[2] CO=$auto$alumacc.cc:484:replace_alu$76274[3] I0=$false I1=init_ram_cnt[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:484:replace_alu$76274[3] O=$2\init_ram_cnt[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[3] CO=$auto$alumacc.cc:484:replace_alu$76274[4] I0=$false I1=init_ram_cnt[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:484:replace_alu$76274[4] O=$2\init_ram_cnt[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[4] CO=$auto$alumacc.cc:484:replace_alu$76274[5] I0=$false I1=init_ram_cnt[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:484:replace_alu$76274[5] O=$2\init_ram_cnt[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[5] CO=$auto$alumacc.cc:484:replace_alu$76274[6] I0=$false I1=init_ram_cnt[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:484:replace_alu$76274[6] O=$2\init_ram_cnt[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$add$top.v:98$41_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:484:replace_alu$76277[1] I0=$false I1=ring_wr[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:484:replace_alu$76277[1] O=$add$top.v:98$41_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76277[1] CO=$auto$alumacc.cc:484:replace_alu$76277[2] I0=$false I1=ring_wr[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:484:replace_alu$76277[2] O=$add$top.v:98$41_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76277[2] CO=$auto$alumacc.cc:484:replace_alu$76277[3] I0=$false I1=ring_wr[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:484:replace_alu$76277[3] O=$add$top.v:98$41_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$sub$top.v:122$76_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$sub$top.v:124$78_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76283[1] I0=wr_cnt[1] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76283[1] O=$sub$top.v:124$78_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9598.C[2] I0=$false I1=init_ram_cnt[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9598.C[2] O=$2\init_ram_cnt[7:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[2] CO=$auto$alumacc.cc:474:replace_alu$9598.C[3] I0=$false I1=init_ram_cnt[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9598.C[3] O=$2\init_ram_cnt[7:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[3] CO=$auto$alumacc.cc:474:replace_alu$9598.C[4] I0=$false I1=init_ram_cnt[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9598.C[4] O=$2\init_ram_cnt[7:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[4] CO=$auto$alumacc.cc:474:replace_alu$9598.C[5] I0=$false I1=init_ram_cnt[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9598.C[5] O=$2\init_ram_cnt[7:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[5] CO=$auto$alumacc.cc:474:replace_alu$9598.C[6] I0=$false I1=init_ram_cnt[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9598.C[6] O=$2\init_ram_cnt[7:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[6] CO=$auto$alumacc.cc:474:replace_alu$9598.C[7] I0=$false I1=init_ram_cnt[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9598.C[7] O=$2\init_ram_cnt[7:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$51271$n269 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$51271$n253 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9604.C[2] I0=wr_cnt[1] I1=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9604.C[2] O=$abc$51271$n260 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76286[1] I0=I2C.byte_counter[1] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[1] O=$2\I2C_INPUT_LEN[7:0][2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[1] CO=$auto$alumacc.cc:484:replace_alu$76286[2] I0=I2C.byte_counter[2] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[2] O=$2\I2C_INPUT_LEN[7:0][3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[2] CO=$auto$alumacc.cc:484:replace_alu$76286[3] I0=I2C.byte_counter[3] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[3] O=$2\I2C_INPUT_LEN[7:0][4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[3] CO=$auto$alumacc.cc:484:replace_alu$76286[4] I0=I2C.byte_counter[4] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[4] O=$2\I2C_INPUT_LEN[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[4] CO=$auto$alumacc.cc:484:replace_alu$76286[5] I0=I2C.byte_counter[5] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[5] O=$2\I2C_INPUT_LEN[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[5] CO=$auto$alumacc.cc:484:replace_alu$76286[6] I0=I2C.byte_counter[6] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[6] O=$2\I2C_INPUT_LEN[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$sub$top.v:88$26_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:484:replace_alu$76289[2] I0=init_ram_cnt[2] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:484:replace_alu$76289[2] O=$sub$top.v:88$26_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[2] CO=$auto$alumacc.cc:484:replace_alu$76289[3] I0=init_ram_cnt[3] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[3] O=$sub$top.v:88$26_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[3] CO=$auto$alumacc.cc:484:replace_alu$76289[4] I0=init_ram_cnt[4] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[4] O=$sub$top.v:88$26_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[4] CO=$auto$alumacc.cc:484:replace_alu$76289[5] I0=init_ram_cnt[5] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[5] O=$sub$top.v:88$26_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[5] CO=$auto$alumacc.cc:484:replace_alu$76289[6] I0=init_ram_cnt[6] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[6] O=$sub$top.v:88$26_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76291[1] CO=$auto$alumacc.cc:484:replace_alu$76292[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[2] CO=$auto$alumacc.cc:484:replace_alu$76292[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[3] CO=$auto$alumacc.cc:484:replace_alu$76292[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[4] CO=$auto$alumacc.cc:484:replace_alu$76292[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[5] CO=$auto$alumacc.cc:484:replace_alu$76292[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[6] CO=$auto$alumacc.cc:484:replace_alu$76292[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=$auto$alumacc.cc:484:replace_alu$76297[0] I3=$false O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[0] CO=$auto$alumacc.cc:484:replace_alu$76297[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[2] I3=$auto$alumacc.cc:484:replace_alu$76297[1] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[1] CO=$auto$alumacc.cc:484:replace_alu$76297[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[3] I3=$auto$alumacc.cc:484:replace_alu$76297[2] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[2] CO=$auto$alumacc.cc:484:replace_alu$76297[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[4] I3=$auto$alumacc.cc:484:replace_alu$76297[3] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[3] CO=$auto$alumacc.cc:484:replace_alu$76297[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[5] I3=$auto$alumacc.cc:484:replace_alu$76297[4] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[4] CO=$auto$alumacc.cc:484:replace_alu$76297[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$auto$alumacc.cc:484:replace_alu$76297[5] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[5] CO=$auto$alumacc.cc:484:replace_alu$76297[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[7] I3=$auto$alumacc.cc:484:replace_alu$76297[6] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:483:replace_alu$76299[0] I2=$false I3=$true O=$auto$simplemap.cc:250:simplemap_eqne$79651[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76299[0] CO=$auto$alumacc.cc:484:replace_alu$76300[1] I0=$techmap\I2C.$procmux$31037_Y[1] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$techmap\I2C.$procmux$31037_Y[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76300[1] O=$auto$simplemap.cc:250:simplemap_eqne$79429[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76300[1] CO=$auto$alumacc.cc:484:replace_alu$76300[2] I0=$techmap\I2C.$procmux$31037_Y[2] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$techmap\I2C.$procmux$31037_Y[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76300[2] O=$auto$simplemap.cc:250:simplemap_eqne$79726[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76300[2] CO=$auto$simplemap.cc:309:simplemap_lut$88206[1] I0=$techmap\I2C.$procmux$31037_Y[3] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$auto$simplemap.cc:250:simplemap_eqne$79726[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$76137[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:484:replace_alu$76303[1] I0=I2C.FLT_SCL.counter[1] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76303[1] O=$auto$wreduce.cc:310:run$76137[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$76138[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:484:replace_alu$76306[1] I0=I2C.FLT_SDA.counter[1] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76306[1] O=$auto$wreduce.cc:310:run$76138[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$auto$wreduce.cc:310:run$76139[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:484:replace_alu$76309[6] I0=$false I1=I2C.byte_counter[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:484:replace_alu$76309[6] O=$auto$wreduce.cc:310:run$76139[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76140[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:143$412_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:143$436_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76141[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$76142[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76143[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$76144[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:310:run$76145[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76333[1] I0=$false I1=KEYBOARD.init_ram_cnt[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76333[1] O=$auto$wreduce.cc:310:run$76145[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[1] CO=$auto$alumacc.cc:484:replace_alu$76333[2] I0=$false I1=KEYBOARD.init_ram_cnt[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76333[2] O=$auto$wreduce.cc:310:run$76145[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[2] CO=$auto$alumacc.cc:484:replace_alu$76333[3] I0=$false I1=KEYBOARD.init_ram_cnt[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:484:replace_alu$76333[3] O=$auto$wreduce.cc:310:run$76145[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[3] CO=$auto$alumacc.cc:484:replace_alu$76333[4] I0=$false I1=KEYBOARD.init_ram_cnt[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:484:replace_alu$76333[4] O=$auto$wreduce.cc:310:run$76145[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[4] CO=$auto$alumacc.cc:484:replace_alu$76333[5] I0=$false I1=KEYBOARD.init_ram_cnt[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:484:replace_alu$76333[5] O=$auto$wreduce.cc:310:run$76145[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[5] CO=$auto$alumacc.cc:484:replace_alu$76333[6] I0=$false I1=KEYBOARD.init_ram_cnt[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:484:replace_alu$76333[6] O=$auto$wreduce.cc:310:run$76145[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[6] CO=$auto$alumacc.cc:484:replace_alu$76333[7] I0=$false I1=KEYBOARD.init_ram_cnt[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:484:replace_alu$76333[7] O=$auto$wreduce.cc:310:run$76145[8] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$auto$wreduce.cc:310:run$76146[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76336[1] I0=$false I1=KEYBOARD.row_counter[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:484:replace_alu$76336[1] O=$auto$wreduce.cc:310:run$76146[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76336[1] CO=$auto$alumacc.cc:484:replace_alu$76336[2] I0=$false I1=KEYBOARD.row_counter[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:484:replace_alu$76336[2] O=$auto$wreduce.cc:310:run$76146[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:310:run$76147[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:484:replace_alu$76339[9] O=$auto$wreduce.cc:310:run$76147[10] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[9] CO=$auto$alumacc.cc:484:replace_alu$76339[10] I0=$false I1=KEYBOARD.row_time[10] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:484:replace_alu$76339[10] O=$auto$wreduce.cc:310:run$76147[11] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[10] CO=$auto$alumacc.cc:484:replace_alu$76339[11] I0=$false I1=KEYBOARD.row_time[11] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:484:replace_alu$76339[11] O=$auto$wreduce.cc:310:run$76147[12] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[11] CO=$auto$alumacc.cc:484:replace_alu$76339[12] I0=$false I1=KEYBOARD.row_time[12] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:484:replace_alu$76339[12] O=$auto$wreduce.cc:310:run$76147[13] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[12] CO=$auto$alumacc.cc:484:replace_alu$76339[13] I0=$false I1=KEYBOARD.row_time[13] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:484:replace_alu$76339[13] O=$auto$wreduce.cc:310:run$76147[14] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[13] CO=$auto$alumacc.cc:484:replace_alu$76339[14] I0=$false I1=KEYBOARD.row_time[14] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:484:replace_alu$76339[14] O=$auto$wreduce.cc:310:run$76147[15] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:484:replace_alu$76339[1] I0=$false I1=KEYBOARD.row_time[1] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:484:replace_alu$76339[1] O=$auto$wreduce.cc:310:run$76147[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[1] CO=$auto$alumacc.cc:484:replace_alu$76339[2] I0=$false I1=KEYBOARD.row_time[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:484:replace_alu$76339[2] O=$auto$wreduce.cc:310:run$76147[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[2] CO=$auto$alumacc.cc:484:replace_alu$76339[3] I0=$false I1=KEYBOARD.row_time[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:484:replace_alu$76339[3] O=$auto$wreduce.cc:310:run$76147[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[3] CO=$auto$alumacc.cc:484:replace_alu$76339[4] I0=$false I1=KEYBOARD.row_time[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:484:replace_alu$76339[4] O=$auto$wreduce.cc:310:run$76147[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[4] CO=$auto$alumacc.cc:484:replace_alu$76339[5] I0=$false I1=KEYBOARD.row_time[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:484:replace_alu$76339[5] O=$auto$wreduce.cc:310:run$76147[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[5] CO=$auto$alumacc.cc:484:replace_alu$76339[6] I0=$false I1=KEYBOARD.row_time[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:484:replace_alu$76339[6] O=$auto$wreduce.cc:310:run$76147[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[6] CO=$auto$alumacc.cc:484:replace_alu$76339[7] I0=$false I1=KEYBOARD.row_time[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:484:replace_alu$76339[7] O=$auto$wreduce.cc:310:run$76147[8] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[7] CO=$auto$alumacc.cc:484:replace_alu$76339[8] I0=$false I1=KEYBOARD.row_time[8] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:484:replace_alu$76339[8] O=$auto$wreduce.cc:310:run$76147[9] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[8] CO=$auto$alumacc.cc:484:replace_alu$76339[9] I0=$false I1=KEYBOARD.row_time[9] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$techmap\UART.$sub$uart.v:32$583_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76342[1] I0=UART.tx_clk_counter[1] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76342[1] O=$techmap\UART.$sub$uart.v:32$583_Y[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76342[1] CO=$auto$alumacc.cc:484:replace_alu$76342[2] I0=UART.tx_clk_counter[2] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76342[2] O=$techmap\UART.$sub$uart.v:32$583_Y[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$auto$simplemap.cc:309:simplemap_lut$87755[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76345[1] I0=UART.tx_bit_counter[1] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76345[1] O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76345[1] CO=$auto$alumacc.cc:484:replace_alu$76345[2] I0=UART.tx_bit_counter[2] I1=$true -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76345[2] O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$mul$top.v:177$125_Y[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$76957[4] I0=$auto$maccmap.cc:111:fulladd$76951[4] I1=$auto$maccmap.cc:112:fulladd$76952[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_rd[3:0][2] I2=$auto$maccmap.cc:112:fulladd$76952[4] I3=$auto$maccmap.cc:247:synth$76957[4] O=$mul$top.v:177$125_Y[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$76957[4] CO=$auto$maccmap.cc:247:synth$76957[5] I0=$2\ring_rd[3:0][2] I1=$auto$maccmap.cc:112:fulladd$76952[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:247:synth$76957[5] O=$mul$top.v:177$125_Y[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$76957[5] CO=$auto$maccmap.cc:247:synth$76957[6] I0=$2\ring_rd[3:0][3] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$76957[6] O=$mul$top.v:177$125_Y[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9607.C[2] I0=I2C.byte_counter[1] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[2] O=$2\I2C_INPUT_LEN[7:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[2] CO=$auto$alumacc.cc:474:replace_alu$9607.C[3] I0=I2C.byte_counter[2] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[3] O=$2\I2C_INPUT_LEN[7:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[3] CO=$auto$alumacc.cc:474:replace_alu$9607.C[4] I0=I2C.byte_counter[3] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[4] O=$2\I2C_INPUT_LEN[7:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[4] CO=$auto$alumacc.cc:474:replace_alu$9607.C[5] I0=I2C.byte_counter[4] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[5] O=$2\I2C_INPUT_LEN[7:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[5] CO=$auto$alumacc.cc:474:replace_alu$9607.C[6] I0=I2C.byte_counter[5] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[6] O=$2\I2C_INPUT_LEN[7:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[6] CO=$auto$alumacc.cc:474:replace_alu$9607.C[7] I0=I2C.byte_counter[6] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[7] O=$2\I2C_INPUT_LEN[7:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$51271$n1913 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9610.C[3] I0=init_ram_cnt[2] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:474:replace_alu$9610.C[3] O=$abc$51271$n1919 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[3] CO=$auto$alumacc.cc:474:replace_alu$9610.C[4] I0=init_ram_cnt[3] I1=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[4] O=$abc$51271$n1921 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[4] CO=$auto$alumacc.cc:474:replace_alu$9610.C[5] I0=init_ram_cnt[4] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[5] O=$abc$51271$n1923 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[5] CO=$auto$alumacc.cc:474:replace_alu$9610.C[6] I0=init_ram_cnt[5] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[6] O=$abc$51271$n1925 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[6] CO=$auto$alumacc.cc:474:replace_alu$9610.C[7] I0=init_ram_cnt[6] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[7] O=$abc$51271$n1927 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$51271$n2390 CO=$auto$alumacc.cc:474:replace_alu$9613.C[3] I0=$false I1=$abc$51271$n2472 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[3] CO=$auto$alumacc.cc:474:replace_alu$9613.C[4] I0=$false I1=$abc$51271$n2468 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[4] CO=$auto$alumacc.cc:474:replace_alu$9613.C[5] I0=$false I1=$abc$51271$n2469 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[5] CO=$auto$alumacc.cc:474:replace_alu$9613.C[6] I0=$false I1=$abc$51271$n2473 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[6] CO=$auto$alumacc.cc:474:replace_alu$9613.C[7] I0=$false I1=$abc$51271$n2470 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[7] CO=$abc$51271$n2428 I0=$false I1=$abc$51271$n2471 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=$abc$51271$n1490 I3=$false O=$abc$51271$n2170 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$51271$n1490 CO=$auto$alumacc.cc:474:replace_alu$9618.C[2] I0=$false I1=$abc$51271$n1491 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1493 I3=$auto$alumacc.cc:474:replace_alu$9618.C[2] O=$abc$51271$n2172 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[2] CO=$auto$alumacc.cc:474:replace_alu$9618.C[3] I0=$false I1=$abc$51271$n1493 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1494 I3=$auto$alumacc.cc:474:replace_alu$9618.C[3] O=$abc$51271$n2173 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[3] CO=$auto$alumacc.cc:474:replace_alu$9618.C[4] I0=$false I1=$abc$51271$n1494 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1496 I3=$auto$alumacc.cc:474:replace_alu$9618.C[4] O=$abc$51271$n2174 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[4] CO=$auto$alumacc.cc:474:replace_alu$9618.C[5] I0=$false I1=$abc$51271$n1496 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1497 I3=$auto$alumacc.cc:474:replace_alu$9618.C[5] O=$abc$51271$n2175 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[5] CO=$auto$alumacc.cc:474:replace_alu$9618.C[6] I0=$false I1=$abc$51271$n1497 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1499 I3=$auto$alumacc.cc:474:replace_alu$9618.C[6] O=$abc$51271$n2176 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[6] CO=$auto$alumacc.cc:474:replace_alu$9618.C[7] I0=$false I1=$abc$51271$n1499 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1500 I3=$auto$alumacc.cc:474:replace_alu$9618.C[7] O=$abc$51271$n2177 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$abc$51271$n2005 I2=$false I3=$true O=$abc$51271$n305 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$51271$n2005 CO=$auto$alumacc.cc:474:replace_alu$9621.C[2] I0=$abc$51271$n2007 I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$51271$n2009 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9621.C[2] O=$abc$51271$n307 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9621.C[2] CO=$auto$alumacc.cc:474:replace_alu$9621.C[3] I0=$abc$51271$n2009 I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$51271$n2011 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9621.C[3] O=$abc$51271$n308 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9621.C[3] CO=$abc$51271$n2391 I0=$abc$51271$n2011 I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$51271$n2391 O=$abc$51271$n319 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$51271$n1630 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9624.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9624.C[2] O=$abc$51271$n1633 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$51271$n1626 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9627.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9627.C[2] O=$abc$51271$n1629 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$51271$n2321 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:474:replace_alu$9630.C[7] I0=$false I1=I2C.byte_counter[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:474:replace_alu$9630.C[7] O=$abc$51271$n2327 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$abc$51271$n396 I2=$false I3=$false O=$abc$51271$n2262 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:171|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_delay_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9493[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.init_delay_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9636.C[2] I0=$false I1=KEYBOARD.init_delay_cnt[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9636.C[2] O=$auto$wreduce.cc:347:run$9493[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9636.C[2] CO=$auto$alumacc.cc:474:replace_alu$9636.C[3] I0=$false I1=KEYBOARD.init_delay_cnt[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9636.C[3] O=$auto$wreduce.cc:347:run$9493[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9494[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9639.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9639.C[2] O=$auto$wreduce.cc:347:run$9494[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[2] CO=$auto$alumacc.cc:474:replace_alu$9639.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9639.C[3] O=$auto$wreduce.cc:347:run$9494[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[3] CO=$auto$alumacc.cc:474:replace_alu$9639.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9639.C[4] O=$auto$wreduce.cc:347:run$9494[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[4] CO=$auto$alumacc.cc:474:replace_alu$9639.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9639.C[5] O=$auto$wreduce.cc:347:run$9494[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[5] CO=$auto$alumacc.cc:474:replace_alu$9639.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9639.C[6] O=$auto$wreduce.cc:347:run$9494[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[6] CO=$auto$alumacc.cc:474:replace_alu$9639.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9639.C[7] O=$auto$wreduce.cc:347:run$9494[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[7] CO=$auto$alumacc.cc:474:replace_alu$9639.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:474:replace_alu$9639.C[8] O=$auto$wreduce.cc:347:run$9494[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$51271$n1606 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$9642.C[10] O=$abc$51271$n1617 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[10] CO=$auto$alumacc.cc:474:replace_alu$9642.C[11] I0=$false I1=KEYBOARD.row_time[10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$9642.C[11] O=$abc$51271$n1618 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[11] CO=$auto$alumacc.cc:474:replace_alu$9642.C[12] I0=$false I1=KEYBOARD.row_time[11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$9642.C[12] O=$abc$51271$n1623 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$9642.C[2] I0=$false I1=KEYBOARD.row_time[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$9642.C[2] O=$abc$51271$n1609 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[2] CO=$auto$alumacc.cc:474:replace_alu$9642.C[3] I0=$false I1=KEYBOARD.row_time[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$9642.C[3] O=$abc$51271$n1610 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[3] CO=$auto$alumacc.cc:474:replace_alu$9642.C[4] I0=$false I1=KEYBOARD.row_time[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$9642.C[4] O=$abc$51271$n1604 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[4] CO=$auto$alumacc.cc:474:replace_alu$9642.C[5] I0=$false I1=KEYBOARD.row_time[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$9642.C[5] O=$abc$51271$n396 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[5] CO=$auto$alumacc.cc:474:replace_alu$9642.C[6] I0=$false I1=KEYBOARD.row_time[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$9642.C[6] O=$abc$51271$n394 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[6] CO=$auto$alumacc.cc:474:replace_alu$9642.C[7] I0=$false I1=KEYBOARD.row_time[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$9642.C[7] O=$abc$51271$n383 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[7] CO=$auto$alumacc.cc:474:replace_alu$9642.C[8] I0=$false I1=KEYBOARD.row_time[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$9642.C[8] O=$abc$51271$n1614 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[8] CO=$auto$alumacc.cc:474:replace_alu$9642.C[9] I0=$false I1=KEYBOARD.row_time[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$9642.C[9] O=$abc$51271$n1615 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[9] CO=$auto$alumacc.cc:474:replace_alu$9642.C[10] I0=$false I1=KEYBOARD.row_time[9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$51271$n2190 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9645.C[2] I0=$false I1=KEYBOARD.row_counter[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$9645.C[2] O=$abc$51271$n2196 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9645.C[2] CO=$auto$alumacc.cc:474:replace_alu$9645.C[3] I0=$false I1=KEYBOARD.row_counter[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$9645.C[3] O=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$51271$n1511 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9648.C[2] I0=UART.tx_clk_counter[1] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9648.C[2] O=$abc$51271$n1514 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9648.C[2] CO=$auto$alumacc.cc:474:replace_alu$9648.C[3] I0=UART.tx_clk_counter[2] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9648.C[3] O=$abc$51271$n1515 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$51271$n2381 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9651.C[2] I0=UART.tx_bit_counter[1] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9651.C[2] O=$abc$51271$n2464 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9651.C[2] CO=$auto$alumacc.cc:474:replace_alu$9651.C[3] I0=UART.tx_bit_counter[2] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9651.C[3] O=$abc$51271$n2466 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10128.C[5] I0=$abc$51271$n2408 I1=$abc$51271$n2410 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$51271$n2529 I3=$auto$maccmap.cc:240:synth$10128.C[5] O=$abc$51271$n1793 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10128.C[5] CO=$auto$maccmap.cc:240:synth$10128.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$51271$n2529 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10128.C[6] O=$abc$51271$n1796 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10128.C[6] CO=$auto$maccmap.cc:240:synth$10128.C[7] I0=$10\ring_rd[3:0][3] I1=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10128.C[7] O=$abc$51271$n1799 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$77139[4] I0=$auto$maccmap.cc:111:fulladd$77133[4] I1=$auto$maccmap.cc:112:fulladd$77134[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$auto$maccmap.cc:111:fulladd$77133[5] I2=$auto$maccmap.cc:112:fulladd$77134[4] I3=$auto$maccmap.cc:247:synth$77139[4] O=$6\report_data_wadr[7:0][5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77139[4] CO=$auto$maccmap.cc:247:synth$77139[5] I0=$auto$maccmap.cc:111:fulladd$77133[5] I1=$auto$maccmap.cc:112:fulladd$77134[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$auto$maccmap.cc:112:fulladd$77134[5] I3=$auto$maccmap.cc:247:synth$77139[5] O=$6\report_data_wadr[7:0][6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77139[5] CO=$auto$maccmap.cc:247:synth$77139[6] I0=ring_wr[3] I1=$auto$maccmap.cc:112:fulladd$77134[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$77139[6] O=$6\report_data_wadr[7:0][7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76134[0] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$77158[4] I0=$auto$maccmap.cc:111:fulladd$77152[4] I1=$auto$maccmap.cc:112:fulladd$77153[3] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$auto$maccmap.cc:112:fulladd$77153[4] I3=$auto$maccmap.cc:247:synth$77158[4] O=$auto$wreduce.cc:310:run$76134[5] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77158[4] CO=$auto$maccmap.cc:247:synth$77158[5] I0=$2\ring_wr[3:0][2] I1=$auto$maccmap.cc:112:fulladd$77153[4] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:247:synth$77158[5] O=$auto$wreduce.cc:310:run$76134[6] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77158[5] CO=$auto$maccmap.cc:247:synth$77158[6] I0=$2\ring_wr[3:0][3] I1=$false -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$77158[6] O=$auto$wreduce.cc:310:run$76134[7] -.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10497.C[5] I0=$abc$51271$n2411 I1=$abc$51271$n2413 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$51271$n2516 I2=$abc$51271$n2518 I3=$auto$maccmap.cc:240:synth$10497.C[5] O=$6\report_data_wadr[7:0][5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10497.C[5] CO=$auto$maccmap.cc:240:synth$10497.C[6] I0=$abc$51271$n2516 I1=$abc$51271$n2518 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$51271$n2519 I3=$auto$maccmap.cc:240:synth$10497.C[6] O=$6\report_data_wadr[7:0][6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10497.C[6] CO=$auto$maccmap.cc:240:synth$10497.C[7] I0=ring_wr[3] I1=$abc$51271$n2519 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10497.C[7] O=$6\report_data_wadr[7:0][7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10516.C[5] I0=$abc$51271$n2414 I1=$abc$51271$n2416 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$51271$n2509 I3=$auto$maccmap.cc:240:synth$10516.C[5] O=$abc$51271$n1903 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10516.C[5] CO=$auto$maccmap.cc:240:synth$10516.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$51271$n2509 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10516.C[6] O=$abc$51271$n1906 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10516.C[6] CO=$auto$maccmap.cc:240:synth$10516.C[7] I0=$2\ring_wr[3:0][3] I1=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10516.C[7] O=$abc$51271$n1909 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$90143 Q=UART_WR R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[0] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[1] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[2] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[3] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[4] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[5] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[6] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[7] S=$auto$rtlil.cc:1692:NotGate$123580 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\INT[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$92180 Q=INT S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[4] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[5] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[6] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[7] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][8] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[8] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][9] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[9] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][10] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[10] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][11] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[11] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][12] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[12] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][13] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[13] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][14] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[14] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][15] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[15] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][16] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[16] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][17] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[17] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][18] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[18] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][19] E=$auto$dff2dffe.cc:175:make_patterns_logic$99225 Q=int_tmr[19] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$99418 Q=KBD_FREEZE -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[4] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[5] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[6] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[7] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[0] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[1] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[2] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[3] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100744 Q=report_wr_en S=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[3] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[4] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[5] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[6] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[7] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[3] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[4] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[5] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[6] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[7] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[0] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[1] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[2] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[3] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[4] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[5] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[6] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[7] R=$auto$rtlil.cc:1692:NotGate$123594 -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[0] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[1] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[2] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[3] -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[4] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[5] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[6] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[7] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[0] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[1] S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[0] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[1] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[2] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[3] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[4] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[5] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[6] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[7] R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED2 S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED3 R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED4 S=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.wr E=$auto$dff2dffe.cc:175:make_patterns_logic$109998 Q=last_wr -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C_TRANS E=$auto$dff2dffe.cc:175:make_patterns_logic$110152 Q=last_trans R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=UART.tx_activity E=$auto$dff2dffe.cc:175:make_patterns_logic$110365 Q=last_uart_active R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$auto$dff2dffe.cc:175:make_patterns_logic$110418 Q=last_isr R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$98030[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$110597 Q=uart_double_ff R=$logic_not$top.v:68$13_Y -.attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$51271$n751 Q=UART_WR R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$51271$n756 Q=UART_TX_DATA[0] S=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$51271$n756 Q=UART_TX_DATA[1] S=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$51271$n756 Q=UART_TX_DATA[2] S=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$51271$n756 Q=UART_TX_DATA[3] S=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$51271$n756 Q=UART_TX_DATA[4] S=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$51271$n756 Q=UART_TX_DATA[5] S=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$51271$n756 Q=UART_TX_DATA[6] S=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$51271$n756 Q=UART_TX_DATA[7] S=$abc$51271$n19 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n1 E=$abc$51271$n819 Q=LED1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][0] E=$abc$51271$n871 Q=int_tmr[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][1] E=$abc$51271$n861 Q=int_tmr[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][2] E=$abc$51271$n871 Q=int_tmr[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][3] E=$abc$51271$n871 Q=int_tmr[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][4] E=$abc$51271$n871 Q=int_tmr[4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][5] E=$abc$51271$n871 Q=int_tmr[5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][6] E=$abc$51271$n871 Q=int_tmr[6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][7] E=$abc$51271$n871 Q=int_tmr[7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][8] E=$abc$51271$n871 Q=int_tmr[8] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][9] E=$abc$51271$n871 Q=int_tmr[9] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][10] E=$abc$51271$n871 Q=int_tmr[10] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][11] E=$abc$51271$n871 Q=int_tmr[11] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][12] E=$abc$51271$n871 Q=int_tmr[12] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][13] E=$abc$51271$n871 Q=int_tmr[13] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][14] E=$abc$51271$n871 Q=int_tmr[14] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$true E=$abc$51271$n922 Q=$abc$51271$n10 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$51271$n778 Q=init_ram_cnt[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$51271$n778 Q=init_ram_cnt[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$51271$n778 Q=init_ram_cnt[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$51271$n778 Q=init_ram_cnt[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$51271$n778 Q=init_ram_cnt[4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$51271$n778 Q=init_ram_cnt[5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$51271$n778 Q=init_ram_cnt[6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$51271$n778 Q=init_ram_cnt[7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$51271$n926 Q=ring_wr[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$51271$n926 Q=ring_wr[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$51271$n926 Q=ring_wr[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$51271$n926 Q=ring_wr[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$51271$n940 Q=ring_rd[0] S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$51271$n940 Q=ring_rd[1] S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$51271$n940 Q=ring_rd[2] S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$51271$n940 Q=ring_rd[3] S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$51271$n943 Q=wr_cnt[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$51271$n943 Q=wr_cnt[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$51271$n943 Q=wr_cnt[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$51271$n943 Q=wr_cnt[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$51271$n951 Q=report_wr_en S=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$51271$n955 Q=report_data_wadr[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$51271$n955 Q=report_data_wadr[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$51271$n955 Q=report_data_wadr[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$51271$n955 Q=report_data_wadr[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$51271$n955 Q=report_data_wadr[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$51271$n955 Q=report_data_wadr[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$51271$n955 Q=report_data_wadr[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$51271$n955 Q=report_data_wadr[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$add$top.v:182$126_Y[0] E=$abc$51271$n977 Q=report_data_radr[0] R=$abc$51271$n21 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$51271$n971 Q=report_data_radr[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$51271$n977 Q=report_data_radr[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$51271$n977 Q=report_data_radr[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$51271$n977 Q=report_data_radr[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$51271$n977 Q=report_data_radr[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$51271$n977 Q=report_data_radr[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$51271$n977 Q=report_data_radr[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$51271$n955 Q=report_data_wr[0] R=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$51271$n955 Q=report_data_wr[1] R=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$51271$n955 Q=report_data_wr[2] R=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$51271$n955 Q=report_data_wr[3] R=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$51271$n955 Q=report_data_wr[4] R=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$51271$n955 Q=report_data_wr[5] R=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$51271$n955 Q=report_data_wr[6] R=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$51271$n955 Q=report_data_wr[7] R=$abc$51271$n23 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$51271$n1010 Q=temp_output_report[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$51271$n1010 Q=temp_output_report[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$51271$n1010 Q=temp_output_report[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$51271$n1086 Q=i2c_input_data_type[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$51271$n1086 Q=i2c_input_data_type[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$51271$n1086 Q=i2c_input_data_type[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$51271$n1086 Q=i2c_input_data_type[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[0] S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[1] S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$51271$n1136 Q=LED2 S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$51271$n1136 Q=LED3 R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$51271$n1136 Q=LED4 S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.wr E=$abc$51271$n1146 Q=last_wr +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$51271$n1149 Q=last_trans R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$51271$n1156 Q=last_uart_active R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$51271$n1160 Q=last_isr R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$51271$n1165 Q=uart_double_ff R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF -.attr src "i2c_slave.v:48|/usr/bin/../share/yosys/ice40/cells_map.v:1" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF -.attr src "i2c_slave.v:48|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31862_Y Q=I2C.wr -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31816_Y Q=I2C.is_ack -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[0] Q=I2C.byte_counter[0] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[1] Q=I2C.byte_counter[1] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[2] Q=I2C.byte_counter[2] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[3] Q=I2C.byte_counter[3] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[4] Q=I2C.byte_counter[4] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[5] Q=I2C.byte_counter[5] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[6] Q=I2C.byte_counter[6] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[7] Q=I2C.byte_counter[7] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110669 Q=I2C.received_byte[0] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110736 Q=I2C.received_byte[1] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110803 Q=I2C.received_byte[2] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110870 Q=I2C.received_byte[3] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110937 Q=I2C.received_byte[4] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111004 Q=I2C.received_byte[5] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111071 Q=I2C.received_byte[6] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111138 Q=I2C.received_byte[7] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[0] Q=I2C.i2c_bit_counter[0] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[1] Q=I2C.i2c_bit_counter[1] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[2] Q=I2C.i2c_bit_counter[2] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[3] Q=I2C.i2c_bit_counter[3] -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31632_Y Q=I2C.is_read -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$techmap\I2C.$procmux$30991_Y E=$auto$dff2dffe.cc:158:make_patterns_logic$111147 Q=I2C.i2c_start_latency -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=I2C.FLT_SDA.out Q=I2C.SDA_LAST -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=I2C.FLT_SCL.out Q=I2C.SCL_LAST -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31540_Y Q=I2C.SDA_DIR -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$techmap\UART.$procmux$826_Y E=RESET Q=UART.tx_activity -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$965_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_line R=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[0] R=$logic_not$top.v:68$13_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[1] R=$logic_not$top.v:68$13_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[2] R=$logic_not$top.v:68$13_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[3] R=$logic_not$top.v:68$13_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$auto$simplemap.cc:309:simplemap_lut$87755[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[0] S=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[1] R=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[2] R=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[3] S=$eq$top.v:256$174_Y -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_WR E=RESET Q=UART.TX_sig_last -.attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][0] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][1] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][2] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][3] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][4] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][5] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][6] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][7] R=$auto$rtlil.cc:1692:NotGate$123446 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7] -.attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[0] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[1] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[2] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$13598_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$120622 Q=KEYBOARD.ram_wr S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$29977_CMP E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.is_pressed -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[0] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[1] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[2] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[4] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[5] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[6] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[7] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[0] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[1] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[2] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[3] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[4] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[5] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[6] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[7] R=$auto$wreduce.cc:310:run$76146[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[8] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[9] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[10] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[11] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[12] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[13] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[14] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[15] R=$auto$rtlil.cc:1692:NotGate$123560 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$25493_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$121761 Q=KEYBOARD.isr R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[0] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[0] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[1] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[1] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[2] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[2] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[3] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[3] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[4] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[4] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[5] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[5] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[6] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[6] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[7] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[7] S=$auto$rtlil.cc:1692:NotGate$123578 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$auto$simplemap.cc:309:simplemap_lut$88852[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[0] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[1] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[2] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[3] -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[0] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[1] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[2] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[3] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[4] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[5] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[6] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[7] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[8] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[9] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[10] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[11] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[12] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[13] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[14] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[15] R=$auto$rtlil.cc:1692:NotGate$123596 -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[0] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[1] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[2] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[3] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[4] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[5] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[6] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[7] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[8] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[8] R=$logic_not$top.v:68$13_Y -.attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[0] R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[1] R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[2] R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[3] R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[4] R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[5] R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[6] R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[7] R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$logic_not$top.v:68$13_Y -.attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFR C=CLK D=$techmap\I2C.$procmux$31586_Y Q=I2C.i2c_state_machine R=$logic_not$top.v:68$13_Y -.attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:12" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$auto$dff2dffe.cc:175:make_patterns_logic$122811 Q=I2C.FLT_SDA.out S=$logic_not$top.v:68$13_Y -.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[0] R=$logic_not$top.v:68$13_Y -.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[1] R=$logic_not$top.v:68$13_Y -.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[2] R=$logic_not$top.v:68$13_Y -.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$auto$dff2dffe.cc:175:make_patterns_logic$122871 Q=I2C.FLT_SCL.out S=$logic_not$top.v:68$13_Y -.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[0] R=$logic_not$top.v:68$13_Y -.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[1] R=$logic_not$top.v:68$13_Y -.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[2] R=$logic_not$top.v:68$13_Y -.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFF C=CLK D=$abc$51271$n2051 Q=I2C.wr +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2049 Q=I2C.is_ack +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2033 Q=I2C.byte_counter[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2035 Q=I2C.byte_counter[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2037 Q=I2C.byte_counter[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2039 Q=I2C.byte_counter[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2041 Q=I2C.byte_counter[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2043 Q=I2C.byte_counter[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2045 Q=I2C.byte_counter[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2047 Q=I2C.byte_counter[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1174 Q=I2C.received_byte[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1188 Q=I2C.received_byte[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1193 Q=I2C.received_byte[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1198 Q=I2C.received_byte[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1203 Q=I2C.received_byte[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1208 Q=I2C.received_byte[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1214 Q=I2C.received_byte[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1222 Q=I2C.received_byte[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$51271$n2025 Q=I2C.i2c_bit_counter[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2027 Q=I2C.i2c_bit_counter[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2029 Q=I2C.i2c_bit_counter[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2031 Q=I2C.i2c_bit_counter[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2023 Q=I2C.is_read +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$51271$n2003 E=$abc$51271$n1225 Q=I2C.i2c_start_latency +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFF C=CLK D=$abc$51271$n5 Q=$abc$51271$n14 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n7 Q=$abc$51271$n16 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=$abc$51271$n2019 Q=I2C.SDA_DIR +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFE C=CLK D=$abc$51271$n2186 E=I2C.FLT_SCL.RESET Q=UART.tx_activity +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n3 E=$abc$51271$n1230 Q=$abc$51271$n12 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2179 E=$abc$51271$n1235 Q=UART.tx_clk_counter[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2181 E=$abc$51271$n1235 Q=UART.tx_clk_counter[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2183 E=$abc$51271$n1235 Q=UART.tx_clk_counter[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2185 E=$abc$51271$n1235 Q=UART.tx_clk_counter[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2381 E=$abc$51271$n1230 Q=UART.tx_bit_counter[0] S=$abc$51271$n25 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2379 E=$abc$51271$n1230 Q=UART.tx_bit_counter[1] R=$abc$51271$n25 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2464 E=$abc$51271$n1230 Q=UART.tx_bit_counter[2] R=$abc$51271$n25 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2466 E=$abc$51271$n1230 Q=UART.tx_bit_counter[3] S=$abc$51271$n25 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1274 Q=KEYBOARD.report[5][0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1274 Q=KEYBOARD.report[5][1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1274 Q=KEYBOARD.report[5][2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1274 Q=KEYBOARD.report[5][3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1274 Q=KEYBOARD.report[5][4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1274 Q=KEYBOARD.report[5][5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1274 Q=KEYBOARD.report[5][6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1274 Q=KEYBOARD.report[5][7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$51271$n1320 Q=kbd_report[6][0] R=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$51271$n1320 Q=kbd_report[6][1] R=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$51271$n1320 Q=kbd_report[6][2] R=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$51271$n1320 Q=kbd_report[6][3] R=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$51271$n1320 Q=kbd_report[6][4] R=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$51271$n1320 Q=kbd_report[6][5] R=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$51271$n1320 Q=kbd_report[6][6] R=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$51271$n1320 Q=kbd_report[6][7] R=$abc$51271$n27 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1336 Q=KEYBOARD.report[4][0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1336 Q=KEYBOARD.report[4][1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1336 Q=KEYBOARD.report[4][2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1336 Q=KEYBOARD.report[4][3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1336 Q=KEYBOARD.report[4][4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1336 Q=KEYBOARD.report[4][5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1336 Q=KEYBOARD.report[4][6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1336 Q=KEYBOARD.report[4][7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1349 Q=KEYBOARD.report[3][0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1349 Q=KEYBOARD.report[3][1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1349 Q=KEYBOARD.report[3][2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1349 Q=KEYBOARD.report[3][3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1349 Q=KEYBOARD.report[3][4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1349 Q=KEYBOARD.report[3][5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1349 Q=KEYBOARD.report[3][6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1349 Q=KEYBOARD.report[3][7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1359 Q=KEYBOARD.report[2][0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1359 Q=KEYBOARD.report[2][1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1359 Q=KEYBOARD.report[2][2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1359 Q=KEYBOARD.report[2][3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1359 Q=KEYBOARD.report[2][4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1359 Q=KEYBOARD.report[2][5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1359 Q=KEYBOARD.report[2][6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1359 Q=KEYBOARD.report[2][7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1372 Q=KEYBOARD.report[1][0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1372 Q=KEYBOARD.report[1][1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1372 Q=KEYBOARD.report[1][2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1372 Q=KEYBOARD.report[1][3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1372 Q=KEYBOARD.report[1][4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1372 Q=KEYBOARD.report[1][5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1372 Q=KEYBOARD.report[1][6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1372 Q=KEYBOARD.report[1][7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2286 E=$abc$51271$n1378 Q=KEYBOARD.report[0][0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2289 E=$abc$51271$n1378 Q=KEYBOARD.report[0][1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2292 E=$abc$51271$n1378 Q=KEYBOARD.report[0][2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2295 E=$abc$51271$n1378 Q=KEYBOARD.report[0][3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2298 E=$abc$51271$n1378 Q=KEYBOARD.report[0][4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2301 E=$abc$51271$n1378 Q=KEYBOARD.report[0][5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2304 E=$abc$51271$n1378 Q=KEYBOARD.report[0][6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2307 E=$abc$51271$n1378 Q=KEYBOARD.report[0][7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[0] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2396 E=$abc$51271$n1383 Q=KEYBOARD.init_delay_cnt[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[2] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[3] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2191 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2194 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2197 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2199 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[8] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[8] R=KEYBOARD.init_ram_cnt[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2213 E=$abc$51271$n1313 Q=KEYBOARD.ram_wr S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n26 E=$abc$51271$n1408 Q=KEYBOARD.is_pressed +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2264 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[0] S=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2265 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[1] S=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2266 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[2] S=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2267 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[3] S=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2268 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[4] S=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2269 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[5] S=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2270 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[6] S=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2271 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[7] S=$abc$51271$n29 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2243 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2246 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2249 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2252 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2255 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2258 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2261 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2572 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[0] R=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2573 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[1] R=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2574 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[2] R=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2575 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[3] R=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2576 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[4] R=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2577 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[5] R=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2578 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[6] R=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2579 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[7] R=$abc$51271$n30 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2572 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[8] R=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2573 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[9] R=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2574 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[10] R=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2575 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[11] R=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2576 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[12] R=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2577 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[13] R=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2578 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[14] R=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2579 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[15] R=$abc$51271$n31 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2282 E=$abc$51271$n1405 Q=KEYBOARD.isr R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2273 E=$abc$51271$n1407 Q=KEYBOARD.temp[0] S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2277 E=$abc$51271$n1407 Q=KEYBOARD.temp[1] S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2275 E=$abc$51271$n1407 Q=KEYBOARD.temp[2] S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2278 E=$abc$51271$n1407 Q=KEYBOARD.temp[3] S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2274 E=$abc$51271$n1407 Q=KEYBOARD.temp[4] S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2279 E=$abc$51271$n1407 Q=KEYBOARD.temp[5] S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2280 E=$abc$51271$n1407 Q=KEYBOARD.temp[6] S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$abc$51271$n2276 E=$abc$51271$n1407 Q=KEYBOARD.temp[7] S=$abc$51271$n33 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2203 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2205 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2207 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$51271$n2209 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1606 E=$abc$51271$n1273 Q=KEYBOARD.row_time[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1607 E=$abc$51271$n1273 Q=KEYBOARD.row_time[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1609 E=$abc$51271$n1273 Q=KEYBOARD.row_time[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1610 E=$abc$51271$n1273 Q=KEYBOARD.row_time[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1604 E=$abc$51271$n1273 Q=KEYBOARD.row_time[4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n396 E=$abc$51271$n1273 Q=KEYBOARD.row_time[5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n394 E=$abc$51271$n1273 Q=KEYBOARD.row_time[6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n383 E=$abc$51271$n1273 Q=KEYBOARD.row_time[7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1614 E=$abc$51271$n1273 Q=KEYBOARD.row_time[8] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1615 E=$abc$51271$n1273 Q=KEYBOARD.row_time[9] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1617 E=$abc$51271$n1273 Q=KEYBOARD.row_time[10] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1618 E=$abc$51271$n1273 Q=KEYBOARD.row_time[11] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n1623 E=$abc$51271$n1273 Q=KEYBOARD.row_time[12] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[0] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2397 E=$abc$51271$n1414 Q=KEYBOARD.init_ram_cnt[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[2] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[3] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[4] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[5] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[6] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[7] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[8] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[8] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2322 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[3] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[4] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2324 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[5] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2326 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[6] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$abc$51271$n2328 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[7] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFR C=CLK D=$abc$51271$n2021 Q=I2C.i2c_state_machine R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:12" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$51271$n1426 Q=I2C.FLT_SDA.out S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$51271$n2329 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$51271$n2330 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$51271$n2331 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$51271$n1436 Q=I2C.FLT_SCL.out S=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$51271$n2332 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[0] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$51271$n2333 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[1] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$51271$n2334 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[2] R=$abc$51271$n35 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA -.attr src "i2c_slave.v:178" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:21|i2c_slave.v:178" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RCLK=CLK RCLKE=$true RDATA[0]=I2C_TX_DESC[0] RDATA[1]=I2C_TX_DESC[1] RDATA[2]=I2C_TX_DESC[2] RDATA[3]=I2C_TX_DESC[3] RDATA[4]=I2C_TX_DESC[4] RDATA[5]=I2C_TX_DESC[5] RDATA[6]=I2C_TX_DESC[6] RDATA[7]=I2C_TX_DESC[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false -.attr src "descriptors.v:143" +.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:41|descriptors.v:143" .param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011 .param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000 @@ -3747,8 +4711,9 @@ .param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$true WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=KEYBOARD.ram_wr -.attr src "/usr/bin/../share/yosys/ice40/brams_map.v:277|/usr/bin/../share/yosys/ice40/brams_map.v:35" +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3767,8 +4732,9 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false -.attr src "matrix_kbd.v:161" +.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:189" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 @@ -3782,71 +4748,88 @@ .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] -.attr src "matrix_kbd.v:187" +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|matrix_kbd.v:215" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$true WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=report_wr_en -.attr src "/usr/bin/../share/yosys/ice40/brams_map.v:277|/usr/bin/../share/yosys/ice40/brams_map.v:35" +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3865,14 +4848,8 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.names INT COM_DCD -1 1 -.names KBD_FREEZE COM_DSR -1 1 .names I2C.is_read COM_RTS 1 1 -.names UART.tx_line COM_TX -1 1 .names CLK I2C.CLK 1 1 .names I2C.byte_counter[0] I2C.COUNTER[0] @@ -3897,15 +4874,13 @@ 1 1 .names I2C.FLT_SCL.out I2C.FLT_SCL.OUT 1 1 -.names RESET I2C.FLT_SCL.RESET -1 1 .names CLK I2C.FLT_SDA.CLK 1 1 .names I2C.SDAF I2C.FLT_SDA.IN 1 1 .names I2C.FLT_SDA.out I2C.FLT_SDA.OUT 1 1 -.names RESET I2C.FLT_SDA.RESET +.names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET 1 1 .names I2C.is_ack I2C.IS_ACK 1 1 @@ -3929,7 +4904,7 @@ 1 1 .names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] 1 1 -.names RESET I2C.RESET +.names I2C.FLT_SCL.RESET I2C.RESET 1 1 .names SCL I2C.SCL 1 1 @@ -3983,23 +4958,7 @@ 1 1 .names I2C.wr I2C_HID_DESC.RD_REQUEST 1 1 -.names RESET I2C_HID_DESC.RESET -1 1 -.names I2C_TX_DESC[0] I2C_HID_DESC.VAL[0] -1 1 -.names I2C_TX_DESC[1] I2C_HID_DESC.VAL[1] -1 1 -.names I2C_TX_DESC[2] I2C_HID_DESC.VAL[2] -1 1 -.names I2C_TX_DESC[3] I2C_HID_DESC.VAL[3] -1 1 -.names I2C_TX_DESC[4] I2C_HID_DESC.VAL[4] -1 1 -.names I2C_TX_DESC[5] I2C_HID_DESC.VAL[5] -1 1 -.names I2C_TX_DESC[6] I2C_HID_DESC.VAL[6] -1 1 -.names I2C_TX_DESC[7] I2C_HID_DESC.VAL[7] +.names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET 1 1 .names I2C.is_read I2C_READ 1 1 @@ -4019,12 +4978,32 @@ 1 1 .names I2C.received_byte[7] I2C_RX[7] 1 1 +.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] +1 1 +.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] +1 1 +.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] +1 1 +.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] +1 1 +.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] +1 1 +.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] +1 1 +.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] +1 1 +.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] +1 1 .names I2C.wr I2C_WR 1 1 -.names INT INTERRUPT +.names COM_DCD INT +1 1 +.names COM_DCD INTERRUPT 1 1 .names KEYBOARD.isr ISR 1 1 +.names COM_DSR KBD_FREEZE +1 1 .names LED2 KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] @@ -4079,7 +5058,7 @@ 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] 1 1 -.names $undef KEYBOARD.RAM.raddr[8] +.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.raddr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 @@ -4113,7 +5092,7 @@ 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] 1 1 -.names $undef KEYBOARD.RAM.waddr[8] +.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.waddr[8] 1 1 .names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] 1 1 @@ -4133,7 +5112,7 @@ 1 1 .names KEYBOARD.ram_wr KEYBOARD.RAM.wen 1 1 -.names RESET KEYBOARD.RESET +.names I2C.FLT_SCL.RESET KEYBOARD.RESET 1 1 .names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 @@ -4263,23 +5242,15 @@ 1 1 .names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7] 1 1 -.names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] -1 1 -.names KEYBOARD.report[6][1] KEYBOARD.kbd_r7[1] -1 1 -.names KEYBOARD.report[6][2] KEYBOARD.kbd_r7[2] -1 1 -.names KEYBOARD.report[6][3] KEYBOARD.kbd_r7[3] +.names kbd_report[6][0] KEYBOARD.kbd_r7[0] 1 1 -.names KEYBOARD.report[6][4] KEYBOARD.kbd_r7[4] +.names kbd_report[6][1] KEYBOARD.kbd_r7[1] 1 1 -.names KEYBOARD.report[6][5] KEYBOARD.kbd_r7[5] +.names kbd_report[6][2] KEYBOARD.kbd_r7[2] 1 1 -.names KEYBOARD.report[6][6] KEYBOARD.kbd_r7[6] +.names kbd_report[6][3] KEYBOARD.kbd_r7[3] 1 1 -.names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7] -1 1 -.names $undef KEYBOARD.ram_adr[8] +.names kbd_report[6][4] KEYBOARD.kbd_r7[4] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] 1 1 @@ -4297,6 +5268,16 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 +.names kbd_report[6][0] KEYBOARD.report[6][0] +1 1 +.names kbd_report[6][1] KEYBOARD.report[6][1] +1 1 +.names kbd_report[6][2] KEYBOARD.report[6][2] +1 1 +.names kbd_report[6][3] KEYBOARD.report[6][3] +1 1 +.names kbd_report[6][4] KEYBOARD.report[6][4] +1 1 .names I2C_TRANS LED5 1 1 .names CLK REPORT_DATA.clk @@ -4371,9 +5352,11 @@ 1 1 .names report_wr_en REPORT_DATA.wen 1 1 +.names I2C.FLT_SCL.RESET RESET +1 1 .names CLK UART.CLK 1 1 -.names RESET UART.RESET +.names I2C.FLT_SCL.RESET UART.RESET 1 1 .names UART.tx_activity UART.TX_ACTIVITY 1 1 @@ -4393,13 +5376,15 @@ 1 1 .names UART_TX_DATA[7] UART.TX_BYTE[7] 1 1 -.names UART.tx_line UART.TX_LINE +.names COM_TX UART.TX_LINE 1 1 .names UART_WR UART.TX_SIGNAL 1 1 +.names COM_TX UART.tx_line +1 1 .names UART.tx_activity UART_ACTIVE 1 1 -.names UART.tx_line UART_TX_LINE +.names COM_TX UART_TX_LINE 1 1 .names KEYBOARD.report[0][0] kbd_report[0][0] 1 1 @@ -4497,22 +5482,6 @@ 1 1 .names KEYBOARD.report[5][7] kbd_report[5][7] 1 1 -.names KEYBOARD.report[6][0] kbd_report[6][0] -1 1 -.names KEYBOARD.report[6][1] kbd_report[6][1] -1 1 -.names KEYBOARD.report[6][2] kbd_report[6][2] -1 1 -.names KEYBOARD.report[6][3] kbd_report[6][3] -1 1 -.names KEYBOARD.report[6][4] kbd_report[6][4] -1 1 -.names KEYBOARD.report[6][5] kbd_report[6][5] -1 1 -.names KEYBOARD.report[6][6] kbd_report[6][6] -1 1 -.names KEYBOARD.report[6][7] kbd_report[6][7] -1 1 .names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 .names REPORT_DATA.r_data[1] report_data_rd[1] diff --git a/i2c_keyboard/i2c_kbd_alt.ex b/i2c_keyboard/i2c_kbd_alt.ex index 7094b84..9f99ce9 100644 --- a/i2c_keyboard/i2c_kbd_alt.ex +++ b/i2c_keyboard/i2c_kbd_alt.ex @@ -1,4 +1,4 @@ -Reading file 'i2c_kbd_alt.txt'.. +Reading file 'i2c_kbd_alt.asc'.. Fabric size (without IO tiles): 12 x 16 .io_tile 2 0 @@ -8,23 +8,14 @@ IOB_0 PINTYPE_5 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 -buffer local_g0_5 io_0/OUT_ENB -buffer span4_horz_r_13 local_g0_5 +buffer local_g0_1 io_0/OUT_ENB +buffer span4_vert_17 local_g0_1 .io_tile 9 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span4_horz_r_0 -buffer io_1/D_IN_0 span4_vert_12 -routing span4_vert_31 span4_vert_7 - -.io_tile 13 13 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_37 span4_vert_b_2 -routing span4_horz_43 span4_vert_b_3 .io_tile 10 17 IOB_0 PINTYPE_0 @@ -37,15 +28,10 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_1 io_0/OUT_ENB -buffer local_g1_3 io_1/OUT_ENB -buffer span4_horz_r_3 local_g1_3 -buffer span4_vert_1 local_g0_1 - -.io_tile 3 0 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_vert_31 span4_vert_7 +buffer local_g0_6 io_1/OUT_ENB +buffer local_g1_0 io_0/OUT_ENB +buffer logic_op_bnr_6 local_g0_6 +buffer span4_horz_r_8 local_g1_0 .io_tile 0 14 IOB_0 PINTYPE_0 @@ -58,10 +44,10 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g1_6 io_1/D_OUT_0 -buffer local_g1_7 io_0/D_OUT_0 -buffer logic_op_bnr_7 local_g1_7 -buffer span12_horz_14 local_g1_6 +buffer local_g0_0 io_0/D_OUT_0 +buffer local_g0_3 io_1/D_OUT_0 +buffer span4_horz_16 local_g0_0 +buffer span4_horz_27 local_g0_3 .io_tile 13 4 IOB_0 PINTYPE_0 @@ -74,26 +60,24 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g1_0 io_0/OUT_ENB -buffer local_g1_5 io_1/OUT_ENB -buffer span12_horz_0 local_g1_0 -buffer span4_vert_b_13 local_g1_5 -routing span4_horz_19 span4_horz_43 -routing span4_horz_31 span4_horz_7 -routing span4_vert_b_0 span4_horz_1 +buffer local_g0_2 io_1/OUT_ENB +buffer local_g1_4 io_0/OUT_ENB +buffer span4_horz_10 local_g0_2 +buffer span4_vert_b_4 local_g1_4 +routing span4_horz_37 span4_horz_13 +routing span4_horz_43 span4_vert_t_15 .io_tile 8 0 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_1 -buffer io_1/D_IN_0 span4_horz_r_2 -routing span4_horz_l_12 span4_horz_r_0 +routing span4_horz_l_12 span4_vert_1 +routing span4_horz_l_14 span4_vert_13 .io_tile 0 7 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_1 span4_horz_25 -routing span4_horz_7 span4_horz_31 +routing span4_horz_31 span4_horz_7 .io_tile 13 8 IOB_0 PINTYPE_0 @@ -101,24 +85,22 @@ IOB_0 PINTYPE_3 IOB_0 PINTYPE_5 IoCtrl IE_1 IoCtrl REN_0 -buffer io_0/D_IN_0 span4_vert_b_12 -buffer local_g0_3 fabout -buffer local_g0_5 io_0/OUT_ENB -buffer logic_op_lft_3 local_g0_3 -buffer span4_horz_29 local_g0_5 +buffer local_g0_1 fabout +buffer local_g0_3 io_0/OUT_ENB +buffer span4_horz_41 local_g0_1 +buffer span4_vert_b_3 local_g0_3 .io_tile 6 17 -IoCtrl IE_0 IoCtrl IE_1 -buffer local_g0_1 fabout -buffer span12_vert_9 local_g0_1 +buffer local_g0_7 fabout +buffer logic_op_bot_7 local_g0_7 .io_tile 4 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span4_horz_r_4 +buffer io_0/D_IN_0 span4_vert_40 buffer io_1/D_IN_0 span4_vert_4 .io_tile 13 12 @@ -131,14 +113,9 @@ IOB_1 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 buffer local_g0_0 io_0/D_OUT_0 -buffer local_g0_1 io_1/D_OUT_0 -buffer logic_op_tnl_1 local_g0_1 -buffer span12_horz_16 local_g0_0 - -.io_tile 0 15 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_7 span4_horz_31 +buffer local_g1_4 io_1/D_OUT_0 +buffer span4_horz_40 local_g0_0 +buffer span4_vert_b_12 local_g1_4 .io_tile 13 3 IOB_1 PINTYPE_0 @@ -147,19 +124,14 @@ IOB_1 PINTYPE_5 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_0 io_1/OUT_ENB -buffer span4_horz_24 local_g0_0 -routing span4_horz_1 span4_vert_b_0 -routing span4_vert_t_12 span4_horz_25 -routing span4_vert_t_14 span4_horz_13 +buffer local_g0_6 io_1/OUT_ENB +buffer span4_horz_6 local_g0_6 .io_tile 0 11 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 -routing span4_horz_1 span4_horz_25 -routing span4_horz_1 span4_vert_t_12 .io_tile 13 7 IOB_0 PINTYPE_0 @@ -169,12 +141,8 @@ IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_1/D_IN_0 span4_vert_b_2 -buffer local_g1_3 io_0/D_OUT_0 -buffer span4_vert_b_11 local_g1_3 -routing span4_horz_19 span4_vert_t_15 -routing span4_horz_7 span4_vert_b_1 -routing span4_vert_t_12 span4_vert_b_0 +buffer local_g1_7 io_0/D_OUT_0 +buffer span12_horz_7 local_g1_7 .io_tile 12 17 IOB_0 PINTYPE_0 @@ -188,14 +156,10 @@ IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 buffer local_g0_2 io_1/OUT_ENB -buffer local_g1_0 io_0/OUT_ENB -buffer span4_vert_0 local_g1_0 +buffer local_g1_2 io_0/OUT_ENB +buffer span4_vert_10 local_g1_2 buffer span4_vert_2 local_g0_2 - -.io_tile 4 17 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_vert_13 span4_horz_r_2 +routing span4_vert_25 span4_horz_l_12 .io_tile 5 0 IOB_0 PINTYPE_0 @@ -203,15 +167,9 @@ IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 buffer io_0/D_IN_0 span4_horz_r_4 -buffer io_1/D_IN_0 span4_vert_4 -routing span4_vert_25 span4_horz_l_12 +buffer io_1/D_IN_0 span4_horz_r_6 routing span4_vert_37 span4_vert_13 -.io_tile 10 0 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_r_0 span4_horz_l_12 - .io_tile 9 17 IOB_0 PINTYPE_0 IOB_0 PINTYPE_3 @@ -223,10 +181,11 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_3 io_0/OUT_ENB -buffer local_g1_3 io_1/OUT_ENB -buffer span4_horz_r_11 local_g0_3 -buffer span4_vert_35 local_g1_3 +buffer local_g0_0 io_1/OUT_ENB +buffer local_g1_4 io_0/OUT_ENB +buffer span4_vert_32 local_g0_0 +buffer span4_vert_44 local_g1_4 +routing span4_vert_43 span4_horz_l_15 .io_tile 13 11 IOB_0 PINTYPE_0 @@ -239,23 +198,21 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_2 io_0/D_OUT_0 -buffer local_g1_2 io_1/D_OUT_0 -buffer span12_horz_18 local_g1_2 -buffer span4_horz_26 local_g0_2 +buffer local_g0_7 io_1/D_OUT_0 +buffer local_g1_5 io_0/D_OUT_0 +buffer span12_horz_21 local_g1_5 +buffer span12_horz_7 local_g0_7 .io_tile 13 15 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_19 span4_vert_t_15 +routing span4_horz_25 span4_vert_b_0 .io_tile 6 0 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_1 fabout -buffer span4_vert_25 local_g0_1 -routing span4_horz_r_0 span4_vert_1 -routing span4_vert_31 span4_vert_7 +buffer local_g1_6 fabout +buffer logic_op_top_6 local_g1_6 .io_tile 0 12 IOB_0 PINTYPE_0 @@ -264,24 +221,10 @@ IOB_0 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_2 io_0/D_OUT_0 -buffer span4_horz_2 local_g0_2 - -.io_tile 11 0 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_l_12 span4_vert_25 -routing span4_horz_l_14 span4_vert_13 - -.io_tile 0 5 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_19 span4_horz_43 - -.io_tile 8 17 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_vert_7 span4_vert_31 +buffer local_g0_0 io_0/D_OUT_0 +buffer span4_horz_40 local_g0_0 +routing span4_horz_43 span4_vert_t_15 +routing span4_horz_7 span4_horz_31 .io_tile 13 6 IOB_0 PINTYPE_0 @@ -294,10 +237,10 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_3 io_0/OUT_ENB buffer local_g0_4 io_1/OUT_ENB -buffer logic_op_lft_3 local_g0_3 -buffer span4_horz_44 local_g0_4 +buffer local_g0_7 io_0/OUT_ENB +buffer logic_op_lft_4 local_g0_4 +buffer logic_op_lft_7 local_g0_7 .io_tile 1 0 IOB_0 PINTYPE_0 @@ -311,23 +254,24 @@ IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 buffer local_g0_0 io_1/OUT_ENB -buffer local_g1_4 io_0/OUT_ENB -buffer span4_horz_r_0 local_g0_0 -buffer span4_vert_20 local_g1_4 +buffer local_g0_3 io_0/OUT_ENB +buffer span12_vert_11 local_g0_3 +buffer span4_horz_r_8 local_g0_0 .io_tile 0 8 IOB_1 PINTYPE_0 IoCtrl IE_1 IoCtrl REN_0 -buffer io_1/D_IN_0 span4_vert_b_6 -buffer local_g0_5 fabout -buffer span4_vert_b_5 local_g0_5 +buffer io_1/D_IN_0 span4_vert_b_2 +buffer local_g1_2 fabout +buffer span4_vert_b_2 local_g1_2 .io_tile 13 10 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 +routing span4_horz_1 span4_horz_25 .io_tile 11 17 IOB_0 PINTYPE_0 @@ -340,26 +284,22 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_1 io_0/OUT_ENB -buffer local_g0_6 io_1/OUT_ENB -buffer span4_vert_25 local_g0_1 -buffer span4_vert_46 local_g0_6 -routing span4_vert_19 span4_horz_l_15 +buffer local_g1_0 io_0/OUT_ENB +buffer local_g1_7 io_1/OUT_ENB +buffer logic_op_bnr_0 local_g1_0 +buffer logic_op_bot_7 local_g1_7 .io_tile 7 0 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_1 -buffer io_1/D_IN_0 span4_horz_r_2 -buffer local_g1_4 fabout -buffer span4_vert_20 local_g1_4 -routing span4_horz_l_12 span4_horz_r_0 +buffer local_g0_5 fabout +buffer logic_op_top_5 local_g0_5 -.io_tile 12 0 +.io_tile 13 14 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_l_12 span4_vert_25 -routing span4_horz_l_14 span4_vert_13 +routing span4_horz_37 span4_horz_13 .io_tile 0 13 IOB_0 PINTYPE_0 @@ -368,23 +308,31 @@ IOB_0 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_0 io_0/D_OUT_0 -buffer span4_vert_b_8 local_g0_0 -routing span4_horz_7 span4_vert_b_1 +buffer local_g1_7 io_0/D_OUT_0 +buffer span4_vert_b_15 local_g1_7 +routing span4_horz_25 span4_horz_1 + +.io_tile 13 5 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_1 span4_vert_b_0 + +.io_tile 0 6 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_7 span4_horz_31 .io_tile 7 17 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g1_6 fabout -buffer span4_horz_r_14 local_g1_6 +buffer local_g0_3 fabout +buffer span4_horz_r_11 local_g0_3 .io_tile 0 9 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g1_2 fabout -buffer span4_vert_b_2 local_g1_2 -routing span4_horz_1 span4_horz_25 -routing span4_vert_t_13 span4_vert_b_1 +buffer local_g0_1 fabout +buffer span4_horz_25 local_g0_1 .io_tile 13 9 IOB_1 PINTYPE_0 @@ -393,4441 +341,2800 @@ IOB_1 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_1 fabout buffer local_g0_3 io_1/D_OUT_0 -buffer logic_op_lft_1 local_g0_1 -buffer span4_vert_b_11 local_g0_3 -routing span4_horz_19 span4_horz_43 -routing span4_vert_t_14 span4_horz_13 -routing span4_vert_t_15 span4_vert_b_3 +buffer local_g1_6 fabout +buffer logic_op_bnl_6 local_g1_6 +buffer span4_horz_27 local_g0_3 .io_tile 0 2 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_7 span4_vert_b_1 +routing span4_horz_1 span4_vert_b_0 .logic_tile 7 3 -LC_0 1111001101010101 0000 -LC_1 1101000000000000 0000 -LC_2 1000001001000001 0000 -LC_3 0011010100000000 0000 -LC_4 0110100110010110 0000 -LC_5 0100000000000000 0000 -LC_6 0000011100000000 0000 -LC_7 0000000000000001 0000 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_7/in_1 +LC_0 0000011000000000 0000 +LC_1 0000000011111000 0000 +LC_2 0001000000000000 0000 +LC_3 0101110000000000 0000 +LC_4 0010000000000000 0000 +LC_6 0010001011110010 0100 DffEnable +LC_7 1111001000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_6 lutff_0/in_0 buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_6/in_0 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_6/in_3 buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_5 lutff_2/in_3 -buffer local_g3_0 lutff_2/in_1 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_1/in_3 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_3 lutff_7/in_2 +buffer local_g3_1 lutff_0/in_2 buffer local_g3_1 lutff_2/in_0 -buffer local_g3_5 lutff_0/in_2 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_5 lutff_6/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out local_g3_4 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_bnr_5 local_g1_5 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_tnl_0 local_g2_0 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnl_1 local_g3_1 +buffer sp4_v_b_4 local_g0_4 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_b_5 sp4_h_r_5 +routing sp4_v_b_8 sp4_v_t_45 + +.logic_tile 6 9 +LC_0 1110111011100000 0000 +LC_1 0000000000101000 0000 +LC_2 0001000100001111 0000 +LC_3 0000111111101100 0000 +LC_4 0001000000000000 0000 +LC_5 0000000000101000 0000 +LC_6 1110111011100000 0000 +LC_7 0000100010000000 0000 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_5 lutff_7/in_3 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_5 lutff_1/in_3 +buffer local_g3_7 lutff_4/in_0 buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_r_v_b_3 buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g0_4 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_4/out sp4_v_b_24 buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp12_h_r_4 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g0_6 buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_9 local_g3_1 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_r_v_b_26 local_g1_2 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_tnr_5 local_g2_5 +buffer neigh_op_tnr_5 local_g3_5 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_h_r_44 local_g2_4 buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_6 local_g0_6 -routing sp4_h_l_36 sp4_h_r_1 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_45 sp4_h_r_11 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_h_l_46 sp4_v_b_11 -routing sp4_h_r_0 sp4_h_l_38 -routing sp4_h_r_2 sp4_h_l_47 -routing sp4_h_r_5 sp4_h_l_36 +buffer sp4_v_b_40 local_g3_0 +buffer sp4_v_b_42 local_g3_2 +routing sp4_h_l_43 sp4_v_t_46 routing sp4_v_b_0 sp4_v_t_37 -routing sp4_v_b_11 sp4_h_l_41 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_b_9 sp4_h_r_9 -routing sp4_v_t_38 sp4_v_b_6 -routing sp4_v_t_43 sp4_h_l_43 - -.logic_tile 6 9 -LC_0 1010001100000000 0000 -LC_1 0000100000000000 0000 -LC_2 1010110000000000 0000 -LC_3 1011000000000000 0000 -LC_4 0000010000000000 0000 -LC_5 1010110000000000 0000 -LC_6 0101110000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_5/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_4/in_2 -buffer local_g2_5 lutff_1/in_0 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_3 lutff_2/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_tnl_5 local_g2_5 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_17 local_g3_1 -buffer sp4_h_r_35 local_g2_3 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_6 local_g1_6 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_32 local_g2_0 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_r_0 sp4_v_t_37 -routing sp4_v_b_8 sp4_v_t_45 +routing sp4_v_t_36 sp4_h_l_42 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_37 sp4_h_l_43 +routing sp4_v_t_40 sp4_h_l_46 +routing sp4_v_t_42 sp4_h_l_36 .logic_tile 1 3 -LC_1 0110100110010110 0000 -LC_2 0011110010101010 0100 DffEnable -LC_3 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 1001100101100110 0000 +LC_6 1001000000000000 0000 +LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_6/in_1 buffer local_g0_1 lutff_7/in_0 buffer local_g0_2 lutff_global/cen -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_6 lutff_2/in_0 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_2 lutff_2/in_3 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_5 lutff_1/in_1 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_tnr_6 local_g2_6 -buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_45 local_g3_5 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_6 sp4_h_r_6 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_5 lutff_2/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g1_7 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_r_v_b_32 local_g0_3 +routing sp4_v_t_47 sp4_h_r_10 .logic_tile 12 1 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000011111111 0000 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_4 lutff_2/in_1 -buffer lutff_4/cout lutff_5/in_3 -buffer sp12_v_b_12 local_g2_4 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_36 local_g3_4 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_v_t_37 sp4_h_l_37 +LC_2 0101001100000000 0100 DffEnable +LC_6 0101001100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_2 lutff_6/in_0 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_h_r_28 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_top_2 local_g0_2 +buffer sp12_h_r_21 local_g0_5 +buffer sp12_v_b_18 local_g2_2 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_24 local_g2_0 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_b_11 sp4_v_t_42 .logic_tile 11 11 -LC_0 1000000000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0110100110010110 0000 -LC_3 0000101100000000 0000 -LC_4 0110100110010110 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 +LC_0 1010110000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0101001100000000 0000 +LC_3 0110000000000000 0000 +LC_4 1010010101011010 0000 +LC_5 0010110100000000 0000 +LC_6 1110000000000000 0110 DffEnable Set_NoReset LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_4 lutff_2/in_3 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_7/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_0/in_0 buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_2 lutff_4/in_3 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_5 lutff_4/in_2 +buffer local_g3_5 lutff_5/in_1 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_7 local_g0_7 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_v_b_13 local_g3_5 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_39 sp4_v_b_2 + +.logic_tile 4 8 +LC_0 0010000000000000 0000 +LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_5 lutff_5/in_0 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_3 lutff_global/cen buffer local_g3_5 lutff_0/in_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_tnr_7 local_g2_7 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_4 local_g1_4 -buffer sp12_v_b_21 local_g2_5 -buffer sp12_v_b_21 local_g3_5 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_v_b_0 local_g0_0 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_47 sp4_h_r_1 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_v_b_3 sp4_h_l_45 - -.logic_tile 4 8 -LC_0 1111001011111111 0000 -LC_1 1010110000000000 0000 -LC_2 0000000010111111 0000 -LC_3 0001000000000000 0000 -LC_4 0101110000000000 0000 -LC_5 1010001100000000 0000 -LC_6 0000000000001011 0000 -LC_7 0100010011110000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_0 lutff_2/in_3 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_3/in_3 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_6/in_0 -buffer local_g1_7 lutff_7/in_3 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_0 lutff_6/in_3 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_7/in_0 -buffer lutff_0/out local_g3_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g3_1 -buffer lutff_2/out local_g3_2 -buffer lutff_3/out local_g0_3 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out sp12_h_r_14 buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_31 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_38 local_g3_6 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_h_r_1 sp4_v_b_6 -routing sp4_h_r_6 sp4_v_t_43 -routing sp4_v_b_6 sp4_v_t_39 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_rgt_3 local_g2_3 +buffer sp12_h_r_10 local_g0_2 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_10 local_g1_2 +routing sp12_h_r_1 sp12_h_l_22 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_7 sp4_h_r_7 .logic_tile 7 12 -CarryInSet -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_6 0100000000000000 0100 DffEnable +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0000100000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0010000000000000 0000 +LC_3 0000100000000000 0000 +LC_4 0000000011100000 0000 +LC_5 0000100000000000 0000 +LC_6 0010000000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_0/in_1 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_4/in_1 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_7/in_0 -buffer local_g1_1 lutff_2/in_2 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_4 lutff_1/in_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_r_v_b_25 -buffer neigh_op_bot_1 local_g1_1 -buffer sp12_v_b_10 local_g3_2 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_2 local_g0_2 -buffer sp4_h_r_3 local_g0_3 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_35 local_g0_0 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_3 sp4_h_l_38 -routing sp4_v_b_8 sp4_h_r_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_6 lutff_6/in_1 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_7 lutff_3/in_0 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_6 lutff_4/in_3 +buffer local_g3_7 lutff_0/in_2 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_bnr_1 local_g1_1 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_tnr_1 local_g2_1 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_2 local_g1_2 +buffer sp12_v_b_23 sp4_v_b_23 +buffer sp4_h_r_6 local_g1_6 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_4 local_g0_4 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_8 sp4_v_b_8 +routing sp4_v_b_6 sp4_v_t_43 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_b_7 sp4_h_r_7 +routing sp4_v_t_38 sp4_v_b_3 +routing sp4_v_t_38 sp4_v_b_6 +routing sp4_v_t_39 sp4_h_r_2 .logic_tile 2 8 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_7 lutff_3/in_2 +LC_2 0000000011111111 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_4 0000000011111111 1000 CarryEnable +LC_5 0000000011111111 1000 CarryEnable +LC_6 0000000011111111 1000 CarryEnable +LC_7 1001100101100110 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_7/in_1 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_5 lutff_2/in_2 buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_5 lutff_2/in_2 +buffer local_g1_5 lutff_6/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g3_4 lutff_6/in_1 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_2/out sp12_v_b_4 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_r_v_b_23 buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp12_h_r_16 buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_v_b_26 buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_v_b_14 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_7 local_g0_7 -routing sp12_h_r_1 sp12_h_l_22 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_h_r_7 sp4_v_b_0 -routing sp4_v_t_47 sp4_v_b_1 -routing sp4_v_t_47 sp4_v_b_6 - -.logic_tile 9 8 -LC_0 0001111000000000 0000 -LC_2 0000001000000000 0000 -LC_3 0010000000000000 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_0 glb2local_0 -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_1 lutff_2/in_1 +buffer lutff_7/out sp4_v_b_14 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_b_8 sp4_h_r_8 + +.logic_tile 9 8 +LC_0 1111111111111000 0000 +LC_1 0000000011001010 0000 +LC_2 0000000011001010 0000 +LC_3 0000000011100000 0000 +LC_4 1111111110100011 0000 +LC_5 1111111110100011 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0000110111110010 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_0 buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_6 lutff_0/in_2 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g3_3 lutff_2/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out sp4_h_r_42 -buffer neigh_op_bnr_3 local_g1_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_18 local_g1_2 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_27 local_g0_3 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_7/in_3 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_5 lutff_0/in_3 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_3 lutff_3/in_3 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_6 lutff_3/in_2 +buffer local_g3_6 lutff_5/in_2 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_5/out local_g2_5 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_4 local_g0_4 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_v_b_15 local_g3_7 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_17 local_g1_1 buffer sp4_v_b_18 local_g0_2 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_b_3 sp4_v_t_46 -routing sp4_v_t_47 sp4_h_l_47 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_8 local_g1_0 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_t_47 sp4_v_b_6 .logic_tile 12 12 -CarryInSet +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_7 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_3 lutff_0/in_1 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_5 lutff_1/in_2 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_3/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer neigh_op_bnl_0 local_g2_0 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_tnl_5 local_g2_5 -buffer sp12_h_r_23 local_g1_7 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_5 local_g3_5 -buffer sp4_v_b_14 local_g1_6 -routing sp4_h_l_37 sp4_v_b_0 - -.logic_tile 5 16 -LC_1 0110100110010110 0000 -LC_2 0001000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0101110100000000 0100 DffEnable +ColBufCtrl glb_netwk_6 +LC_1 0000000000000001 0000 +LC_2 0010000000000000 0100 DffEnable +LC_4 0010000000000000 0100 DffEnable +LC_5 0010000000000000 0100 DffEnable LC_7 0010000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_2 glb2local_0 -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_5 lutff_2/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_7 lutff_1/in_2 -buffer lutff_1/out local_g1_1 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_0 lutff_7/in_0 +buffer lutff_1/out sp4_v_b_18 buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_h_r_12 +buffer lutff_4/out local_g1_4 buffer lutff_5/out local_g0_5 -buffer lutff_7/out local_g2_7 -buffer neigh_op_bnr_3 local_g1_3 -buffer neigh_op_rgt_3 local_g2_3 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_v_b_7 sp4_h_l_37 +buffer lutff_7/out local_g1_7 +buffer neigh_op_top_4 local_g0_4 +buffer neigh_op_top_5 local_g1_5 +buffer neigh_op_top_6 local_g1_6 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_40 local_g3_0 +routing sp4_v_b_1 sp4_v_t_44 +routing sp4_v_b_4 sp4_v_t_37 + +.logic_tile 5 16 +LC_1 1010010101011010 0000 +buffer local_g0_7 lutff_1/in_2 +buffer neigh_op_bot_7 local_g0_7 +buffer sp12_v_b_9 sp4_v_b_16 +routing sp12_v_b_0 sp12_h_l_23 .logic_tile 5 13 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_6 LC_0 0001000000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0100000000000000 0000 -LC_3 0001000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 0000000000001000 0000 +LC_3 0000000000001000 0000 +LC_4 0010000000000000 0000 LC_5 0000000100000000 0000 -LC_6 0101001100000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_5 lutff_0/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_5 lutff_2/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_5 lutff_5/in_1 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_h_r_28 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnr_4 local_g0_4 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_43 local_g3_3 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_r_0 sp4_h_l_37 -routing sp4_h_r_7 sp4_h_l_47 -routing sp4_v_t_47 sp4_h_r_10 - -.logic_tile 8 10 -LC_0 0100000000000000 0000 -LC_1 1000000000000000 0000 -LC_3 1001000000000000 0000 -LC_4 0110100110010110 0000 -LC_5 1000000000000000 0000 -LC_6 0001000000000000 0000 -LC_7 0101111100111111 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_0/in_0 +LC_6 1000000000000000 0000 +LC_7 0000100011111111 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_7/in_3 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_2/in_3 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_4/in_0 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g1_5 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_bnr_3 local_g1_3 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_rgt_1 local_g3_1 +buffer sp12_h_r_5 local_g0_5 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_32 local_g2_0 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_7 local_g1_7 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_42 sp4_h_r_3 +routing sp4_h_r_2 sp4_v_b_2 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_40 sp4_v_b_5 +routing sp4_v_t_42 sp4_h_l_42 +routing sp4_v_t_43 sp4_v_b_6 + +.logic_tile 8 10 +LC_0 1111111101010011 0000 +LC_1 0000011100000000 0000 +LC_2 0000000001010011 0000 +LC_3 0011010100000000 0000 +LC_4 0011010100000000 0000 +LC_5 0101111100111111 0000 +LC_6 0101111100111111 0000 +LC_7 0010000000000000 0000 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_0/in_3 buffer local_g0_7 lutff_5/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_0/in_1 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_4/in_0 buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_3/out sp4_v_b_38 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_1/in_2 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_3 lutff_4/in_2 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_6 lutff_5/in_0 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g0_3 buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out local_g0_5 buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_v_b_30 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_23 sp4_v_b_23 -buffer sp4_h_r_39 local_g2_7 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_43 local_g2_3 buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_28 local_g3_4 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_40 local_g2_0 buffer sp4_v_b_41 local_g2_1 buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_43 local_g3_3 -buffer sp4_v_b_5 local_g0_5 -routing sp12_h_r_1 sp12_v_t_22 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_h_r_3 sp4_h_l_38 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_h_r_9 sp4_h_l_44 -routing sp4_h_r_9 sp4_v_b_9 -routing sp4_v_b_2 sp4_v_t_47 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_t_36 sp4_v_b_1 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_46 sp4_h_l_46 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_43 sp4_h_r_6 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_1 sp4_v_t_36 +routing sp4_h_r_5 sp4_v_t_46 +routing sp4_v_t_37 sp4_h_l_43 .logic_tile 6 2 -LC_0 0000000000000001 0000 -LC_1 0000010000000000 0000 -LC_2 0000000000000001 0000 -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 +LC_0 0000001000000000 0000 +LC_1 0000000000000001 0000 +LC_2 1000000000000000 0000 +LC_3 1110000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0000000010110000 0000 +LC_6 0010000000000000 0000 +LC_7 0010000000000000 0000 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_1 lutff_6/in_1 buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_5/in_0 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_1/in_3 buffer local_g0_6 lutff_0/in_2 -buffer local_g1_0 lutff_0/in_3 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_7 lutff_2/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_1/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_6 lutff_3/in_3 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_4 lutff_3/in_0 buffer lutff_0/out sp12_h_r_8 buffer lutff_0/out sp4_r_v_b_17 buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_tnr_3 local_g3_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_v_b_11 local_g2_3 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -routing sp4_h_l_45 sp4_h_r_8 -routing sp4_h_l_47 sp4_h_r_1 -routing sp4_h_r_4 sp4_h_l_37 -routing sp4_h_r_4 sp4_v_t_41 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_t_41 sp4_h_r_9 - +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnl_1 local_g3_1 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_6 local_g0_6 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_h_l_47 sp4_v_t_47 +routing sp4_v_t_41 sp4_v_b_0 +routing sp4_v_t_43 sp4_h_r_6 +routing sp4_v_t_47 sp4_v_b_6 + .logic_tile 1 6 -LC_0 1000001000000000 0000 -LC_1 0010000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0101001100000000 0100 DffEnable -LC_4 0101001100000000 0100 DffEnable -LC_5 0101001100000000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_0 +LC_0 0010000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0110000000000000 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_1/in_0 buffer local_g1_5 lutff_1/in_1 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_5 lutff_7/in_2 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_5 lutff_4/in_2 -buffer local_g3_5 lutff_6/in_2 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out sp4_r_v_b_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g3_3 lutff_global/cen buffer lutff_1/out local_g2_1 -buffer lutff_1/out sp4_r_v_b_3 buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_4 local_g1_4 -buffer sp12_v_b_14 local_g2_6 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_16 local_g2_0 -buffer sp12_v_b_21 local_g2_5 -buffer sp12_v_b_21 local_g3_5 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_3 local_g0_3 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_21 local_g1_5 -routing sp4_v_b_11 sp4_h_r_5 -routing sp4_v_t_45 sp4_h_r_8 -routing sp4_v_t_45 sp4_v_b_11 -routing sp4_v_t_45 sp4_v_b_8 +buffer lutff_2/out local_g1_2 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_top_3 local_g1_3 +buffer neigh_op_top_4 local_g0_4 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_7 local_g0_7 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_17 local_g0_1 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_v_t_37 sp4_h_r_5 .logic_tile 11 14 -LC_0 1000000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0001000000000000 0000 -LC_6 0100000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_5/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_0/in_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_4 local_g1_4 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_43 local_g2_3 -routing sp4_v_b_3 sp4_v_t_43 +LC_0 0000000000000001 0000 +LC_1 0000000000000001 0000 +LC_2 0010000000000000 0100 DffEnable +LC_3 0010000000000000 0100 DffEnable +LC_4 0010000000000000 0100 DffEnable +LC_5 0010000000000000 0100 DffEnable +LC_6 0010000000000000 0100 DffEnable +LC_7 0010000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_0/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_24 local_g2_0 +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_h_r_0 sp4_v_t_43 routing sp4_v_b_5 sp4_h_l_40 .logic_tile 7 11 -LC_0 1101000000000000 0000 +LC_0 0000100100000000 0000 LC_1 1000000000000000 0000 -LC_2 0000000000010000 0000 -LC_3 0001000000000000 0000 -LC_4 0011000001010101 0100 DffEnable -LC_5 0011000010101010 0100 DffEnable -LC_6 0011000010101010 0100 DffEnable -LC_7 0011000010101010 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk +LC_2 0000001000000000 0000 +LC_3 0000000010000010 0000 +LC_4 0000000011100000 0000 +LC_5 0001000000000000 0000 +LC_6 0000000010000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_5 lutff_7/in_3 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_2/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_6 lutff_4/in_3 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_global/cen buffer local_g2_3 lutff_0/in_1 -buffer local_g2_6 lutff_7/in_1 -buffer local_g2_7 lutff_5/in_2 -buffer local_g2_7 lutff_7/in_2 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_7 lutff_4/in_2 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnr_5 local_g0_5 -buffer neigh_op_lft_5 local_g1_5 -buffer sp12_h_r_2 local_g0_2 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -routing sp4_h_l_47 sp4_h_r_1 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_t_47 sp4_h_r_10 - -.logic_tile 2 5 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 1010101000111111 0000 -LC_1 0110100110010110 0000 -LC_3 1010101000111111 0000 -LC_4 0010000000000000 0000 -LC_5 0101110000000000 0100 DffEnable -LC_6 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_5/in_2 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_0/in_3 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_7/in_0 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_3/in_1 buffer local_g3_1 lutff_4/in_2 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_6/out sp4_r_v_b_13 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnl_6 local_g3_6 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_29 local_g3_5 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_33 local_g3_1 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_44 local_g3_4 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_v_b_7 sp4_h_r_7 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_44 sp4_v_b_5 - -.logic_tile 1 11 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_5 lutff_5/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g2_2 lutff_4/in_2 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_6/cout lutff_7/in_3 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_7 lutff_3/in_3 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g1_6 buffer lutff_7/out sp4_v_b_30 buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_top_4 local_g1_4 -buffer sp4_v_b_13 local_g0_5 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_b_2 sp4_v_t_39 - -.logic_tile 8 5 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0001000000000000 0000 -LC_1 1110111011100000 0000 -LC_2 0101001100000000 0000 -LC_3 1110111011100000 0000 -LC_4 1110111011100000 0000 -LC_5 1000001001000001 0000 -LC_6 0000000000001110 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_1/in_3 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_5 lutff_6/in_1 -buffer local_g3_0 lutff_4/in_3 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_6/in_0 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_v_b_5 local_g2_5 -buffer sp12_v_b_7 local_g3_7 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_3 local_g0_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_14 local_g0_6 +buffer neigh_op_tnl_0 local_g2_0 +buffer neigh_op_tnl_2 local_g3_2 +buffer neigh_op_tnr_1 local_g2_1 +buffer neigh_op_tnr_5 local_g3_5 +buffer neigh_op_top_6 local_g0_6 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_35 local_g0_0 buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_28 local_g3_4 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_34 local_g2_2 buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_35 local_g3_3 -buffer sp4_v_b_42 local_g3_2 -buffer sp4_v_b_44 local_g2_4 -routing sp4_h_l_42 sp4_h_r_10 -routing sp4_h_l_45 sp4_h_r_4 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_h_r_5 sp4_v_b_5 -routing sp4_h_r_6 sp4_v_b_6 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_41 sp4_v_b_0 - -.logic_tile 5 8 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_global/s_r -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_3 lutff_global/cen -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_tnr_3 local_g2_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_v_b_3 local_g3_3 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_26 local_g3_2 -routing sp4_h_l_36 sp4_h_r_9 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_46 sp4_h_r_7 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_v_t_36 sp4_h_r_6 - -.logic_tile 6 7 -LC_0 0000000010010000 0000 -LC_2 0100000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0000000001100000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_2/in_2 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g3_6 lutff_0/in_3 -buffer local_g3_6 lutff_4/in_3 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_rgt_6 local_g3_6 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp12_v_b_4 local_g2_4 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_41 local_g2_1 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_h_r_10 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_v_b_1 sp4_h_r_1 - -.logic_tile 5 5 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 1110111011100000 0000 -LC_1 0000000011100000 0000 -LC_2 1000000000000000 0000 -LC_3 0000000000001011 0000 -LC_4 1000010000100001 0000 -LC_5 0000000000001011 0000 -LC_6 1000001001000001 0000 -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_3 lutff_4/in_3 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_7 lutff_2/in_0 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_23 local_g1_7 -buffer sp12_h_r_3 local_g0_3 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_45 local_g2_5 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_28 local_g3_4 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_v_b_2 sp4_v_t_39 -routing sp4_v_b_5 sp4_h_l_47 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_39 sp4_h_r_7 -routing sp4_v_t_41 sp4_v_b_7 - -.logic_tile 11 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_7 -LC_0 1010101100000000 0000 -LC_1 0000110100000000 0000 -LC_2 0101001100000000 0000 -LC_3 0101001100000000 0000 -LC_4 0101001100000000 0000 -LC_5 0101001100000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0011010100000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb2local_2 local_g0_6 -buffer glb2local_3 local_g0_7 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_1 glb2local_2 -buffer glb_netwk_1 glb2local_3 -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 glb2local_0 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_5/in_0 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_3/in_1 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_2 lutff_1/in_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_tnl_0 local_g3_0 -buffer neigh_op_tnl_2 local_g2_2 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_v_b_10 local_g3_2 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_46 local_g2_6 +routing sp12_h_r_0 sp12_v_t_23 routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_45 sp4_h_r_11 -routing sp4_v_t_36 sp4_h_l_42 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_44 sp4_h_r_9 - -.logic_tile 7 6 -LC_0 0000100100000000 0000 -LC_1 1110111011100000 0000 -LC_2 1001111111110110 0000 -LC_3 1000000000000000 0000 -LC_4 1110111011100000 0000 -LC_5 1000001001000001 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_3 lutff_4/in_3 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_7 lutff_0/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_6 lutff_3/in_2 -buffer local_g3_6 lutff_5/in_2 -buffer local_g3_7 lutff_0/in_0 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_6/out local_g3_6 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_tnr_2 local_g3_2 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_5 local_g1_5 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_2 local_g0_2 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_15 local_g3_7 -buffer sp12_v_b_21 sp4_v_b_22 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_h_r_4 local_g1_4 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_27 local_g2_3 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_3 sp4_h_r_3 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_5 sp4_h_l_47 -routing sp4_v_t_41 sp4_v_b_4 -routing sp4_v_t_47 sp4_h_l_41 -routing sp4_v_t_47 sp4_v_b_1 - -.logic_tile 6 10 -LC_0 1110000000000000 0000 -LC_1 0100000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0000011100000000 0000 -LC_4 0000000000000100 0000 -LC_5 0000000100000000 0000 -LC_6 1001000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_4/in_2 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g3_1 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnr_2 local_g1_2 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_tnr_2 local_g2_2 -buffer neigh_op_tnr_3 local_g2_3 -buffer sp12_h_r_4 local_g1_4 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_14 local_g3_6 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_40 local_g2_0 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_t_36 sp4_h_r_6 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_41 sp4_h_l_41 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_45 sp4_h_r_1 - -.logic_tile 1 14 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000000000000 1000 CarryEnable -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_1/in_1 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_2 lutff_6/in_2 -buffer local_g3_0 lutff_3/in_2 -buffer neigh_op_bot_6 local_g1_6 -buffer sp12_v_b_10 local_g2_2 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_7 local_g1_7 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_3 local_g0_3 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_r_5 sp4_v_t_46 -routing sp4_v_b_6 sp4_h_r_0 -routing sp4_v_t_41 sp4_h_r_4 - -.logic_tile 12 6 -LC_0 1001000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_4 lutff_2/in_0 -buffer local_g1_5 lutff_global/s_r -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_6 lutff_3/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_bot_7 local_g1_7 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_22 local_g3_6 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_5 local_g1_5 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_40 sp4_h_r_10 -routing sp4_v_t_45 sp4_v_b_11 -routing sp4_v_t_46 sp4_h_r_4 - -.logic_tile 4 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 0111000000000000 0000 -LC_1 1100000010101010 0000 -LC_2 1000000000000000 0000 -LC_3 0000010000000000 0100 DffEnable -LC_4 0000010000000000 0100 DffEnable -LC_5 0000010000000000 0100 DffEnable -LC_6 0000010000000000 0100 DffEnable -LC_7 0000010000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_6 lutff_global/s_r -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_6 lutff_7/in_1 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_7 lutff_1/in_2 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_3 lutff_0/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_3 local_g3_3 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_9 local_g0_1 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_3 sp4_v_b_8 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_6 sp4_h_r_0 - -.logic_tile 2 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_5 -LC_0 1100000010101010 0000 -LC_1 0000100000000000 0000 -LC_2 0011001100110101 0000 -LC_3 0100000000000000 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 1101001000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_0/in_3 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_5/in_0 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_6 lutff_2/in_3 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_v_b_22 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_2 local_g1_2 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_6 local_g1_6 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_43 local_g3_3 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_r_0 sp4_v_t_37 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_t_42 sp4_h_r_7 - -.logic_tile 9 11 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000010000100001 0000 -LC_6 0100000100010100 0000 -LC_7 0100000000000100 0000 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_3 lutff_6/in_3 -buffer local_g2_6 lutff_6/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out local_g1_7 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_lft_6 local_g0_6 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_40 sp4_h_r_10 -routing sp4_v_t_40 sp4_v_b_5 -routing sp4_v_t_44 sp4_h_r_9 - -.logic_tile 8 16 -LC_0 0010000000000000 0000 -LC_1 1110011100000000 0000 -LC_2 0000100000000000 0000 -LC_3 0100000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0110100110010110 0000 -LC_6 1011000000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_4/in_1 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_7/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g2_7 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_8 local_g1_0 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_v_b_8 sp4_h_l_45 - -.logic_tile 6 15 -LC_0 0000100000000000 0000 -LC_1 1111000100000000 0000 -LC_2 0100000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0101110100000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_1/in_3 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out local_g3_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_6/out local_g3_6 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_v_b_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnr_2 local_g0_2 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_tnr_2 local_g2_2 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g0_7 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_37 local_g3_5 -routing sp12_h_r_0 sp12_h_l_23 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_r_0 sp4_h_l_37 - -.logic_tile 1 1 -LC_0 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_4 lutff_0/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_v_b_30 -buffer sp12_v_b_19 sp4_v_b_21 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_4 local_g1_4 - -.logic_tile 12 3 -LC_0 0110100110010110 0000 -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_4 lutff_1/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_4/out sp4_v_b_8 -buffer neigh_op_tnl_1 local_g3_1 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_2 local_g0_2 -buffer sp4_v_b_17 local_g1_1 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_r_0 sp4_h_l_45 -routing sp4_v_b_2 sp4_h_l_39 -routing sp4_v_b_7 sp4_h_l_42 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_44 sp4_h_r_2 -routing sp4_v_t_45 sp4_h_r_1 - -.logic_tile 11 13 -CarryInSet -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_7 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_5 1000000000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 lutff_global/s_r -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g3_4 lutff_7/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_rgt_3 local_g2_3 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_12 local_g3_4 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_r_v_b_7 local_g1_7 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_v_b_0 sp4_h_l_37 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_6 sp4_v_t_43 -routing sp4_v_t_41 sp4_h_l_47 -routing sp4_v_t_43 sp4_h_l_43 - -.logic_tile 4 10 -LC_0 0000000001110000 0000 -LC_1 1101000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0000000000001101 0000 -LC_5 0000000100000000 0000 -LC_6 0000000011101111 0000 -LC_7 1111111101001111 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_5 lutff_7/in_3 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out local_g2_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_rgt_2 local_g3_2 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_4 local_g1_4 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_46 local_g3_6 -buffer sp4_v_b_7 local_g0_7 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_2 sp4_v_t_47 -routing sp4_v_b_6 sp4_v_t_39 - -.logic_tile 7 14 -LC_0 0000001100000101 0000 -LC_1 1000000000000000 0000 -LC_2 0000010011101111 0000 -LC_3 0010000000000000 0000 -LC_4 0000000011101011 0000 -LC_5 0000000000000010 0000 -LC_6 0100111100000000 0100 DffEnable -LC_7 0101011100000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_6 lutff_5/in_3 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_4/in_3 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_5 lutff_4/in_1 -buffer local_g3_0 lutff_7/in_2 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_7/in_1 -buffer local_g3_6 lutff_2/in_3 -buffer local_g3_6 lutff_6/in_1 -buffer local_g3_7 lutff_2/in_2 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g3_2 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out local_g2_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_tnr_7 local_g3_7 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_20 local_g1_4 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_33 local_g3_1 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_38 local_g3_6 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_t_36 sp4_v_b_9 - -.logic_tile 2 6 -LC_0 0110100110010110 0000 -LC_1 1010101010001010 0000 -LC_2 0010100000000000 0000 -LC_3 0110100110010110 0000 -LC_4 1010101011110011 0000 -LC_5 0101010100001100 0100 DffEnable -LC_6 0101010100001100 0100 DffEnable -LC_7 0101010100001100 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_4/in_3 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_3 lutff_7/in_2 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_6 lutff_5/in_3 -buffer local_g2_6 lutff_7/in_3 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_7/in_0 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/out lutff_4/in_2 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g2_5 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_6 local_g0_6 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp12_v_b_18 local_g2_2 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_43 local_g3_3 -buffer sp4_v_b_45 local_g3_5 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_44 sp4_v_t_44 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_v_b_2 sp4_h_r_2 -routing sp4_v_t_38 sp4_h_l_44 -routing sp4_v_t_39 sp4_h_r_7 - -.logic_tile 9 14 -LC_0 0000000000000001 0000 -LC_1 0000000000000001 0000 -LC_2 0001000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0001000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_7 lutff_0/in_3 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp12_v_b_6 local_g3_6 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_43 local_g3_3 -routing sp12_h_l_23 sp12_h_r_0 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_b_8 sp4_h_r_2 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_37 sp4_h_l_43 - -.logic_tile 8 2 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_3 0000000001110001 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 1011101000000000 0000 -LC_7 0000000001110001 0000 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_5/in_1 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_3 lutff_3/in_1 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnl_6 local_g2_6 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_bot_3 local_g1_3 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_21 local_g1_5 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_43 local_g3_3 -routing sp4_h_r_4 sp4_h_l_41 - -.logic_tile 12 14 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_4/in_2 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_2 lutff_1/in_2 -buffer local_g2_1 lutff_3/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_lft_1 local_g0_1 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_top_0 local_g0_0 -buffer sp12_h_r_11 local_g0_3 -buffer sp4_v_b_1 local_g1_1 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_47 sp4_v_t_47 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_t_39 sp4_h_l_39 - -.logic_tile 5 11 -LC_0 0000010000000000 0000 -LC_1 0000110100000000 0000 -LC_2 0001000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0101010101010100 0000 -LC_6 1110000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_3 local_g0_7 -buffer glb_netwk_1 glb2local_3 -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_3 lutff_5/in_1 -buffer local_g3_4 lutff_3/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bnr_3 local_g0_3 -buffer neigh_op_bnr_3 local_g1_3 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_7 local_g1_7 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_36 sp4_h_r_9 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_h_r_2 sp4_v_t_39 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_10 sp4_h_r_10 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_b_9 sp4_h_r_3 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_43 sp4_h_r_6 - -.logic_tile 4 5 -CarryInSet -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 1000000000000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_7 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_top_5 local_g1_5 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_34 local_g0_1 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_37 sp4_h_r_0 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_9 sp4_v_t_44 -routing sp4_v_t_39 sp4_h_l_39 -routing sp4_v_t_40 sp4_h_l_40 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_45 sp4_h_r_8 - -.logic_tile 9 3 -LC_0 0111000000000000 0000 -LC_1 0100000100000000 0000 -LC_2 0000000010101110 0000 -LC_3 1101110100001101 0000 -LC_4 1011111000000000 0000 -LC_5 0000001000000000 0000 -LC_6 0101001100000000 0000 -LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_4/in_3 -buffer local_g2_2 lutff_3/in_1 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_5 lutff_6/in_3 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_4 lutff_1/in_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g2_1 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out lutff_5/in_2 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_0 local_g3_0 -buffer sp12_v_b_11 local_g2_3 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_28 local_g3_4 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_33 local_g3_1 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_43 local_g3_3 -routing sp12_h_r_0 sp12_v_b_0 -routing sp12_v_t_22 sp12_h_l_22 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_40 sp4_v_b_11 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_v_b_11 sp4_v_t_42 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_40 sp4_v_b_8 -routing sp4_v_t_41 sp4_h_l_47 -routing sp4_v_t_44 sp4_v_b_0 -routing sp4_v_t_46 sp4_v_b_2 - -.logic_tile 12 15 -LC_0 1000000000000000 0000 -LC_1 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 lutff_global/s_r -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_0/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_7/out sp4_h_r_46 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_v_b_5 local_g2_5 -buffer sp12_v_b_7 local_g2_7 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_5 local_g1_5 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_40 sp4_v_t_47 -routing sp4_h_l_44 sp4_h_r_0 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_t_43 sp4_h_l_43 - -.logic_tile 1 4 -CarryInSet -ColBufCtrl glb_netwk_3 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_4 lutff_4/in_2 -buffer local_g3_4 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_v_b_20 local_g2_4 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_28 local_g3_4 -routing sp12_v_t_22 sp12_v_b_1 - -.logic_tile 4 16 -LC_3 0110100110010110 0000 -LC_4 0000000000000001 0000 -LC_5 0010000000000000 0100 DffEnable -LC_6 0010000000000000 0100 DffEnable -LC_7 0010000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_7 lutff_4/in_0 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g3_7 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_7 local_g1_7 -routing sp4_h_r_8 sp4_h_l_45 - -.logic_tile 9 16 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 lutff_global/s_r -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_3 lutff_5/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_7 lutff_1/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_7 lutff_2/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_5/out local_g1_5 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_lft_3 local_g0_3 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_44 local_g2_4 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_b_4 sp4_h_l_44 - -.logic_tile 7 5 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0000000000001110 0000 -LC_1 0001000000000000 0000 -LC_2 0000111000000000 0000 -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_6 lutff_6/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bnr_5 local_g1_5 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_top_0 local_g1_0 -buffer sp12_h_r_17 local_g0_1 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_17 local_g3_1 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_34 local_g3_2 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_h_r_7 sp4_h_l_47 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_t_41 sp4_h_r_9 - -.logic_tile 2 3 -LC_0 0000000011111111 0000 -LC_1 1000000000000000 0000 -LC_3 0001000000000000 0000 -LC_6 0110100110010110 0100 DffEnable -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_6/in_2 -buffer local_g1_6 lutff_6/in_1 -buffer local_g3_1 lutff_3/in_1 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_6/out sp4_v_b_28 -buffer sp12_h_r_11 local_g0_3 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_33 local_g3_1 -buffer sp4_r_v_b_6 local_g1_6 -routing sp12_v_b_0 sp12_h_r_0 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_45 sp4_h_r_1 - -.logic_tile 1 9 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_4 lutff_2/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_r_v_b_20 local_g3_4 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_26 local_g2_2 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_v_t_37 sp4_v_b_8 - -.logic_tile 8 7 -LC_0 0001000000000000 0000 -LC_1 0000000000001110 0000 -LC_2 0010000000000000 0000 -LC_3 1110111011100000 0000 -LC_4 1000001001000001 0000 -LC_5 1000000000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_6 lutff_4/in_2 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_4 lutff_1/in_0 -buffer local_g3_6 lutff_5/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_v_b_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_5 local_g0_5 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_3 local_g2_3 -buffer sp12_v_b_3 local_g3_3 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_2 local_g1_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_38 local_g2_6 -buffer sp4_v_b_38 local_g3_6 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_h_r_0 sp4_h_l_37 -routing sp4_h_r_0 sp4_h_l_45 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_7 sp4_h_l_42 -routing sp4_v_t_44 sp4_h_l_44 +routing sp4_h_l_37 sp4_h_r_8 +routing sp4_h_l_42 sp4_h_r_3 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_8 sp4_h_l_36 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_39 sp4_h_r_2 +routing sp4_v_t_43 sp4_h_l_37 +routing sp4_v_t_43 sp4_v_b_9 -.logic_tile 12 4 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 -LC_0 0100000000000000 0100 DffEnable -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_5 lutff_1/in_0 -buffer local_g3_1 lutff_0/in_0 +.logic_tile 2 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0000100000000000 0000 +LC_1 0111000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0010100000000000 0000 +LC_4 1001011010010110 0000 +LC_5 0100000000000000 0101 DffEnable AsyncSetReset +LC_6 0111000000000000 0101 DffEnable AsyncSetReset +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_2 lutff_6/in_1 buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_h_r_42 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_lft_1 local_g1_1 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_v_b_17 local_g3_1 -buffer sp12_v_b_8 local_g2_0 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_41 local_g2_1 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_0 sp4_h_l_38 -routing sp4_h_r_1 sp4_v_b_6 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_v_b_7 sp4_h_l_42 +buffer lutff_6/out local_g0_6 +buffer neigh_op_bnl_2 local_g3_2 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_10 sp4_v_t_47 +routing sp4_v_b_3 sp4_v_t_43 routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_37 sp4_v_b_8 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_41 sp4_v_b_7 -.logic_tile 4 2 -LC_0 0000000011111111 0000 -LC_1 0000000000100000 0000 -LC_2 0000000000000001 0000 -LC_3 0001000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000010000000000 0000 -LC_6 0000000000010000 0000 -LC_7 0000000000000010 0000 -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_7/in_2 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_7 lutff_2/in_3 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_2 lutff_6/in_3 +.logic_tile 1 11 +LC_0 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0110 DffEnable Set_NoReset +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_6 lutff_2/in_0 +buffer local_g3_7 lutff_0/in_0 buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g2_7 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_v_b_10 local_g3_2 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_8 local_g2_0 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_v_b_25 local_g2_1 -routing sp4_h_r_4 sp4_h_l_42 -routing sp4_v_t_36 sp4_h_r_6 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_tnr_3 local_g2_3 +buffer neigh_op_tnr_6 local_g2_6 +buffer neigh_op_tnr_7 local_g3_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp4_h_r_18 local_g0_2 +routing sp4_v_t_46 sp4_h_r_11 -.logic_tile 2 14 -LC_0 0101001100000000 0000 -LC_2 0101001100000000 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 1110000000000000 0100 DffEnable -LC_6 1110000000000000 0100 DffEnable -LC_7 0001000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_5 lutff_global/cen -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_3 lutff_0/in_1 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_5 lutff_2/in_0 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_6/out sp4_v_b_44 -buffer neigh_op_bnr_0 local_g1_0 -buffer neigh_op_bot_1 local_g0_1 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp12_v_b_15 local_g3_7 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_r_v_b_11 local_g2_3 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_40 local_g3_0 -buffer sp4_v_b_5 local_g1_5 -routing sp12_v_b_0 sp12_h_r_0 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_h_r_3 sp4_h_l_43 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_t_37 sp4_h_r_5 -routing sp4_v_t_43 sp4_h_r_6 -routing sp4_v_t_47 sp4_h_r_10 +.logic_tile 8 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0110000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 1001000000001001 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0000000000000010 0000 +LC_6 1001000000001001 0000 +LC_7 1001000000001001 0000 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_3 lutff_3/in_3 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g0_4 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_v_b_18 local_g3_2 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_7 local_g1_7 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_b_6 sp4_v_t_43 +routing sp4_v_b_7 sp4_v_t_38 +routing sp4_v_t_42 sp4_v_b_7 -.logic_tile 9 6 -LC_0 0000001000000000 0000 -LC_1 0000001000000000 0000 -LC_2 0101001100000000 0000 -LC_3 0101001100000000 0000 -LC_4 0110100110010110 0000 -LC_5 0010000000000000 0000 -LC_6 0000100000000000 0000 +.logic_tile 5 8 +LC_0 1110111011100000 0000 +LC_1 0001000000000000 0000 +LC_2 1001000000001001 0000 +LC_3 0010000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_5 lutff_3/in_1 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_40 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_5 lutff_1/in_0 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_3/out sp4_v_b_38 buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_15 local_g1_7 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_tnr_1 local_g3_1 +buffer neigh_op_tnr_5 local_g2_5 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_v_b_13 local_g3_5 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_33 local_g0_2 buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_2 local_g1_2 -buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_24 local_g2_0 buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_8 local_g1_0 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_r_8 sp4_h_l_46 -routing sp4_v_b_1 sp4_v_t_36 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_1 sp4_v_t_44 routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_t_39 sp4_h_l_39 -routing sp4_v_t_41 sp4_h_r_9 -routing sp4_v_t_42 sp4_h_r_0 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_t_43 sp4_h_l_37 +routing sp4_v_t_44 sp4_h_r_2 -.logic_tile 6 5 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0001000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000000100000000 0000 -LC_3 1000001001000001 0000 +.logic_tile 6 7 +LC_0 1110111011100000 0000 +LC_1 1110111011100000 0000 +LC_2 0000111000000000 0000 +LC_3 1110111011100000 0000 LC_4 1110111011100000 0000 -LC_5 1000001001000001 0000 -LC_6 0100000000000000 0100 DffEnable +LC_5 1110111011100000 0000 +LC_6 1110111011100000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g1_2 lutff_4/in_3 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_7 lutff_0/in_1 buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_2 lutff_1/in_3 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_3 lutff_4/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out sp4_h_r_0 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_3/in_3 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_5 lutff_6/in_0 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_3/in_1 buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp4_h_r_20 buffer lutff_3/out local_g1_3 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_lft_4 local_g0_4 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_2 local_g1_2 -buffer sp12_h_r_2 sp4_h_r_13 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_tnl_6 local_g3_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_22 local_g1_6 buffer sp12_v_b_18 local_g2_2 -buffer sp12_v_b_4 local_g2_4 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_2 local_g0_2 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_r_v_b_30 local_g0_6 +buffer sp12_v_b_18 local_g3_2 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_33 local_g2_1 buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_12 local_g1_4 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_37 local_g2_5 +routing sp12_h_r_1 sp12_h_l_22 +routing sp4_h_l_46 sp4_h_r_7 +routing sp4_h_r_3 sp4_h_l_46 +routing sp4_h_r_6 sp4_h_l_43 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_b_8 sp4_v_t_45 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_45 sp4_v_b_4 + +.logic_tile 5 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 1000000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0010000000000000 0000 +LC_4 1111001000000000 0000 +LC_5 0010000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0000111011111111 0101 DffEnable AsyncSetReset +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_7/in_3 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_4 lutff_0/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_bot_7 local_g1_7 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_v_b_20 local_g3_4 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_18 local_g1_2 buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_34 local_g3_2 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_37 sp4_v_t_37 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_3 local_g1_3 +routing sp12_v_b_0 sp12_v_t_23 +routing sp4_h_l_38 sp4_h_r_6 routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_v_b_2 -routing sp4_v_b_1 sp4_v_t_44 +routing sp4_h_l_40 sp4_h_r_5 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_b_3 sp4_v_t_46 routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_37 sp4_v_b_3 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_43 sp4_h_l_43 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_b_7 sp4_v_t_38 +routing sp4_v_b_7 sp4_v_t_42 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_t_41 sp4_h_r_9 -.logic_tile 5 3 -LC_0 0000000100000000 0000 -LC_1 0000000100000000 0000 -LC_2 0000000000010000 0000 -LC_3 0000000010000000 0000 -LC_4 0100000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0000000000001000 0000 -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk +.logic_tile 11 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 1000000000000000 0000 +LC_1 0000101011111100 0000 +LC_2 1110000000000000 0000 +LC_3 0000001101010000 0000 +LC_4 0000011100000000 0000 +LC_5 0010001000001111 0000 +LC_6 1010000011001111 0000 +LC_7 1010111111111100 0000 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_5 glb2local_1 buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_6 lutff_6/in_2 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_2/in_1 buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_2 lutff_6/in_3 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_3 lutff_2/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_4 lutff_7/in_3 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_2 lutff_3/in_0 buffer local_g3_4 lutff_1/in_2 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_r_v_b_17 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_0/in_0 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_v_b_0 buffer lutff_1/out local_g2_1 buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_h_r_4 buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_2 local_g1_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_28 local_g3_4 -buffer sp4_h_r_29 local_g3_5 -buffer sp4_h_r_30 local_g3_6 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_39 local_g2_7 -buffer sp4_h_r_39 local_g3_7 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_4 local_g0_4 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_v_b_1 local_g3_1 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp12_v_b_4 local_g2_4 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_h_r_9 local_g1_1 buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_4 local_g1_4 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_10 local_g0_2 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_11 sp4_h_r_5 -routing sp4_v_b_6 sp4_v_t_44 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_38 sp4_h_l_44 -routing sp4_v_t_40 sp4_h_l_46 -routing sp4_v_t_41 sp4_h_l_41 -routing sp4_v_t_41 sp4_h_r_9 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_42 sp4_h_r_0 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_43 sp4_v_b_2 -routing sp4_v_t_44 sp4_h_r_2 - -.logic_tile 11 7 -LC_0 1000000000000000 0000 -LC_1 0000001000000000 0000 -LC_2 1110000000000000 0000 -LC_3 0000000000000010 0000 -LC_4 0010000000000000 0000 -LC_5 1000101010101010 0000 -LC_6 0000000000001110 0000 -LC_7 1111111100011111 0000 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_3 lutff_7/in_3 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_4/in_3 -buffer local_g2_0 lutff_0/in_0 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_46 local_g3_6 +routing sp4_h_l_37 sp4_v_t_37 + +.logic_tile 7 6 +LC_0 0000000000000001 0000 +LC_1 1001000000001001 0000 +LC_2 0001000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1001000000001001 0000 +LC_5 1000000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_5/in_3 buffer local_g2_1 lutff_1/in_0 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_1 lutff_7/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_0/in_3 -buffer local_g3_4 lutff_6/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_5 lutff_2/in_1 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_3 lutff_1/in_3 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_6 lutff_0/in_1 buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_r_v_b_1 buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out lutff_4/in_2 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_26 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g2_5 buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_39 local_g3_7 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_11 local_g2_3 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_13 local_g1_5 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_7 local_g0_7 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_v_b_11 local_g3_3 +buffer sp12_v_b_18 local_g2_2 +buffer sp12_v_b_23 local_g3_7 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_6 local_g0_6 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_25 local_g3_1 +buffer sp4_v_b_27 local_g2_3 buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_30 local_g3_6 buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_l_36 sp4_h_r_1 -routing sp4_h_l_36 sp4_h_r_4 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_40 sp4_h_r_8 -routing sp4_h_l_40 sp4_v_b_5 routing sp4_h_l_44 sp4_v_b_9 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_t_36 sp4_v_b_4 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_t_40 sp4_v_b_5 +routing sp4_v_t_40 sp4_v_b_8 routing sp4_v_t_42 sp4_h_r_0 -.logic_tile 6 8 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 0101110000000000 0000 -LC_6 1010001100000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_7 lutff_1/in_2 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp4_r_v_b_39 +.logic_tile 6 10 +LC_1 0000000000101111 0000 +LC_4 0000100000000000 0000 +LC_5 1000100011110000 0000 +LC_7 0010000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_5 lutff_4/in_0 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_4 lutff_4/in_2 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_1/out local_g1_1 buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bnl_4 local_g2_4 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_tnr_7 local_g2_7 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_5 local_g0_5 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_45 local_g3_5 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_tnr_2 local_g2_2 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_top_2 local_g0_2 +buffer sp12_v_b_6 local_g3_6 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_28 local_g2_4 buffer sp4_v_b_28 local_g3_4 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_r_11 sp4_h_l_42 -routing sp4_h_r_3 sp4_v_b_8 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_v_t_44 sp4_h_l_38 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_t_42 sp4_h_l_36 -.logic_tile 1 12 +.logic_tile 1 14 CarryInSet -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_3 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0110100110010110 0000 -LC_7 1000000000000000 0000 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_2 lutff_6/in_3 -buffer local_g2_3 lutff_7/in_0 +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000000000000 1000 CarryEnable +buffer local_g0_1 lutff_0/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_5/in_2 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_4 lutff_6/in_2 buffer local_g2_5 lutff_1/in_2 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out local_g1_2 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp4_v_b_30 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_39 local_g2_7 +buffer local_g2_7 lutff_7/in_2 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_6 lutff_3/in_2 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_top_6 local_g1_6 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_5 local_g1_5 +routing sp4_v_b_6 sp4_h_r_0 -.logic_tile 8 12 +.logic_tile 12 6 +LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g1_5 lutff_global/s_r +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_5 lutff_2/in_0 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out sp4_v_b_12 +buffer neigh_op_tnl_5 local_g3_5 +buffer sp12_v_b_18 local_g2_2 +buffer sp12_v_b_4 local_g3_4 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_32 local_g2_0 +routing sp4_v_b_9 sp4_v_t_40 + +.logic_tile 4 13 +CarryInSet ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0110100110010110 0000 -LC_1 0101001100000000 0000 -LC_3 0101001100000000 0000 -LC_4 0101001100000000 0000 -LC_5 0101001100000000 0000 -LC_6 0110100110010110 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_1/in_1 +ColBufCtrl glb_netwk_6 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1000000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_2/in_2 buffer local_g0_7 lutff_0/in_1 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_7/in_0 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_3 lutff_5/in_0 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnr_5 local_g1_5 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp12_v_b_19 sp4_v_b_21 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_r_v_b_35 local_g2_3 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_46 sp4_h_r_2 +routing sp4_h_r_8 sp4_h_l_46 + +.logic_tile 2 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 1000000000000000 0000 +LC_1 0000011000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0010000000000000 0000 +LC_4 1110000000000000 0000 +LC_5 1001011010010110 0000 +LC_6 1001100101100110 0000 +LC_7 1110000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_7 lutff_6/in_1 buffer local_g1_0 lutff_1/in_2 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_6 lutff_3/in_0 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_0/in_1 buffer local_g1_7 lutff_3/in_1 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_3 lutff_6/in_3 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out sp4_v_b_10 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_1 lutff_5/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out local_g1_5 buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_3 local_g2_3 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_31 local_g0_7 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_41 sp4_h_r_0 -routing sp4_h_l_44 sp4_v_t_44 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_b_7 sp4_h_l_37 -routing sp4_v_t_42 sp4_v_b_7 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_3 local_g1_3 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_41 local_g3_1 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_l_40 sp4_h_r_1 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_r_1 sp4_h_l_36 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_t_39 sp4_v_b_5 -.logic_tile 11 8 -LC_0 0100000000000000 0100 DffEnable -LC_1 0111000000000000 0100 DffEnable -LC_2 0111000000000000 0100 DffEnable -LC_3 0111000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0111000000000000 0100 DffEnable +.logic_tile 9 11 +LC_0 0000011000000000 0000 +LC_1 0000001000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000110100000000 0000 +LC_4 1110000000000000 0110 DffEnable Set_NoReset +LC_5 0000000000001000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_1/in_0 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_6 lutff_1/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_2 lutff_7/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_4 lutff_2/in_0 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_5 lutff_5/in_3 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_v_b_8 buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_rgt_2 local_g3_2 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_6 local_g1_6 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_v_t_40 sp4_h_l_46 -routing sp4_v_t_40 sp4_h_r_10 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_lft_5 local_g1_5 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_15 local_g1_7 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_36 local_g2_4 +routing sp12_h_l_23 sp12_h_r_0 +routing sp4_h_l_40 sp4_h_r_1 +routing sp4_h_l_41 sp4_v_b_10 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_l_46 sp4_h_r_11 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_h_r_8 sp4_v_b_1 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_t_44 sp4_h_r_2 + +.logic_tile 8 16 +LC_0 0000000010000000 0000 +LC_1 1000000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0100000000000000 0110 DffEnable Set_NoReset +LC_4 0100000000000000 0110 DffEnable Set_NoReset +LC_5 0100000000000000 0100 DffEnable +LC_6 1000000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_global/s_r +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_rgt_6 local_g2_6 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_4 local_g0_4 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_2 sp4_h_l_47 -.logic_tile 4 15 +.logic_tile 6 15 LC_0 0000000000000000 1000 CarryEnable LC_1 0110100110010110 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_2 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_5 lutff_global/cen -buffer local_g0_4 lutff_4/in_0 +LC_3 0110100110010110 0000 +LC_4 0001000000000000 0000 +LC_5 1111111101010011 0000 +LC_6 0101010111000011 0000 +LC_7 0110000000000000 0000 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_7/in_1 buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_1/in_2 -buffer local_g3_7 lutff_0/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_4 lutff_1/in_2 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_6 lutff_5/in_3 +buffer local_g2_7 lutff_5/in_2 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_1 lutff_5/in_1 buffer lutff_0/cout lutff_1/in_3 buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out local_g3_1 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_tnr_7 local_g3_7 -buffer neigh_op_top_5 local_g1_5 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_20 sp4_h_r_22 -routing sp12_h_l_22 sp12_v_b_1 -routing sp12_h_r_1 sp12_h_l_22 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_7 sp4_h_l_42 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_4/out local_g1_4 +buffer lutff_7/out local_g0_7 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_17 local_g1_1 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_15 local_g2_7 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_b_8 sp4_h_r_2 -.logic_tile 7 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 1100101000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0100000000000000 0000 -LC_3 0100000000000000 0000 -LC_4 1100101000000000 0000 -LC_5 0101001100000000 0110 DffEnable Set_NoReset -LC_6 0101001100000000 0110 DffEnable Set_NoReset -LC_7 0101001100000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk +.logic_tile 12 3 +LC_0 0110100110010110 0100 DffEnable +LC_7 1010010101011010 0100 DffEnable +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_0/in_2 -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_5/in_2 +buffer local_g0_2 lutff_global/cen buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_2/in_3 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_3/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_4/in_1 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_5 lutff_3/in_1 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_3/out local_g1_3 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g2_7 -buffer neigh_op_top_7 local_g0_7 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_v_b_12 local_g2_4 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_32 local_g0_3 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_4 local_g1_4 -buffer sp4_v_b_42 local_g2_2 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_10 sp4_h_l_43 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_t_36 sp4_h_r_1 -routing sp4_v_t_43 sp4_v_b_6 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_lft_2 local_g0_2 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_h_l_47 sp4_v_t_47 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_44 sp4_h_l_38 +routing sp4_v_t_45 sp4_h_l_39 -.logic_tile 2 11 -LC_0 0000111000000000 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 +.logic_tile 11 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 1000000000000000 0000 +LC_1 1010010101011010 0000 +LC_2 0000000000000001 0000 +LC_3 0000000100000000 0000 +LC_4 0010000000000000 0000 +LC_5 0010000000000000 0100 DffEnable +LC_6 0010000000000000 0100 DffEnable +LC_7 0010000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_global/cen buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_3/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_5 lutff_1/in_2 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_6/in_0 buffer local_g1_5 lutff_2/in_0 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_7/in_3 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_3/in_1 buffer local_g2_2 lutff_7/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_7 lutff_3/in_3 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_7/out local_g0_7 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_7 lutff_2/in_2 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 buffer lutff_7/out local_g3_7 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_bot_7 local_g1_7 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_3 local_g1_3 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_25 local_g3_1 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_top_0 local_g1_0 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_11 local_g1_3 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_38 local_g3_6 buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_34 local_g2_2 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_43 sp4_v_b_6 -routing sp4_v_t_47 sp4_v_b_1 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_40 local_g3_0 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_r_6 sp4_h_l_44 -.logic_tile 9 9 -LC_0 0100000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0000000100000000 0000 -LC_3 0000111000000000 0000 -LC_4 0100000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0010110100000000 0000 -LC_7 0000000100000000 0000 -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_4/in_3 -buffer local_g1_0 lutff_1/in_0 +.logic_tile 4 10 +LC_0 0000100000000000 0000 +LC_1 0000001101010000 0000 +LC_2 0010000000000000 0000 +LC_3 0000100000000000 0000 +LC_4 0000001000000000 0000 +LC_5 0000000011110111 0000 +LC_6 1111000100000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_6/in_3 buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_5/in_3 +buffer local_g1_3 lutff_0/in_0 buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_6 lutff_5/in_2 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_7/in_2 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_0/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_4/in_2 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_2 lutff_0/in_1 buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_3/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer local_g3_7 lutff_7/in_3 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_5 lutff_0/in_2 buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_h_r_36 buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnl_4 local_g2_4 -buffer neigh_op_bnl_4 local_g3_4 -buffer sp12_v_b_16 local_g2_0 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_39 local_g2_7 -buffer sp4_h_r_39 local_g3_7 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_35 local_g3_3 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_l_43 sp4_v_t_43 -routing sp4_h_r_10 sp4_v_t_47 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_t_46 sp4_h_l_46 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g3_4 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_30 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_22 local_g1_6 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_45 local_g3_5 +routing sp12_v_b_0 sp12_h_r_0 +routing sp12_v_b_0 sp12_v_t_23 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_l_43 sp4_h_r_2 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_t_45 sp4_h_l_45 +routing sp4_v_t_45 sp4_h_r_1 -.logic_tile 5 14 -LC_1 1000000000000000 0000 -LC_2 0101010001010101 0000 -LC_3 1111010000000000 0000 -LC_5 0001000000000000 0000 +.logic_tile 7 14 +LC_0 0000000001010011 0000 +LC_2 0001111011100001 0000 +LC_3 0110000000000000 0000 +LC_4 0000000010101100 0000 +LC_5 1000000011111111 0100 DffEnable +LC_6 1000000000000000 0100 DffEnable +LC_7 0010001011110000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_3/in_1 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_2/in_3 +buffer local_g1_6 lutff_7/in_0 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_3 lutff_7/in_3 +buffer local_g3_4 lutff_4/in_1 +buffer lutff_0/out local_g2_0 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_tnr_4 local_g3_4 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_6 local_g0_6 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_41 local_g3_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_27 local_g3_3 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_4 sp4_h_l_41 +routing sp4_v_t_40 sp4_h_r_10 +routing sp4_v_t_42 sp4_h_r_7 + +.logic_tile 2 6 +LC_0 0000000010000000 0000 +LC_1 0000000000001000 0000 +LC_2 0000000000000001 0000 +LC_3 1111111000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_7 lutff_3/in_1 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g2_0 lutff_1/in_3 buffer local_g2_1 lutff_2/in_3 -buffer local_g3_1 lutff_5/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_r_v_b_1 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_5 lutff_2/in_2 +buffer lutff_0/out local_g2_0 buffer lutff_1/out sp12_h_r_10 buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_5/out sp12_v_b_10 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_lft_0 local_g1_0 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_25 local_g3_1 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_33 local_g2_1 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_40 sp4_h_r_5 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_r_1 sp4_v_b_1 +routing sp4_h_r_9 sp4_h_l_40 +routing sp4_v_t_37 sp4_h_l_37 + +.logic_tile 9 14 +LC_0 0000011000000000 0000 +LC_1 0000000000001001 0000 +LC_2 0000000010000010 0000 +LC_3 1001000000000000 0000 +LC_4 0000001011101111 0000 +LC_5 1001000000001001 0000 +LC_6 1010010101011010 0000 +LC_7 1001000000001001 0000 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_5/in_1 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_4 lutff_5/in_2 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 buffer lutff_7/out local_g1_7 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_3 local_g0_3 +buffer neigh_op_top_3 local_g1_3 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_v_b_16 local_g3_0 +buffer sp4_h_r_16 local_g0_0 buffer sp4_h_r_17 local_g0_1 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_33 local_g3_1 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_6 sp4_h_l_43 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_40 sp4_h_r_10 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_v_b_17 local_g1_1 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_t_37 sp4_v_b_8 -.logic_tile 8 14 -LC_0 1111101100000000 0000 -LC_2 0010000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 1100101000000000 0000 -LC_5 0001000000000000 0000 -LC_6 0101001100000000 0110 DffEnable Set_NoReset -LC_7 0101001100000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_2 lutff_6/in_1 +.logic_tile 8 2 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 0000 +LC_3 1000000000000000 0000 +LC_4 1001100101100110 0000 +LC_5 1001100101100110 0000 +LC_6 1111111100000010 0000 +LC_7 1000000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_2 lutff_4/in_1 buffer local_g1_3 lutff_global/cen -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_4/in_1 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_5 lutff_0/in_0 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g2_6 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_4 lutff_3/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_tnl_4 local_g3_4 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_39 local_g2_7 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_v_t_37 sp4_h_r_5 + +.logic_tile 12 14 +LC_0 0110100110010110 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 0000 +LC_7 0010000000000000 0100 DffEnable +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_3 lutff_4/in_2 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_7 lutff_1/in_2 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out local_g1_1 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 buffer lutff_7/out local_g2_7 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_6 local_g0_6 buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnr_1 local_g2_1 -buffer neigh_op_top_2 local_g0_2 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_6 local_g0_6 +buffer sp4_h_r_37 local_g2_5 +routing sp4_v_b_11 sp4_h_l_41 + +.logic_tile 5 11 +LC_0 0000000000001000 0000 +LC_1 1000001000000000 0000 +LC_2 0000000100000000 0000 +LC_3 0000001000000000 0000 +LC_4 0000000000000010 0000 +LC_5 1111111100001110 0000 +LC_6 1111000000010001 0000 +LC_7 0000000000000010 0000 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_0/in_3 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_1 lutff_7/in_3 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_tnl_5 local_g2_5 +buffer neigh_op_tnr_0 local_g2_0 buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_13 local_g3_5 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_42 local_g3_2 -routing sp12_h_r_1 sp12_v_b_1 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_v_b_9 sp4_v_t_37 -routing sp4_v_t_47 sp4_h_l_41 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_v_b_12 local_g2_4 +buffer sp12_v_b_23 sp4_v_b_23 +buffer sp4_h_r_41 local_g3_1 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_43 local_g2_3 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_40 sp4_h_r_8 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_r_8 sp4_h_l_45 +routing sp4_v_b_11 sp4_h_r_11 +routing sp4_v_t_39 sp4_h_r_2 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_40 sp4_h_r_5 +routing sp4_v_t_42 sp4_v_b_10 +routing sp4_v_t_46 sp4_h_l_40 +routing sp4_v_t_47 sp4_h_r_3 +routing sp4_v_t_47 sp4_v_b_6 -.logic_tile 8 15 -LC_0 0001000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0110000000000000 0000 -LC_3 0000000000100000 0000 -LC_4 0110100110010110 0000 -LC_5 0101010100111111 0100 DffEnable -LC_6 0001010111111011 0100 DffEnable +.logic_tile 4 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 1111011000000000 0000 +LC_1 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_6 lutff_3/in_2 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g2_1 -buffer lutff_1/out local_g3_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out lutff_5/in_2 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_h_r_18 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g1_2 lutff_1/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_h_r_42 buffer lutff_5/out sp4_v_b_26 buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp12_v_b_12 -buffer neigh_op_bnl_2 local_g2_2 -buffer neigh_op_bnr_1 local_g0_1 -buffer neigh_op_bnr_1 local_g1_1 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_lft_4 local_g1_4 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_5 local_g3_5 buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_3 local_g1_3 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_7 local_g1_7 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_7 sp4_h_l_42 -routing sp4_v_t_42 sp4_h_l_36 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_37 local_g2_5 +routing sp4_h_r_11 sp4_v_t_40 -.logic_tile 6 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0000000010111110 0000 -LC_1 0001000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0100000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000000100000000 0000 -LC_6 0001000000000000 0000 +.logic_tile 9 3 +LC_0 0000111000000000 0000 +LC_1 1011111100001000 0000 +LC_2 0001000000000000 0000 +LC_3 0000001000000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 1000000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_global/cen +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_6 lutff_1/in_3 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_0/in_2 buffer local_g2_4 lutff_1/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_5 lutff_2/in_0 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_1/out sp12_h_r_10 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_4 lutff_0/in_1 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out local_g1_1 buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_h_r_34 buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out local_g1_4 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out lutff_5/in_2 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_1 local_g2_1 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_5 local_g3_5 -buffer neigh_op_tnr_7 local_g3_7 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_39 local_g2_7 -routing sp4_h_l_40 sp4_h_r_5 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_h_r_10 sp4_h_l_43 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_b_9 sp4_h_l_44 -routing sp4_v_t_37 sp4_v_b_3 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_r_v_b_15 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_h_r_4 local_g1_4 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_31 local_g2_7 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_43 sp4_h_r_2 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_r_8 sp4_v_b_8 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_t_41 sp4_h_l_41 +routing sp4_v_t_46 sp4_v_b_11 + +.logic_tile 12 15 +LC_1 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_4 lutff_global/s_r +buffer local_g2_3 lutff_5/in_0 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_5/out sp4_v_b_26 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp12_v_b_3 local_g2_3 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_9 local_g0_1 + +.logic_tile 1 4 +CarryInSet +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 1001100101100110 0000 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer neigh_op_tnr_6 local_g3_6 +buffer sp12_v_b_22 local_g2_6 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_39 local_g2_7 +routing sp4_v_t_38 sp4_h_r_8 + +.logic_tile 4 16 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g2_3 lutff_0/in_1 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_bot_7 local_g0_7 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_43 local_g2_3 -.logic_tile 1 7 +.logic_tile 9 16 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0000000011111111 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_1/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_5/in_1 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_4/in_2 +LC_2 0000000011111111 1000 CarryEnable +LC_3 1001100101100110 0000 +LC_4 1001100101100110 0000 +LC_5 0110000000000000 0000 +LC_6 1001011010010110 0000 +LC_7 1000000000000000 0000 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_4 lutff_1/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp12_v_b_12 +buffer lutff_2/out local_g1_2 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp12_h_r_4 buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_v_b_28 local_g3_4 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_45 local_g2_5 -buffer sp4_v_b_45 local_g3_5 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_2 sp4_v_t_39 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_6 local_g0_6 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_v_b_3 sp4_v_t_43 -.logic_tile 11 15 -LC_0 0010000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0101010100111111 0100 DffEnable -LC_3 0101010100111111 0100 DffEnable -LC_4 0101010100111111 0100 DffEnable -LC_6 0101010100111111 0100 DffEnable -LC_7 0101010100111111 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk +.logic_tile 7 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0000111000000000 0000 +LC_1 0000100000000000 0000 +LC_2 0000001000000000 0000 +LC_3 0000000010000000 0000 +LC_4 0000000001011100 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_5 glb2local_1 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 buffer local_g0_1 lutff_3/in_2 buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_3 lutff_6/in_1 +buffer local_g0_3 lutff_3/in_0 buffer local_g0_4 lutff_6/in_0 -buffer local_g0_6 lutff_4/in_2 -buffer local_g1_0 lutff_2/in_3 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_0 lutff_6/in_3 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g2_0 lutff_3/in_3 -buffer local_g2_0 lutff_7/in_3 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_6 lutff_2/in_2 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_4 lutff_3/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out local_g2_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_11 local_g3_3 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_25 local_g2_1 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_h_r_7 sp4_v_t_36 - -.logic_tile 7 8 -LC_0 1100001101010101 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0101110000000000 0000 -LC_5 1010110000000000 0000 -LC_6 0110000000000000 0000 -LC_7 1000000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_4 lutff_2/in_2 buffer local_g0_5 lutff_0/in_1 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_4 lutff_2/in_1 -buffer local_g3_5 lutff_global/s_r -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnl_3 local_g2_3 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_v_b_11 local_g3_3 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_42 local_g3_2 -buffer sp4_v_b_44 local_g3_4 -routing sp12_h_l_22 sp12_h_r_1 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_v_t_36 sp4_h_l_36 - -.logic_tile 2 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_1 1000000000000000 0000 -LC_2 0000101100000000 0000 -LC_3 0110100110010110 0000 -LC_4 1000000000000000 0000 -LC_5 0000000000001011 0000 -LC_6 1010101000111111 0000 -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_7 lutff_4/in_0 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out lutff_6/in_2 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_tnl_7 local_g2_7 -buffer sp12_h_r_15 local_g1_7 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_4/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_7 lutff_0/in_2 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_tnr_1 local_g3_1 +buffer sp12_h_r_16 local_g1_0 buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_20 local_g0_4 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_h_r_4 local_g1_4 +buffer sp12_v_b_15 local_g2_7 +buffer sp12_v_b_15 local_g3_7 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_23 local_g1_7 buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_42 local_g3_2 -buffer sp4_v_b_5 local_g1_5 -routing sp4_h_r_10 sp4_v_t_41 -routing sp4_h_r_9 sp4_v_t_44 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_26 local_g2_2 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_4 sp4_h_l_37 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_h_r_8 sp4_v_t_39 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_b_7 sp4_v_t_42 -.logic_tile 9 12 +.logic_tile 2 3 CarryInSet -ColBufCtrl glb_netwk_3 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_6/in_2 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_5 local_g0_5 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_8 local_g1_0 +routing sp12_v_t_22 sp12_h_r_1 +routing sp4_h_r_10 sp4_v_b_10 + +.logic_tile 1 9 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_1 lutff_3/in_2 +buffer local_g3_0 lutff_5/in_2 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_11 local_g1_3 +routing sp4_v_b_5 sp4_h_r_5 + +.logic_tile 8 7 +LC_0 1000000000000000 0000 +LC_1 1110111011100000 0000 +LC_2 1110111011100000 0000 +LC_3 0000000000001110 0000 LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0001000000000000 0000 +LC_5 0000001000000000 0000 +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_2/in_3 buffer local_g1_3 lutff_global/cen -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_4 local_g3_4 -buffer sp12_v_b_10 local_g2_2 -buffer sp12_v_b_18 local_g3_2 -buffer sp12_v_b_21 local_g2_5 -buffer sp12_v_b_9 local_g3_1 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_40 local_g3_0 -routing sp4_h_l_47 sp4_v_t_38 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_3/in_3 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_1/in_3 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out local_g2_2 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_5 local_g1_5 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_h_r_6 local_g0_6 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_35 local_g3_3 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_43 sp4_h_r_6 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_t_39 sp4_h_l_45 -.logic_tile 12 8 -LC_0 0010000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0101001100000000 0000 -LC_3 0001000000000000 0000 -LC_4 0000010000000000 0000 -LC_5 0100000000000000 0100 DffEnable +.logic_tile 12 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb2local_2 local_g0_6 -buffer glb_netwk_0 glb2local_0 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_1 glb2local_2 -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_5 lutff_4/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_5 local_g3_5 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_23 local_g2_7 -buffer sp12_v_b_8 local_g2_0 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_23 local_g1_7 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_b_9 sp4_v_t_44 -routing sp4_v_t_40 sp4_h_l_40 -routing sp4_v_t_41 sp4_v_b_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_6 lutff_6/in_0 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_global/s_r +buffer local_g2_6 lutff_0/in_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bot_0 local_g0_0 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_4 local_g1_4 +buffer sp4_v_b_5 local_g1_5 +buffer sp4_v_b_6 local_g0_6 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_47 sp4_h_r_10 -.logic_tile 11 16 +.logic_tile 4 2 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable @@ -4836,1133 +3143,1694 @@ LC_3 0110100110010110 1000 CarryEnable LC_4 0110100110010110 1000 CarryEnable LC_5 0110100110010110 1000 CarryEnable LC_6 0110100110010110 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_6/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_7 lutff_4/in_2 +LC_7 0110100110010110 0000 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g3_3 lutff_2/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_r_v_b_39 buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_r_v_b_41 buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_r_v_b_43 buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_h_r_30 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_40 local_g2_0 -routing sp4_h_l_43 sp4_v_t_46 - -.logic_tile 5 9 -LC_0 0010000000000000 0000 -LC_1 1000101010101010 0000 -LC_2 0000111000000000 0000 -LC_3 0000000100000000 0000 -LC_4 0100000000000000 0000 -LC_5 1111111000000000 0000 -LC_6 1010101100000000 0000 -LC_7 1010101100000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_1 glb2local_1 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_1/in_3 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_1 lutff_5/in_3 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_2 lutff_7/in_2 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_1 local_g3_1 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_tnr_3 local_g3_3 buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_1 local_g1_1 -buffer neigh_op_top_6 local_g1_6 buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_v_b_16 local_g3_0 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_45 local_g2_5 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_40 sp4_v_b_11 -routing sp4_h_r_4 sp4_h_l_42 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_39 sp4_h_r_7 -routing sp4_v_t_41 sp4_h_r_4 - -.logic_tile 4 7 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 0101110000000000 0100 DffEnable -LC_6 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_6 local_g3_6 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_r_v_b_25 local_g0_1 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp12_h_r_8 local_g1_0 +buffer sp4_h_r_12 local_g0_4 buffer sp4_r_v_b_25 local_g1_1 buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_39 local_g2_7 -routing sp4_h_l_42 sp4_h_r_3 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_5 sp4_v_t_45 -routing sp4_v_b_8 sp4_v_t_46 -routing sp4_v_t_42 sp4_h_l_42 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_v_t_42 sp4_h_l_36 +routing sp4_v_t_44 sp4_h_r_9 -.logic_tile 9 1 -LC_0 0000000100000000 0000 -LC_2 0101001100000000 0000 -LC_3 0000000001110001 0000 -LC_4 0000000100000000 0000 -LC_5 1110111011100000 0000 -LC_6 0000110010101010 0000 -LC_7 0101010101010100 0000 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_7/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_0/in_3 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_1 lutff_3/in_3 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_2/out local_g3_2 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_h_r_6 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_31 local_g3_7 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_17 local_g0_1 +.logic_tile 2 14 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 1001100101100110 0000 +LC_3 1001100101100110 0000 +LC_4 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_7/in_0 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp4_h_r_6 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_18 local_g0_2 buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_6 local_g0_6 -routing sp12_h_l_22 sp12_v_t_22 -routing sp12_h_l_23 sp12_v_t_23 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_r_1 sp4_h_l_44 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_43 sp4_h_r_6 -routing sp4_v_t_43 sp4_v_b_6 +buffer sp4_v_b_22 local_g1_6 +routing sp4_h_r_0 sp4_h_l_38 +routing sp4_v_b_5 sp4_h_r_11 -.logic_tile 6 6 -LC_0 1110111011100000 0000 -LC_1 0000000011100000 0000 -LC_2 1010101000111111 0000 -LC_3 1110111011100000 0000 -LC_4 0000000000001011 0000 -LC_5 0001000000000000 0000 -LC_6 0000111000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_4/in_3 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_2/in_3 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_4/in_2 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_2 lutff_4/in_1 +.logic_tile 9 6 +LC_0 0000100000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0000001000000000 0000 +LC_3 0000100000000000 0000 +LC_4 0000001000000000 0000 +LC_5 0101110000000000 0100 DffEnable +LC_6 0101110000000000 0100 DffEnable +LC_7 0101110000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_5 glb2local_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_7/in_2 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_5 lutff_6/in_1 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_3 lutff_4/in_2 buffer local_g3_4 lutff_0/in_1 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_4 lutff_4/in_1 buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 +buffer local_g3_5 lutff_7/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g0_3 buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_top_0 local_g0_0 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_2 sp4_h_r_13 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_2 local_g0_2 +buffer sp12_v_b_1 local_g2_1 buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_42 local_g2_2 +buffer sp12_v_b_13 local_g3_5 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp4_r_v_b_19 local_g3_3 buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_29 local_g3_5 -buffer sp4_v_b_43 local_g2_3 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_44 local_g2_4 buffer sp4_v_b_44 local_g3_4 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_l_44 sp4_h_r_9 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_4 sp4_h_l_41 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_b_3 sp4_v_t_43 +routing sp12_h_l_22 sp12_v_b_1 +routing sp4_h_l_41 sp4_v_b_10 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_t_37 sp4_v_b_8 +routing sp4_v_t_40 sp4_h_l_46 +routing sp4_v_t_43 sp4_h_l_43 + +.logic_tile 6 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 1010001100000000 0000 +LC_1 0000000000001011 0000 +LC_2 1111111100110101 0000 +LC_3 0000000000001011 0000 +LC_4 1110000000000000 0000 +LC_5 0000110100000000 0000 +LC_6 1111000100000000 0000 +LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_5 glb2local_1 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_6 lutff_3/in_0 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_2/in_3 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp12_v_b_19 local_g2_3 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_47 local_g3_7 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_r_1 sp4_h_l_41 +routing sp4_h_r_7 sp4_h_l_38 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_b_8 sp4_v_t_46 + +.logic_tile 5 3 +LC_1 0001000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0001000000000000 0000 +LC_4 0000000000101111 0000 +LC_5 0010000000000000 0000 +LC_6 0000000011111000 0000 +LC_7 0001111111111111 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_7/in_3 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_7 lutff_4/in_2 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_5 lutff_4/in_3 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_tnr_0 local_g2_0 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_16 local_g1_0 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_h_r_11 sp4_v_t_46 routing sp4_v_b_9 sp4_h_r_3 -routing sp4_v_t_47 sp4_h_l_47 -.logic_tile 5 6 -LC_0 1010101000111111 0000 -LC_1 0110100110010110 0000 -LC_2 0000000000001011 0000 -LC_3 0000000011100000 0000 -LC_4 1010101011110011 0000 -LC_5 1110111011100000 0000 -LC_6 0100000000000000 0100 DffEnable +.logic_tile 11 7 +LC_1 0010000000000000 0000 +LC_2 0000000000001001 0000 +LC_5 0000000010000010 0000 +LC_6 0000000000101000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_2/in_3 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_7/in_0 +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_4 lutff_global/s_r +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_7 lutff_2/in_1 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_2/in_3 buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_6 lutff_3/in_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g3_6 +buffer local_g3_0 lutff_6/in_3 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_5/out local_g0_5 buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnr_0 local_g3_0 -buffer neigh_op_tnr_2 local_g3_2 +buffer lutff_7/out sp4_h_r_30 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_7 local_g1_7 +routing sp12_h_l_23 sp12_v_b_0 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_b_9 sp4_v_t_44 + +.logic_tile 6 8 +LC_0 1001000000001001 0000 +LC_1 1110111011100000 0000 +LC_2 1000000000000000 0000 +LC_3 1001000000001001 0000 +LC_4 1001000000001001 0000 +LC_5 0000000000000001 0000 +LC_6 0001000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_2 lutff_3/in_3 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_1/in_3 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_5/in_3 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_5 lutff_4/in_2 +buffer local_g3_6 lutff_4/in_3 +buffer local_g3_7 lutff_2/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out local_g3_7 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_tnr_4 local_g2_4 +buffer neigh_op_top_7 local_g0_7 buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_17 local_g0_1 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_v_b_11 local_g2_3 +buffer sp4_h_r_1 local_g1_1 buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_3 local_g1_3 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_39 local_g2_7 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_h_r_9 sp4_v_b_9 -routing sp4_v_t_42 sp4_v_b_7 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_45 local_g3_5 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_l_45 sp4_h_r_11 +routing sp4_v_b_3 sp4_h_l_38 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_t_47 sp4_h_r_3 -.logic_tile 2 16 -LC_0 1111010000000000 0000 +.logic_tile 1 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_7/in_0 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_v_b_30 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bnr_3 local_g1_3 +buffer sp12_h_r_14 local_g1_6 +routing sp4_h_r_10 sp4_h_l_43 +routing sp4_v_b_9 sp4_h_r_9 +routing sp4_v_t_46 sp4_h_l_40 + +.logic_tile 8 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 1101000000000000 0000 +LC_1 1111001000000000 0000 +LC_2 0001000000000000 0000 +LC_3 1111001000000000 0000 +LC_4 0000001000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0010000000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_5/in_0 buffer local_g0_2 lutff_global/cen -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_5 lutff_0/in_0 -buffer local_g2_0 lutff_0/in_2 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_3/in_1 +buffer local_g3_0 lutff_1/in_0 buffer local_g3_0 lutff_7/in_0 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_14 -buffer sp12_h_r_13 local_g1_5 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_r_v_b_33 local_g0_2 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g3_7 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_16 local_g1_0 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_23 local_g1_7 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_b_8 sp4_h_r_8 +routing sp4_v_b_9 sp4_h_r_3 +routing sp4_v_t_36 sp4_v_b_1 +routing sp4_v_t_36 sp4_v_b_9 +routing sp4_v_t_40 sp4_h_l_46 -.logic_tile 11 2 -LC_0 0100000000000000 0100 DffEnable +.logic_tile 11 8 +LC_0 0110100110010110 0000 +LC_1 0011001101010011 0100 DffEnable LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable +LC_3 1101001000000000 0100 DffEnable +LC_4 0011001101010011 0100 DffEnable LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_4/in_1 buffer local_g0_6 lutff_2/in_0 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_2/out sp12_v_b_4 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_6 lutff_7/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_3 lutff_1/in_3 +buffer local_g3_3 lutff_3/in_1 +buffer lutff_0/out local_g0_0 +buffer sp12_h_r_14 local_g1_6 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_43 local_g3_3 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_v_b_1 sp4_v_t_36 + +.logic_tile 4 15 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1100 CarryEnable DffEnable +LC_3 0110100110010110 1100 CarryEnable DffEnable +LC_4 0110100110010110 1100 CarryEnable DffEnable +LC_5 0110100110010110 1100 CarryEnable DffEnable +LC_6 0110100110010110 1100 CarryEnable DffEnable +LC_7 0110100110010110 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_7 lutff_7/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out sp12_h_r_12 buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp4_h_r_22 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_3/out sp4_r_v_b_23 buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_6/out sp12_h_r_4 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out local_g0_6 buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out sp12_h_r_6 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_h_r_30 buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_v_b_14 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_12 local_g1_4 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_v_b_5 sp4_v_t_40 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_44 sp4_v_b_5 +buffer neigh_op_bot_7 local_g0_7 +buffer sp12_h_r_10 local_g1_2 +buffer sp4_r_v_b_33 local_g0_2 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_b_6 sp4_v_t_43 -.logic_tile 7 7 -LC_0 1000010000100001 0000 -LC_1 1000001001000001 0000 -LC_2 0000000100000000 0000 -LC_3 1000001001000001 0000 +.logic_tile 7 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_6 +LC_0 0010000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0111000000000000 0000 +LC_4 0000000010000010 0000 +LC_5 0001000000000000 0000 +LC_6 1111001000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_3/in_0 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp12_h_r_20 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_11 sp4_v_b_17 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_8 local_g1_0 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_b_6 sp4_h_r_6 + +.logic_tile 2 11 +LC_0 0001000000000000 0000 +LC_1 1111110100000000 0000 +LC_2 0000001000000000 0000 +LC_3 0000000100000000 0000 LC_4 1000000000000000 0000 -LC_5 1001000000000000 0000 -LC_6 0110100110010110 0000 +LC_5 0000001000000000 0000 +LC_6 0000101100000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_5/in_1 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_6/in_3 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_5/in_0 buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_5/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_r_v_b_47 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_6 local_g0_6 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_25 local_g2_1 +routing sp12_h_l_22 sp12_h_r_1 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_t_43 sp4_h_r_6 + +.logic_tile 9 9 +LC_0 1000000000000000 0000 +LC_1 1111111110100011 0000 +LC_2 1000000011111111 0100 DffEnable +LC_3 0000111000000000 0100 DffEnable +LC_4 1000000011111111 0100 DffEnable +LC_5 0000001000000000 0100 DffEnable +LC_6 0000111000000000 0100 DffEnable +LC_7 0001000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_3/in_0 buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_3 lutff_5/in_2 +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_2/in_0 buffer local_g2_4 lutff_4/in_0 -buffer local_g2_6 lutff_3/in_3 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_4 lutff_1/in_2 +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_5 lutff_1/in_3 +buffer local_g3_6 lutff_2/in_3 buffer local_g3_6 lutff_4/in_3 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out lutff_2/in_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out local_g3_7 -buffer sp12_h_r_13 local_g0_5 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g1_1 +buffer lutff_6/out sp4_r_v_b_13 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_4 local_g0_4 +buffer sp12_h_r_14 local_g1_6 buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_9 local_g0_1 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_4 local_g2_4 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_h_r_42 local_g3_2 -buffer sp4_h_r_5 local_g1_5 -buffer sp4_r_v_b_0 local_g1_0 +buffer sp12_v_b_5 local_g3_5 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_43 local_g2_3 buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_43 local_g2_3 -routing sp12_h_r_1 sp12_v_b_1 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_h_l_47 sp4_v_t_47 -routing sp4_h_r_1 sp4_h_l_36 -routing sp4_h_r_1 sp4_v_b_6 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_9 sp4_v_t_44 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_5 local_g0_5 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_t_36 sp4_h_r_6 -.logic_tile 2 1 -LC_2 0010000000000000 0000 -LC_6 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_6/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_3 lutff_global/cen +.logic_tile 5 14 +LC_0 0010000000000000 0000 +LC_1 0110011001100110 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0110100110010110 0000 +LC_5 1001100101100110 0000 +LC_7 0010001000001111 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g1_1 buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_45 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_16 local_g1_0 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_v_t_41 sp4_h_l_47 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_tnl_4 local_g2_4 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_39 local_g3_7 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_r_6 sp4_h_l_44 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_t_37 sp4_h_l_43 +routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_40 sp4_v_b_1 +routing sp4_v_t_41 sp4_h_l_41 -.logic_tile 1 15 -LC_0 0000000011111111 0000 -LC_1 0110100110010110 0000 -LC_2 0000110111110010 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_5 0000010011110111 0100 DffEnable -LC_7 0000010011110111 0100 DffEnable -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_2/in_1 +.logic_tile 8 14 +LC_0 1010110000000000 0000 +LC_1 0101001100000000 0000 +LC_2 0101110000000000 0110 DffEnable Set_NoReset +LC_3 0101110000000000 0110 DffEnable Set_NoReset +LC_4 0101110000000000 0110 DffEnable Set_NoReset +LC_5 0101110000000000 0110 DffEnable Set_NoReset +LC_6 0101110000000000 0110 DffEnable Set_NoReset +LC_7 0101110000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_7 lutff_3/in_2 buffer local_g0_7 lutff_5/in_2 buffer local_g0_7 lutff_7/in_2 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_2/in_3 -buffer local_g2_0 lutff_7/in_3 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_7/out sp4_r_v_b_47 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_5 local_g0_5 -buffer sp12_h_r_6 local_g0_6 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_r_v_b_25 local_g0_1 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g1_7 lutff_4/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_5 lutff_global/s_r +buffer local_g3_6 lutff_1/in_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g3_6 +buffer neigh_op_top_0 local_g0_0 +buffer neigh_op_top_0 local_g1_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_28 local_g0_4 buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_8 local_g2_0 -routing sp4_v_b_1 sp4_h_r_7 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_47 local_g2_7 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_v_t_39 sp4_h_l_39 -.logic_tile 12 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_7 -LC_0 1000000000000000 0000 -LC_1 0111000000000000 0000 -LC_2 0000100010000000 0000 -LC_3 0010000000000000 0000 -LC_4 1011111000000000 0000 -LC_5 0111000000000000 0101 DffEnable AsyncSetReset -LC_6 0100000000000000 0101 DffEnable AsyncSetReset -LC_7 0100000000000000 0101 DffEnable AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk +.logic_tile 8 15 +LC_0 0110000000000000 0000 +LC_1 0101001100000000 0000 +LC_2 1001011010010110 0000 +LC_3 0101000000110000 0000 +LC_4 0010110100000000 0000 +LC_5 0101001100000000 0000 +LC_6 0000000010100011 0000 +LC_7 1111111110000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_3/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_7/in_0 buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_5/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_2 lutff_6/in_3 buffer local_g1_3 lutff_4/in_2 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_1 lutff_7/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_7/in_3 buffer local_g2_2 lutff_global/cen -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_3 lutff_6/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_v_b_3 local_g3_3 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_11 local_g1_3 +buffer local_g2_3 lutff_5/in_0 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_5 lutff_0/in_0 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_bnr_0 local_g0_0 +buffer neigh_op_bnr_0 local_g1_0 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_tnr_5 local_g3_5 +buffer neigh_op_tnr_6 local_g3_6 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_18 local_g0_2 buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_5 local_g0_5 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_36 sp4_v_b_1 -routing sp4_v_t_40 sp4_h_l_46 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_27 local_g3_3 +buffer sp4_v_b_5 local_g1_5 +routing sp12_h_l_22 sp12_v_b_1 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_b_3 sp4_v_t_38 -.logic_tile 4 12 -CarryInSet -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 +.logic_tile 6 13 ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_6 +LC_0 0000000010000000 0000 +LC_1 0010000000000000 0000 +LC_2 1110000000000000 0000 +LC_3 0000000100000000 0000 +LC_4 1101000000000000 0000 +LC_5 0010111100000000 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_0/in_3 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_0/in_1 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_22 local_g0_6 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_19 local_g0_3 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_h_r_8 sp4_h_l_46 +routing sp4_v_b_6 sp4_v_t_43 + +.logic_tile 1 7 +CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 0000 -LC_4 0001000000000000 0000 -LC_5 0001000000000000 0000 -LC_6 0100000000000000 0000 -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_5 lutff_6/in_3 -buffer local_g3_4 lutff_4/in_3 -buffer local_g3_5 lutff_7/in_1 +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0110100110010110 1000 CarryEnable +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_7 lutff_5/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_tnr_0 local_g2_0 -buffer neigh_op_tnr_3 local_g2_3 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_h_r_4 local_g0_4 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_45 local_g3_5 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_44 local_g3_4 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_r_11 sp4_h_l_39 -routing sp4_v_b_5 sp4_v_t_45 - -.logic_tile 7 16 -LC_0 1101010100000000 0000 -LC_1 0000011100000000 0000 -LC_2 0000001000000000 0000 -LC_3 0000000010110000 0000 -LC_4 0000000100000000 0000 -LC_5 0000111000000000 0000 -LC_6 1111111000000000 0000 -LC_7 0000000011001010 0101 DffEnable AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_1/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_4 lutff_4/in_1 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp4_v_b_20 local_g1_4 + +.logic_tile 11 15 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_6 0000110100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_6 lutff_2/in_2 buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_0 lutff_4/in_2 buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_0/in_3 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_4 lutff_6/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_6/in_1 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_6/out sp4_h_r_12 +buffer neigh_op_top_3 local_g0_3 +buffer sp12_h_r_22 local_g1_6 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_43 local_g2_3 +routing sp4_v_t_36 sp4_h_l_42 +routing sp4_v_t_38 sp4_h_l_44 + +.logic_tile 7 8 +LC_0 0001000000000000 0000 +LC_1 0000111000000000 0000 +LC_2 1111111110101100 0000 +LC_3 1001000000001001 0000 +LC_4 0000000000001000 0000 +LC_5 1110111011100000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_4 lutff_3/in_3 buffer local_g2_6 lutff_2/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_4 lutff_5/in_2 -buffer lutff_1/out local_g2_1 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_2/in_1 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_r_v_b_3 buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g0_4 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out sp12_h_r_16 buffer lutff_5/out local_g0_5 buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_v_b_14 local_g2_6 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_v_b_40 local_g2_0 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_tnl_7 local_g2_7 +buffer neigh_op_tnl_7 local_g3_7 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_v_b_3 local_g3_3 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_4 local_g1_4 buffer sp4_v_b_40 local_g3_0 -routing sp4_v_b_10 sp4_h_r_4 +routing sp12_v_t_22 sp12_h_r_1 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_3 sp4_h_r_3 +routing sp4_v_b_7 sp4_v_t_42 +routing sp4_v_t_43 sp4_h_l_37 -.logic_tile 2 12 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0000000010000000 0000 -LC_2 0000000001000000 0000 -LC_3 0001000000000000 0000 -LC_4 1011111000000000 0000 -LC_5 0000001000000000 0000 -LC_6 0000010000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk +.logic_tile 2 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000000000000001 0000 +LC_4 0001000000000000 0000 +LC_5 1000000000000000 0000 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_0/in_0 buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_7 lutff_0/in_3 -buffer local_g2_7 lutff_2/in_3 -buffer local_g2_7 lutff_6/in_3 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_4 lutff_4/in_3 -buffer local_g3_7 lutff_5/in_3 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g1_3 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_4 lutff_1/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_3/out sp12_h_r_14 buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_14 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_v_b_0 local_g2_0 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp12_v_b_15 local_g2_7 -buffer sp12_v_b_15 local_g3_7 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_2 local_g3_2 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_r_v_b_25 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_2 local_g0_2 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_22 local_g0_6 buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_44 local_g3_4 -routing sp12_v_b_0 sp12_h_r_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_3 sp4_v_b_8 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_b_10 sp4_h_r_4 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_6 sp4_v_t_37 +routing sp4_v_b_8 sp4_h_r_8 +routing sp4_v_t_43 sp4_h_r_11 +routing sp4_v_t_47 sp4_v_b_1 + +.logic_tile 9 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 1101011100000000 0000 +LC_1 0001000000000000 0000 +LC_3 1111011100000000 0000 +LC_4 1111011100000000 0000 +LC_5 1101011100000000 0000 +LC_6 0000000000001000 0000 +LC_7 0001000000000000 0000 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_2 lutff_7/in_1 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_7 lutff_3/in_1 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g0_1 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_tnl_2 local_g2_2 +buffer sp12_h_r_1 local_g1_1 +buffer sp12_h_r_19 local_g0_3 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_23 local_g1_7 +routing sp12_h_l_22 sp12_h_r_1 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_b_6 sp4_h_l_43 +routing sp4_v_t_40 sp4_h_l_40 + +.logic_tile 12 8 +LC_0 0000000000000110 0000 +LC_1 0000000000101000 0000 +LC_2 0000100010000000 0000 +LC_4 0000000010000010 0000 +LC_6 1000000000000000 0000 +LC_7 1000000000001000 0000 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_4/in_1 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_3 lutff_2/in_3 +buffer local_g3_3 lutff_4/in_2 +buffer local_g3_3 lutff_7/in_3 +buffer lutff_0/out sp12_v_b_0 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_7/out sp12_v_b_14 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_43 local_g3_3 +routing sp4_v_b_5 sp4_v_t_36 routing sp4_v_b_8 sp4_v_t_41 -.logic_tile 9 4 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_7 -LC_0 0111000100000000 0000 -LC_1 0001000001000000 0000 +.logic_tile 11 16 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 0000 -LC_3 1011111000000000 0000 -LC_4 1110101100000000 0000 -LC_5 1011101011101010 0000 -LC_6 0110100110010110 0000 +LC_3 1000000000000000 0000 +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_2 lutff_2/in_2 buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_1/in_0 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_5/in_3 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_6 lutff_5/in_2 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g3_6 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_8 local_g2_0 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_42 local_g3_2 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_h_r_2 sp4_v_t_45 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_h_r_7 sp4_h_l_42 -routing sp4_v_b_10 sp4_v_t_36 +buffer local_g0_4 lutff_global/s_r +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_3 lutff_7/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_v_b_38 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_4 local_g0_4 +routing sp4_v_b_1 sp4_h_r_1 -.logic_tile 5 1 -LC_0 0000010000000000 0000 -LC_1 0000000100000000 0000 -LC_3 0100000000000000 0000 -LC_4 0000010000000000 0000 +.logic_tile 5 9 +LC_0 1110111011100000 0000 +LC_1 0001000100001111 0000 +LC_2 0000111111101100 0000 +LC_3 1110111011100000 0000 +LC_4 0011001101010011 0000 LC_5 1000000000000000 0000 -LC_7 0110000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_7 lutff_0/in_2 +LC_6 0011001101010011 0000 +LC_7 0000000010000000 0000 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_7/in_3 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_1/in_3 +buffer local_g1_7 lutff_2/in_2 buffer local_g1_7 lutff_4/in_2 -buffer local_g1_7 lutff_5/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_3 lutff_5/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_1 lutff_3/in_2 buffer local_g2_3 lutff_7/in_0 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_4 lutff_1/in_0 -buffer lutff_1/out sp12_h_r_10 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_6 lutff_5/in_3 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_3 lutff_3/in_3 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g1_6 buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_14 buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_tnl_3 local_g3_3 -buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_top_7 local_g0_7 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_28 local_g1_4 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_9 sp4_h_l_37 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_t_41 sp4_h_r_4 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bnr_1 local_g0_1 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_rgt_5 local_g3_5 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_3 local_g0_3 +buffer sp12_v_b_0 local_g3_0 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_44 local_g2_4 +buffer sp4_v_b_46 local_g3_6 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_8 local_g1_0 +routing sp12_v_t_22 sp12_h_r_1 +routing sp12_v_t_23 sp12_h_r_0 +routing sp12_v_t_23 sp12_v_b_0 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_37 sp4_h_r_0 +routing sp4_h_l_40 sp4_h_r_1 +routing sp4_h_r_4 sp4_h_l_37 +routing sp4_h_r_5 sp4_h_l_36 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_40 sp4_h_r_5 +routing sp4_v_t_43 sp4_h_r_6 -.logic_tile 12 10 -LC_0 0000100000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0110100110010110 0000 -LC_4 0010000000000000 0000 -LC_5 0100000000000000 0000 +.logic_tile 4 7 +LC_0 0100000000000000 0100 DffEnable +LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_2 lutff_0/in_1 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_5 lutff_2/in_0 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g3_3 lutff_4/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_tnr_3 local_g3_3 +buffer neigh_op_top_0 local_g0_0 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_h_r_21 local_g1_5 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_42 sp4_h_r_3 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_h_r_8 sp4_v_b_1 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_6 sp4_h_r_6 + +.logic_tile 9 1 +LC_0 0000101100000000 0000 +LC_3 1010010101011010 0000 +LC_4 0101001100000000 0100 DffEnable +LC_6 0101001100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_0/in_0 buffer local_g1_2 lutff_4/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_5 lutff_0/in_0 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_0/out local_g1_0 +buffer local_g1_3 lutff_0/in_2 +buffer local_g2_3 lutff_3/in_2 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_2 lutff_0/in_1 buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_v_b_4 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_h_r_22 buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_v_b_42 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_6/out local_g0_6 buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnl_5 local_g3_5 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_24 local_g3_0 -buffer sp4_v_b_7 local_g1_7 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_v_b_4 sp4_h_l_41 - -.logic_tile 7 2 -LC_0 1011101000000000 0000 -LC_2 0100000000000000 0000 -LC_3 1110101100000000 0000 -LC_4 0110100110010110 0000 -LC_5 0110100110010110 0000 -LC_6 0001000001000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_6/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_3/in_3 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_4 lutff_6/in_0 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_5/in_1 -buffer local_g3_4 lutff_6/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out lutff_6/in_2 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_0 local_g2_0 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_3 local_g1_3 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_2 local_g1_2 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_v_b_10 local_g3_2 buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_15 local_g1_7 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_1 sp4_v_t_42 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_44 sp4_h_l_38 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_35 local_g2_3 +routing sp12_h_l_23 sp12_v_t_23 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_v_t_38 sp4_h_r_8 +routing sp4_v_t_46 sp4_h_l_46 -.logic_tile 6 14 -LC_0 0000100000000000 0000 -LC_1 0000000011001010 0000 -LC_2 1100101000000000 0000 -LC_3 0100010101010101 0000 -LC_4 1100101000000000 0000 -LC_5 1010100010101010 0000 -LC_6 1100101000000000 0000 -LC_7 0011111111110101 0000 -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_6 lutff_6/in_2 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_1/in_3 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_7 lutff_3/in_3 -buffer local_g3_7 lutff_7/in_3 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out sp4_r_v_b_3 +.logic_tile 6 6 +LC_0 0000000011111110 0000 +LC_1 1000000000000000 0000 +LC_2 1000100000001111 0000 +LC_3 0000000000000010 0000 +LC_4 0010000000000000 0000 +LC_5 0000000011100000 0000 +LC_6 1000000000000000 0000 +LC_7 1000000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_1/in_3 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_3/in_3 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out local_g1_1 buffer lutff_2/out local_g2_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g3_4 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out local_g0_4 buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g0_7 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_bnr_0 local_g1_0 +buffer neigh_op_bnr_3 local_g0_3 buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_18 local_g1_2 +buffer neigh_op_tnl_6 local_g2_6 +buffer neigh_op_tnl_6 local_g3_6 +buffer sp12_h_r_10 sp4_h_r_17 buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_24 local_g0_0 +buffer sp12_h_r_6 local_g0_6 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_h_r_47 local_g3_7 buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_30 local_g2_6 -routing sp4_h_r_6 sp4_v_b_6 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_34 local_g3_2 +buffer sp4_v_b_43 local_g2_3 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_r_10 sp4_h_l_36 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_b_8 sp4_h_r_8 +routing sp4_v_t_43 sp4_v_b_2 +routing sp4_v_t_44 sp4_h_r_9 -.logic_tile 1 2 +.logic_tile 5 6 +LC_0 1001000000001001 0000 +LC_1 0000000000000001 0000 +LC_2 1001000000001001 0000 +LC_3 1001000000001001 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_global/s_r +buffer local_g1_6 lutff_0/in_3 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_3/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_5 lutff_0/in_0 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_rgt_3 local_g3_3 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_v_b_14 local_g2_6 +buffer sp12_v_b_14 local_g3_6 +buffer sp12_v_b_17 sp4_v_b_20 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_39 local_g2_7 +routing sp12_v_t_22 sp12_v_b_1 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_40 sp4_h_r_5 + +.logic_tile 2 16 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable @@ -5970,3952 +4838,4431 @@ LC_2 0000000000000000 1000 CarryEnable LC_3 0000000000000000 1000 CarryEnable LC_4 0000000000000000 1000 CarryEnable LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000011111111 0000 +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_6/in_2 +buffer local_g3_2 lutff_0/in_1 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g0_5 +buffer sp12_h_r_19 local_g1_3 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_16 local_g0_0 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_v_b_1 sp4_h_l_43 + +.logic_tile 11 2 +LC_0 0111000000000000 0110 DffEnable Set_NoReset +LC_1 0111000000000000 0110 DffEnable Set_NoReset +LC_2 0111000000000000 0110 DffEnable Set_NoReset +LC_3 0111000000000000 0110 DffEnable Set_NoReset +LC_4 0111000000000000 0110 DffEnable Set_NoReset +LC_5 0111000000000000 0110 DffEnable Set_NoReset +LC_6 0100000000000000 0110 DffEnable Set_NoReset +LC_7 0111000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk buffer local_g0_4 lutff_1/in_1 -buffer local_g1_2 lutff_1/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_5 lutff_0/in_1 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_1 lutff_2/in_2 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/out sp4_r_v_b_29 -buffer neigh_op_tnr_1 local_g3_1 -buffer sp12_v_b_16 local_g3_0 -buffer sp12_v_b_17 local_g2_1 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_v_b_4 local_g0_4 -buffer sp4_v_b_40 local_g2_0 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_45 sp4_h_r_1 -routing sp4_v_t_45 sp4_v_b_4 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_global/s_r +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_3 lutff_2/in_1 +buffer lutff_5/out sp4_v_b_26 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_top_3 local_g1_3 +buffer neigh_op_top_4 local_g0_4 +buffer neigh_op_top_6 local_g1_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_22 local_g0_6 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_v_b_11 local_g2_3 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_24 local_g2_0 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_b_4 sp4_h_l_44 -.logic_tile 12 2 -LC_7 1000000000000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_4 glb2local_1 -buffer local_g0_5 lutff_7/in_0 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer sp12_v_b_13 sp4_v_b_18 -routing sp12_v_t_22 sp12_v_b_1 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_41 sp4_h_l_41 -routing sp4_v_t_45 sp4_h_l_45 -routing sp4_v_t_46 sp4_h_l_40 +.logic_tile 7 7 +LC_0 0001000000000000 0000 +LC_1 0000000000001110 0000 +LC_2 1001000000001001 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_4/in_2 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_4 lutff_2/in_3 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_2 local_g0_2 +buffer sp12_v_b_6 local_g3_6 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_26 local_g3_2 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_r_10 sp4_v_t_47 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_h_r_4 sp4_v_t_41 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_v_b_5 sp4_v_t_45 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_42 sp4_h_r_7 +routing sp4_v_t_45 sp4_h_l_39 -.logic_tile 11 10 +.logic_tile 2 1 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_3 1000000000000000 0000 -LC_4 1010101010101000 0000 -LC_5 0000000100000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_4/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_2 lutff_3/in_0 +LC_2 0110100110010110 1100 CarryEnable DffEnable +LC_3 0110100110010110 0100 DffEnable +LC_4 1010010101011010 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_0/in_1 buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_33 local_g2_1 -routing sp12_v_t_22 sp12_h_l_22 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_h_r_16 +buffer sp12_h_r_18 local_g1_2 +buffer sp4_h_r_3 local_g1_3 -.logic_tile 4 9 -LC_0 0100000000000000 0000 -LC_1 0000110100000000 0000 -LC_2 1111111111110100 0000 +.logic_tile 1 15 +LC_0 0000000011110111 0000 +LC_2 1000000000000000 0000 LC_3 1000000000000000 0000 -LC_4 0000010000000000 0100 DffEnable -LC_5 0000000001011101 0100 DffEnable -LC_6 0000000001011101 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_6 lutff_global/s_r -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_6/in_3 +LC_6 1000000000000000 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_5 lutff_0/in_1 buffer local_g1_1 lutff_0/in_0 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_3 lutff_5/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_4 -buffer neigh_op_tnr_1 local_g3_1 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_19 local_g0_3 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_v_b_3 local_g3_3 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_6 local_g1_6 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_r_6 sp4_v_t_43 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_3 sp4_v_t_46 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_9 sp4_v_t_44 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_45 sp4_v_b_8 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g2_0 lutff_0/in_2 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp4_v_b_22 +buffer neigh_op_rgt_0 local_g2_0 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_h_r_9 local_g0_1 +buffer sp4_v_b_11 local_g1_3 -.logic_tile 7 15 -LC_0 0000000011101011 0000 -LC_1 1111111110101100 0000 -LC_2 0000000000001011 0000 -LC_3 0000000000000100 0000 -LC_4 0001000000000000 0000 -LC_5 0010000000000000 0000 -LC_6 0000111101011101 0100 DffEnable -LC_7 0101011100000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_7/in_3 +.logic_tile 12 5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +buffer sp12_h_r_16 sp4_h_r_20 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_11 sp4_v_t_46 +routing sp4_v_t_36 sp4_h_r_1 + +.logic_tile 4 12 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0000 +LC_1 0000001000000000 0000 +LC_2 0000000000001001 0000 +LC_3 0000000000001000 0000 +LC_4 1000000000000000 0000 +LC_5 0000100000000000 0000 +LC_6 0000100000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_5/in_2 +buffer local_g1_5 lutff_3/in_1 buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_1 lutff_7/in_2 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_7 lutff_1/in_2 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_4 lutff_1/in_0 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_6/in_1 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out sp4_h_r_46 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_tnl_6 local_g3_6 -buffer neigh_op_top_3 local_g1_3 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_35 local_g2_3 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_h_r_21 local_g0_5 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_32 local_g3_0 buffer sp4_v_b_6 local_g0_6 -buffer sp4_v_b_6 local_g1_6 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_46 sp4_v_b_11 -routing sp4_h_r_10 sp4_h_l_36 +buffer sp4_v_b_9 local_g0_1 +buffer sp4_v_b_9 local_g1_1 routing sp4_h_r_10 sp4_v_b_10 -routing sp4_h_r_3 sp4_v_b_3 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_b_9 sp4_h_l_39 +routing sp4_v_b_9 sp4_h_r_3 -.logic_tile 2 9 -LC_0 1000000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_4 0110100110010110 0000 -LC_5 0110100110010110 0100 DffEnable -LC_6 0110000000000000 0100 DffEnable -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_5 lutff_6/in_1 +.logic_tile 7 16 +LC_0 0000110001010111 0000 +LC_1 1110000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0000000100000000 0000 +LC_4 0001000000000000 0000 +LC_5 0110000000000000 0000 +LC_6 0010100011010111 0000 +LC_7 1000001000101000 0000 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_0/in_2 buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_3 lutff_5/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_2/in_0 -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_0 lutff_4/in_3 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_4/out sp4_v_b_8 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_4 lutff_7/in_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_34 local_g2_2 -routing sp12_h_r_0 sp12_v_t_23 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_r_9 sp4_v_b_9 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_t_47 sp4_v_b_1 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g0_6 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_31 local_g2_7 +routing sp4_h_l_38 sp4_v_b_3 -.logic_tile 9 15 -LC_0 0001000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0001000000000000 0000 -LC_3 0110100110010110 0000 -LC_4 0001000000000000 0000 -LC_6 0001000000000000 0000 -LC_7 0101010100111111 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 +.logic_tile 2 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 1000000000000000 0000 +LC_1 0000101100000000 0000 +LC_2 0000000000001011 0000 +LC_3 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_2/in_0 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_3/in_3 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_2 lutff_1/in_3 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_4 lutff_3/in_0 buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp12_h_r_10 buffer lutff_1/out sp12_v_b_2 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out sp12_h_r_16 +buffer lutff_2/out sp4_h_r_4 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_lft_7 local_g1_7 +buffer sp12_v_b_22 local_g2_6 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_43 local_g3_3 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_h_r_6 sp4_v_t_43 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_h_r_9 sp4_v_b_9 +routing sp4_v_b_6 sp4_h_r_0 + +.logic_tile 9 4 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 1010110000000000 0000 +LC_1 0101000000110000 0000 +LC_2 0101000000110000 0000 +LC_3 1110111011100000 0000 +LC_4 0000000010000000 0000 +LC_5 0101000000110000 0000 +LC_6 0101000000110000 0000 +LC_7 1100010100000000 0110 DffEnable Set_NoReset +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_3/in_3 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_2/in_3 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_4 lutff_6/in_3 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_6 lutff_5/in_2 +buffer local_g3_7 lutff_0/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g0_3 buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_6/out local_g1_6 +buffer lutff_5/out sp12_h_r_18 buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bot_1 local_g1_1 -buffer sp12_h_r_0 local_g1_0 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp12_h_r_4 local_g0_4 -buffer sp4_h_r_0 local_g0_0 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bnl_3 local_g2_3 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_rgt_4 local_g3_4 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp12_v_b_3 local_g3_3 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_h_r_13 local_g1_5 buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_28 local_g1_4 buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_42 local_g2_2 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_38 sp4_v_t_38 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_7 local_g1_7 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_36 sp4_v_t_36 routing sp4_h_l_41 sp4_h_r_0 -routing sp4_h_l_44 sp4_v_t_44 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_39 sp4_h_l_45 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_b_9 sp4_h_r_3 -.logic_tile 8 1 -LC_0 0010000000000000 0000 -LC_1 0000000010001110 0000 -LC_2 1001000000000000 0000 -LC_3 0000000010111010 0000 -LC_4 0000100010000000 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk +.logic_tile 12 16 +LC_0 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_4/in_2 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_4 lutff_global/s_r +buffer local_g2_4 lutff_2/in_0 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp4_h_r_38 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp12_v_b_4 local_g2_4 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_1 local_g0_1 +routing sp12_h_l_22 sp12_v_b_1 + +.logic_tile 5 1 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +LC_4 0000000000000001 0000 +LC_5 0010000000000000 0100 DffEnable +LC_7 0010000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_0/in_1 buffer local_g0_7 lutff_4/in_3 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_1/in_2 buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_7 lutff_1/in_3 -buffer lutff_0/out sp4_r_v_b_33 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_5 lutff_4/in_2 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g2_2 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_rgt_3 local_g3_3 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_6 local_g0_6 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_37 local_g3_5 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_41 local_g3_1 -routing sp4_h_r_8 sp4_v_t_39 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_t_40 sp4_h_r_10 -routing sp4_v_t_41 sp4_h_r_9 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out local_g3_5 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out local_g2_7 +buffer neigh_op_top_4 local_g0_4 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_v_b_10 local_g3_2 +buffer sp4_v_b_23 local_g0_7 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_v_t_37 sp4_h_r_0 -.logic_tile 12 9 -LC_1 1000000000000000 0000 -LC_2 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_4 lutff_4/in_0 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_4/out sp4_h_r_8 +.logic_tile 12 10 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0110100110010110 0000 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_6/in_2 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_7 lutff_1/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 buffer lutff_5/out sp4_v_b_26 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bot_0 local_g1_0 -buffer sp12_v_b_21 sp4_v_b_22 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_b_6 sp4_v_t_44 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_37 sp4_v_b_3 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_42 sp4_v_b_7 -routing sp4_v_t_43 sp4_h_l_43 -routing sp4_v_t_44 sp4_h_l_44 +buffer lutff_6/cout lutff_7/in_3 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_13 local_g0_5 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_v_b_0 sp4_h_l_37 +routing sp4_v_b_11 sp4_v_t_46 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_47 sp4_h_l_47 + +.logic_tile 7 2 +LC_0 1000000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0000000001110000 0000 +LC_4 0000000001010011 0000 +LC_5 1001011010010110 0000 +LC_6 0001000111110001 0100 DffEnable +LC_7 0001000111110001 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_3/in_0 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_7 lutff_3/in_3 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_3 lutff_2/in_0 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g2_7 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_top_2 local_g0_2 +buffer sp12_h_r_11 local_g1_3 +buffer sp12_v_b_19 sp4_v_b_21 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_27 local_g3_3 +routing sp4_h_r_9 sp4_v_t_38 -.logic_tile 5 12 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_6 -LC_0 0000001000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0000000001000000 0000 -LC_3 0000000100000000 0000 -LC_4 0001111100000000 0000 -LC_5 0001000000000000 0000 -LC_6 1111001000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk +.logic_tile 6 14 +LC_0 0111110100000000 0000 +LC_1 1111111101010011 0000 +LC_2 1111111101010011 0000 +LC_3 0000000000101111 0100 DffEnable +LC_4 0000000000101111 0100 DffEnable +LC_6 0000000000101111 0100 DffEnable +LC_7 0010001011110000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_global/cen +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_0/in_3 buffer local_g0_3 lutff_2/in_3 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_5/in_3 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_6 lutff_7/in_3 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_1/in_1 buffer local_g1_4 lutff_4/in_1 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_3 lutff_3/in_3 -buffer local_g3_5 lutff_6/in_2 -buffer local_g3_6 lutff_5/in_2 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out local_g1_2 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_2 lutff_4/in_3 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g3_2 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_top_3 local_g1_3 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_18 local_g1_2 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_r_0 sp4_h_l_37 +routing sp4_v_b_9 sp4_h_l_39 + +.logic_tile 1 2 +LC_0 1111111101111111 0000 +LC_1 1001011010010110 0000 +LC_2 1000000000000000 0000 +LC_3 1000000011111111 0000 +LC_4 1001000000000000 0000 +LC_5 1000000011111111 0101 DffEnable AsyncSetReset +LC_6 0100000000000000 0101 DffEnable AsyncSetReset +LC_7 0100000000000000 0101 DffEnable AsyncSetReset +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_0/in_3 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_6 lutff_3/in_0 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out local_g1_1 buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_v_b_6 buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g1_6 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g0_7 buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_tnr_1 local_g2_1 -buffer neigh_op_tnr_2 local_g2_2 -buffer neigh_op_tnr_3 local_g2_3 -buffer neigh_op_tnr_3 local_g3_3 -buffer sp12_v_b_6 local_g2_6 -buffer sp12_v_b_6 local_g3_6 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_41 local_g3_1 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_r_v_b_24 local_g0_0 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_6 local_g1_6 buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_4 local_g1_4 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_9 local_g0_1 -routing sp12_h_r_1 sp12_h_l_22 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_b_7 sp4_h_l_42 +buffer sp4_v_b_33 local_g3_1 -.logic_tile 4 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_3 -LC_0 0110100110010110 1100 CarryEnable DffEnable -LC_1 0110100110010110 1100 CarryEnable DffEnable +.logic_tile 12 2 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 1100 CarryEnable DffEnable LC_3 0110100110010110 1100 CarryEnable DffEnable LC_4 0110100110010110 1100 CarryEnable DffEnable LC_5 0110100110010110 1100 CarryEnable DffEnable LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0000000011111111 0000 -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 +LC_7 0110100110010110 1100 CarryEnable DffEnable buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_1/in_2 +buffer glb_netwk_6 lutff_global/clk buffer local_g0_2 lutff_2/in_2 buffer local_g0_3 lutff_3/in_2 buffer local_g0_4 lutff_4/in_2 buffer local_g0_5 lutff_5/in_2 buffer local_g0_6 lutff_6/in_2 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_r_v_b_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_7 lutff_7/in_2 buffer lutff_1/cout lutff_2/in_3 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_r_v_b_3 buffer lutff_2/cout lutff_3/in_3 buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_r_v_b_37 buffer lutff_3/cout lutff_4/in_3 buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_38 +buffer lutff_3/out sp4_h_r_38 buffer lutff_4/cout lutff_5/in_3 buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_v_b_40 buffer lutff_5/cout lutff_6/in_3 buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp12_v_b_10 buffer lutff_6/cout lutff_7/in_3 buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out sp12_h_r_22 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_t_44 sp4_h_l_44 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_tnl_2 local_g2_2 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_14 local_g1_6 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_v_b_10 sp4_h_l_38 +routing sp4_v_b_7 sp4_h_l_37 +routing sp4_v_t_42 sp4_h_l_42 +routing sp4_v_t_46 sp4_v_b_7 + +.logic_tile 11 10 +LC_0 0101001100000000 0000 +LC_1 0000000100000000 0000 +LC_2 0101001100000000 0000 +LC_3 1010110000000000 0000 +LC_4 0100000000000000 0110 DffEnable Set_NoReset +LC_5 0100000000000000 0110 DffEnable Set_NoReset +LC_6 0100000000000000 0110 DffEnable Set_NoReset +LC_7 0100000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_2 lutff_1/in_0 +buffer local_g3_4 lutff_2/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out local_g3_4 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_20 local_g1_4 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_9 local_g1_1 +routing sp12_h_l_23 sp12_v_b_0 -.logic_tile 6 3 -LC_0 0000000100000000 0000 -LC_1 0000001000000000 0000 -LC_2 1000001001000001 0000 -LC_3 1000010000100001 0000 -LC_4 1000001001000001 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_1/in_2 +.logic_tile 4 9 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 1001100101100110 0000 +LC_4 1000000000000000 0000 +LC_5 1001000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_4/in_0 buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_6/in_3 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_1 lutff_4/in_3 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_2/in_3 -buffer local_g2_6 lutff_3/in_3 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_1 lutff_4/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g3_1 lutff_5/in_1 buffer local_g3_3 lutff_global/cen -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_6/in_1 buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnr_7 local_g1_7 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_8 local_g3_0 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_29 local_g2_5 -buffer sp4_v_b_29 local_g3_5 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_39 local_g3_7 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_46 local_g2_6 -buffer sp4_v_b_46 local_g3_6 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_44 sp4_h_r_5 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_0 sp4_v_t_43 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_v_b_44 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_v_b_1 local_g3_1 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_33 local_g2_1 +routing sp12_v_b_0 sp12_h_r_0 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_39 sp4_h_r_2 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_10 sp4_h_r_4 routing sp4_v_b_3 sp4_h_r_9 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_t_42 sp4_h_l_42 -routing sp4_v_t_42 sp4_v_b_3 -routing sp4_v_t_42 sp4_v_b_7 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_b_9 sp4_h_l_39 -.logic_tile 1 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_3 -LC_0 0110100110010110 0000 -LC_1 0010000000000000 0000 -LC_2 0000011100000000 0000 -LC_3 0110100110010110 0000 -LC_4 0010000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0010000000000000 0000 -LC_7 0010000000000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_1 glb2local_0 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_5/in_0 +.logic_tile 7 15 +LC_0 1110101100000000 0000 +LC_1 0000000000000110 0000 +LC_2 0001000000000000 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_0/in_2 buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_3/in_3 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_3 lutff_4/in_1 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_3 lutff_3/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out local_g0_3 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp4_r_v_b_13 -buffer sp12_v_b_16 local_g3_0 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_17 local_g3_1 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_22 local_g1_6 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_l_46 sp4_h_r_11 -routing sp4_h_r_10 sp4_v_t_41 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_h_r_5 sp4_v_b_5 -routing sp4_v_t_38 sp4_h_r_8 -routing sp4_v_t_40 sp4_h_l_46 -routing sp4_v_t_44 sp4_h_r_2 -routing sp4_v_t_45 sp4_h_r_1 - -.logic_tile 11 1 -LC_0 1100101000110101 0000 -LC_1 0100000000000000 0000 -LC_3 0100000100000000 0000 -LC_4 0000101100000000 0000 -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_7/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_1 lutff_0/in_3 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp12_v_b_18 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_5 lutff_5/in_0 +buffer local_g3_3 lutff_6/in_0 +buffer local_g3_7 lutff_0/in_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_1/out sp4_v_b_34 buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g0_4 buffer lutff_4/out sp12_h_r_16 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_10 local_g1_2 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_6/out sp4_v_b_12 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_18 local_g1_2 buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_47 local_g3_7 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_35 local_g2_3 -routing sp4_h_l_39 sp4_h_r_10 -routing sp4_h_l_42 sp4_h_r_7 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_t_37 sp4_v_b_3 -routing sp4_v_t_45 sp4_h_r_1 -routing sp4_v_t_47 sp4_h_l_47 +buffer sp12_h_r_22 local_g0_6 +buffer sp12_v_b_2 local_g2_2 +buffer sp12_v_b_7 local_g3_7 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_43 local_g3_3 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_t_41 sp4_h_l_47 -.logic_tile 7 10 -LC_0 0000000100000000 0000 -LC_1 0000110100000000 0000 -LC_2 0001000000000000 0000 -LC_3 0100000000000000 0000 -LC_4 0000000011110001 0000 -LC_5 0000000000100000 0000 -LC_6 0001000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_0/in_2 +.logic_tile 2 9 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1001100101100110 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 1001100101100110 0100 DffEnable +LC_6 1001100101100110 0100 DffEnable +LC_7 1001100101100110 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_7/in_3 buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_6 lutff_6/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_5 lutff_2/in_0 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g3_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out local_g2_4 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_3/in_3 +buffer local_g2_6 lutff_7/in_1 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_v_b_40 buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp12_v_b_17 local_g2_1 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_31 local_g0_7 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g3_7 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g1_6 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_r_v_b_10 local_g2_2 buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_40 local_g2_0 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_5 sp4_h_l_40 -routing sp4_v_t_40 sp4_v_b_1 -routing sp4_v_t_47 sp4_h_l_41 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_38 local_g2_6 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_1 sp4_h_l_36 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_v_t_36 sp4_v_b_4 +routing sp4_v_t_37 sp4_v_b_0 -.logic_tile 2 2 +.logic_tile 9 15 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000000000000 1000 CarryEnable -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_7/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g2_1 lutff_0/in_1 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_6 lutff_5/in_2 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_19 local_g3_3 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_46 local_g3_6 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_4 sp4_h_r_10 +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 0000 +LC_5 1000000000000000 0000 +LC_7 0101001100000000 0000 +buffer local_g0_3 lutff_5/in_0 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_6 lutff_3/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_6 lutff_7/in_1 +buffer local_g3_4 lutff_0/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_top_3 local_g0_3 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_6 local_g1_6 +buffer sp12_v_b_11 sp4_v_b_17 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_46 local_g2_6 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_8 sp4_v_t_45 -.logic_tile 1 10 -LC_0 1000000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000000000001110 0000 -LC_4 1000000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_5 lutff_2/in_3 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_17 local_g0_1 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_37 local_g2_5 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_0 sp4_v_t_38 +.logic_tile 8 1 +LC_0 0101001100000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0101001100000000 0100 DffEnable +LC_6 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_6/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_5/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bot_6 local_g1_6 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_18 local_g1_2 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_2 sp4_v_t_45 + +.logic_tile 12 9 +LC_0 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_global/cen +buffer lutff_3/out sp4_h_r_38 +buffer lutff_5/out sp4_v_b_42 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_26 local_g3_2 +buffer sp4_h_r_43 local_g3_3 +routing sp4_h_l_36 sp4_v_t_43 -.logic_tile 8 6 -LC_0 1000001001000001 0000 -LC_1 1000001001000001 0000 -LC_2 0000000000001110 0000 -LC_3 0000000100000000 0000 -LC_4 1000000000000000 0000 -LC_5 1000010000100001 0000 -LC_6 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 +.logic_tile 5 12 +ColBufCtrl glb_netwk_6 +LC_0 0010001011110000 0000 +LC_1 1110000000000000 0000 +LC_2 0000011000000000 0000 +LC_3 1101110111010000 0000 +LC_4 0111000000000000 0000 +LC_5 0010001011110000 0100 DffEnable +LC_6 0010001011110000 0100 DffEnable +LC_7 0010001011110000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_0 lutff_3/in_1 buffer local_g0_1 lutff_7/in_2 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_0/in_0 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_3/in_3 buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_1/in_3 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_1 lutff_0/in_3 buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_5/in_3 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_3 lutff_4/in_1 buffer local_g2_3 lutff_6/in_1 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_4 lutff_7/in_1 -buffer local_g2_6 lutff_6/in_0 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_2 lutff_4/in_1 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_1 lutff_1/in_1 buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_2/in_3 -buffer local_g3_6 lutff_0/in_3 -buffer local_g3_6 lutff_4/in_3 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp12_h_r_12 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_5/in_3 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out local_g2_2 buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out sp4_h_r_28 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_v_b_42 buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_lft_7 local_g1_7 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_4 local_g2_4 -buffer sp4_h_r_1 local_g0_1 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_rgt_3 local_g3_3 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_v_b_11 sp4_v_b_17 +buffer sp4_h_r_13 local_g1_5 buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_20 local_g1_4 buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_33 local_g3_1 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_12 local_g0_4 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_41 local_g3_1 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_47 local_g3_7 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_13 local_g0_5 buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_38 local_g3_6 -buffer sp4_v_b_44 local_g3_4 -routing sp12_h_r_0 sp12_v_b_0 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_b_9 sp4_h_r_3 -routing sp4_v_t_45 sp4_h_r_8 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_27 local_g2_3 +routing sp4_h_l_44 sp4_h_r_9 +routing sp4_h_l_46 sp4_h_r_2 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_t_38 sp4_v_b_6 -.logic_tile 8 9 +.logic_tile 4 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 LC_0 0000000100000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000000010101011 0000 -LC_3 0100010101010101 0000 -LC_4 1000000000000000 0000 -LC_5 1010101011101011 0000 -LC_6 1011000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_0/in_1 +LC_1 0010000000000000 0000 +LC_2 1010010101011010 0000 +LC_3 0001000000000000 0000 +LC_4 0000000100000000 0000 +LC_5 0101110000000000 0100 DffEnable +LC_6 0000111101110111 0100 DffEnable +LC_7 0000111101110111 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_2/in_2 buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_4/in_1 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_4 lutff_7/in_0 buffer local_g1_5 lutff_0/in_2 buffer local_g1_6 lutff_3/in_0 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_6 lutff_0/in_0 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_6 lutff_7/in_0 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_2/out local_g3_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_4 lutff_7/in_3 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g1_2 buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_h_r_24 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bot_2 local_g1_2 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_4 local_g3_4 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_6 local_g1_6 +buffer sp12_v_b_21 local_g3_5 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_37 sp4_h_r_0 + +.logic_tile 6 3 +LC_0 0000000000110101 0000 +LC_1 1111000100000000 0000 +LC_2 0101000000110000 0000 +LC_4 0000110100000000 0000 +LC_5 1111100000000000 0000 +LC_6 0000000000001101 0000 +LC_7 1110000000000000 0000 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_5 lutff_7/in_2 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_3 lutff_6/in_0 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out local_g1_2 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_2 local_g0_2 buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_tnr_6 local_g3_6 -buffer neigh_op_top_0 local_g0_0 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_20 local_g0_4 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_tnr_5 local_g2_5 +buffer sp12_h_r_13 local_g0_5 buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_v_b_3 local_g3_3 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_39 local_g3_7 -buffer sp4_v_b_7 local_g0_7 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_39 sp4_h_r_10 -routing sp4_h_r_1 sp4_v_b_1 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_v_b_7 sp4_h_r_7 -routing sp4_v_t_45 sp4_v_b_11 -routing sp4_v_t_46 sp4_h_l_46 +buffer sp12_v_b_11 local_g2_3 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_24 local_g3_0 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_5 local_g1_5 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_v_b_6 sp4_h_r_0 -.logic_tile 4 1 +.logic_tile 1 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_1 1001000000000000 0000 +LC_5 1010010101011010 0000 +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_5/in_2 +buffer local_g2_5 lutff_1/in_0 +buffer local_g3_5 lutff_1/in_1 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_v_b_44 +buffer neigh_op_rgt_5 local_g2_5 +buffer sp12_v_b_21 local_g3_5 +buffer sp4_r_v_b_3 local_g1_3 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_5 sp4_v_t_40 + +.logic_tile 11 1 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000000000000 1000 CarryEnable -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_4 lutff_2/in_1 -buffer local_g2_0 lutff_1/in_1 -buffer local_g3_2 lutff_5/in_2 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_34 local_g0_1 -routing sp4_v_b_4 sp4_h_l_44 - -.logic_tile 8 11 -LC_0 0001000000000000 0000 -LC_1 1000010000100001 0000 -LC_2 0010110100000000 0000 -LC_3 1000010000100001 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +LC_4 0101001100000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_1/in_3 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out local_g0_1 +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_4/in_2 +buffer local_g3_0 lutff_0/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out local_g1_3 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_3/out sp4_v_b_38 buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_13 buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_top_1 local_g1_1 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_v_b_22 local_g2_6 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_42 local_g2_2 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_5 sp4_h_r_5 -routing sp4_v_t_41 sp4_v_b_4 -routing sp4_v_t_43 sp4_v_b_6 -routing sp4_v_t_45 sp4_v_b_11 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_32 local_g3_0 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_t_37 sp4_h_l_37 -.logic_tile 9 7 -LC_0 1000000000000000 0000 -LC_1 1000001001000001 0000 -LC_2 0000001000000000 0000 -LC_3 0000001000000000 0000 -LC_4 1110000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0001000000000000 0000 +.logic_tile 7 10 +LC_0 0010000000000000 0000 +LC_1 0110011001100110 0000 +LC_4 0000001000000000 0000 +LC_5 1001011010010110 0000 +LC_6 1011111000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_0/in_0 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_0/in_3 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_1 lutff_1/in_3 -buffer local_g3_3 lutff_3/in_1 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_0/out sp4_v_b_32 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_6 lutff_6/in_3 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_h_r_32 buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g0_4 +buffer lutff_1/out sp4_r_v_b_19 buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_17 local_g0_1 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_tnl_6 local_g2_6 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_20 local_g0_4 +routing sp12_v_t_23 sp12_h_l_23 +routing sp4_v_b_11 sp4_v_t_46 + +.logic_tile 2 2 +LC_0 0001000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0001000000000000 0000 +LC_7 0001000000000000 0000 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_4 lutff_0/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_r_v_b_15 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_17 local_g1_1 buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_36 local_g2_4 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_6 sp4_v_b_6 -routing sp4_h_r_7 sp4_v_b_0 -routing sp4_h_r_9 sp4_h_l_37 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_t_44 sp4_h_r_9 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_v_b_23 local_g0_7 +routing sp4_v_t_36 sp4_v_b_4 +routing sp4_v_t_47 sp4_h_r_10 -.logic_tile 6 4 -ColBufCtrl glb_netwk_3 -LC_0 0000111000000000 0000 -LC_1 1110111011100000 0000 -LC_2 1110111011100000 0000 -LC_3 1110111011100000 0000 -LC_4 0001000000000000 0000 -LC_5 1110111011100000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_0/in_2 +.logic_tile 1 10 +LC_1 1000000000000000 0000 +LC_6 1000000000000000 0000 +buffer local_g1_4 lutff_1/in_0 +buffer local_g3_7 lutff_6/in_0 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_rgt_7 local_g3_7 + +.logic_tile 8 6 +LC_1 0000000000001110 0000 +LC_2 0010000000000000 0000 +LC_3 0000000000001001 0000 +LC_4 1001000000001001 0000 +LC_5 1001000000001001 0000 +LC_6 1001000000001001 0000 +LC_7 0000100100000000 0000 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_5 glb2local_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_5 lutff_3/in_3 buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_3/in_3 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_4/in_2 buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_2/in_2 +buffer local_g2_2 lutff_6/in_2 buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_2/in_3 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_7 lutff_2/in_0 +buffer local_g2_4 lutff_1/in_3 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_6 lutff_4/in_3 +buffer local_g3_7 lutff_6/in_0 buffer lutff_1/out sp12_h_r_10 -buffer lutff_3/out sp12_h_r_14 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out local_g1_3 buffer lutff_4/out local_g1_4 buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnr_3 local_g1_3 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_0 local_g0_0 -buffer sp12_v_b_10 local_g3_2 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g0_7 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_tnl_5 local_g3_5 +buffer neigh_op_tnl_6 local_g3_6 +buffer sp12_h_r_14 local_g0_6 buffer sp12_v_b_15 local_g3_7 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_6 local_g2_6 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_r_v_b_39 local_g2_7 buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_16 local_g1_0 buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_24 local_g3_0 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_34 local_g2_2 buffer sp4_v_b_43 local_g2_3 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_t_40 sp4_v_b_8 -routing sp4_v_t_44 sp4_h_l_44 -routing sp4_v_t_45 sp4_h_l_45 -routing sp4_v_t_47 sp4_h_l_47 +routing sp4_h_l_41 sp4_v_b_10 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_r_3 sp4_h_l_43 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_v_b_10 sp4_v_t_47 -.logic_tile 5 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 1010101010101000 0000 -LC_1 0000000000001011 0000 -LC_2 1010110000000000 0000 -LC_3 0000000010000000 0000 -LC_4 1010101000111111 0000 -LC_5 1011111100000000 0000 -LC_6 0000101100000000 0000 -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_1/in_1 +.logic_tile 8 9 +LC_0 0011001101010011 0000 +LC_1 0000000011100000 0000 +LC_2 0001000000000000 0000 +LC_3 1111111101010011 0000 +LC_4 0001000000000000 0000 +LC_5 0000111000110011 0000 +LC_6 0000000011100000 0100 DffEnable +LC_7 0000000011100011 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_0/in_0 buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_5 lutff_4/in_3 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_5 lutff_3/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_0 local_g3_0 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_21 local_g1_5 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_6 local_g0_6 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_30 local_g3_6 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_38 sp4_h_r_6 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_v_t_36 sp4_h_r_1 + +.logic_tile 4 1 +LC_0 0000000000000001 0000 +LC_5 1111001000000000 0000 +LC_7 1000000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_6 lutff_0/in_3 buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_2 local_g2_2 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_rgt_4 local_g3_4 buffer sp12_h_r_16 local_g0_0 -buffer sp12_h_r_19 local_g0_3 +buffer sp12_h_r_18 local_g0_2 buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_19 local_g3_3 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_29 local_g3_5 -buffer sp4_v_b_41 local_g2_1 -routing sp4_h_r_9 sp4_h_l_44 -routing sp4_v_t_41 sp4_v_b_0 +buffer sp4_h_r_46 local_g3_6 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_v_b_4 sp4_h_r_10 -.logic_tile 11 4 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 1000000000000000 0000 -LC_1 0010000000000000 0000 -LC_2 0101001100000000 0000 -LC_3 0000010000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0001000000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_5/in_1 +.logic_tile 8 11 +LC_0 1110110000001100 0000 +LC_1 0000000100000000 0000 +LC_2 1110000000000000 0000 +LC_3 1111111101111100 0000 +LC_4 0000000000001101 0000 +LC_5 0101110000000000 0000 +LC_6 0101110000000000 0110 DffEnable Set_NoReset +LC_7 0101110000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_global/s_r buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_0/in_0 buffer local_g0_6 lutff_2/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_5 lutff_3/in_1 -buffer local_g3_6 lutff_4/in_3 -buffer lutff_0/out local_g1_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_7 lutff_7/in_2 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_2 lutff_1/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_2/in_2 +buffer lutff_0/out sp12_h_r_8 buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_13 local_g3_5 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_29 local_g2_5 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_40 local_g2_0 -routing sp12_v_b_0 sp12_h_l_23 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_l_45 sp4_h_r_4 -routing sp4_h_r_7 sp4_h_l_38 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_43 sp4_h_l_43 -routing sp4_v_t_45 sp4_h_l_39 -routing sp4_v_t_47 sp4_h_l_41 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_tnl_3 local_g3_3 +buffer neigh_op_tnl_6 local_g2_6 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_19 local_g0_3 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_h_r_21 local_g1_5 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_43 local_g2_3 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_38 sp4_v_b_11 +routing sp4_v_t_41 sp4_v_b_0 -.logic_tile 7 1 -LC_0 0111000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0110100110010110 0000 -LC_3 1011111000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0111000000000000 0101 DffEnable AsyncSetReset -LC_6 0100000000000000 0101 DffEnable AsyncSetReset -LC_7 0100000000000000 0101 DffEnable AsyncSetReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_1/in_3 +.logic_tile 9 7 +LC_0 0000100000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0000001000000000 0000 +LC_3 0101110000000000 0100 DffEnable +LC_4 0101110000000000 0100 DffEnable +LC_5 0101110000000000 0100 DffEnable +LC_6 0101110000000000 0100 DffEnable +LC_7 0101110000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g1_7 lutff_7/in_1 buffer local_g2_0 lutff_2/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g3_2 lutff_2/in_3 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out local_g1_0 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_5 lutff_2/in_0 +buffer lutff_0/out local_g0_0 buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out local_g1_4 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out sp4_v_b_40 buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnr_2 local_g3_2 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_v_b_24 local_g2_0 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_3 sp4_h_l_38 -routing sp4_h_r_7 sp4_h_l_47 -routing sp4_v_t_37 sp4_h_r_0 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_42 sp4_h_r_7 -routing sp4_v_t_43 sp4_h_r_6 - -.logic_tile 6 11 -LC_0 0000000010000000 0000 -LC_1 0000010000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0100000000000000 0000 -LC_4 1111010000000000 0000 -LC_5 0100000000000000 0000 -LC_6 0000111000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_5 lutff_0/in_0 -buffer local_g3_6 lutff_0/in_3 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_bnl_1 local_g2_1 -buffer neigh_op_bnl_1 local_g3_1 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_top_2 local_g0_2 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_30 local_g1_6 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_lft_0 local_g1_0 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_v_b_10 local_g3_2 +buffer sp4_h_r_37 local_g3_5 buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_31 local_g3_7 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_2 sp4_h_r_8 +buffer sp4_v_b_32 local_g2_0 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_7 local_g1_7 +routing sp12_v_t_23 sp12_h_r_0 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_r_1 sp4_v_b_1 +routing sp4_h_r_6 sp4_v_t_37 routing sp4_v_b_7 sp4_h_l_37 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_44 sp4_h_l_44 -routing sp4_v_t_46 sp4_h_r_11 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_t_45 sp4_v_b_4 -.logic_tile 1 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 +.logic_tile 6 4 ColBufCtrl glb_netwk_5 -LC_1 1111110100000000 0000 -LC_2 1000000000000000 0000 -LC_5 1000001000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_6 lutff_5/in_3 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_7 lutff_1/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out sp4_r_v_b_37 -buffer neigh_op_tnr_5 local_g2_5 -buffer neigh_op_tnr_6 local_g2_6 -buffer neigh_op_tnr_7 local_g2_7 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_6 local_g0_6 -routing sp4_h_l_40 sp4_h_r_1 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_v_b_0 sp4_h_l_40 - -.logic_tile 12 7 -LC_0 0010000000000000 0000 -LC_1 1000001001000001 0000 -LC_3 1000001001000001 0000 -LC_4 0000000100000000 0000 -LC_5 0010000000000000 0000 -LC_6 1000010000100001 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_6/in_1 -buffer local_g2_2 lutff_1/in_3 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_3/in_3 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_3/in_2 -buffer lutff_0/out sp4_h_r_32 +ColBufCtrl glb_netwk_6 +LC_0 0000100011111110 0000 +LC_1 1111011100000000 0000 +LC_2 1111011000000000 0000 +LC_3 0010000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0000000011111110 0000 +LC_6 1000000000000000 0100 DffEnable +LC_7 1111001000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_2/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_7 lutff_0/in_3 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp12_v_b_16 buffer lutff_0/out sp4_v_b_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g0_1 -buffer lutff_3/out lutff_4/in_2 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_42 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out sp12_h_r_18 buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_v_b_30 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp12_v_b_12 local_g3_4 -buffer sp12_v_b_18 local_g2_2 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_3 local_g0_3 -routing sp12_h_l_23 sp12_v_b_0 -routing sp12_h_l_23 sp12_v_t_23 -routing sp4_h_r_6 sp4_h_l_44 -routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_b_4 sp4_v_t_41 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_b_8 sp4_v_t_46 -routing sp4_v_t_39 sp4_h_l_39 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_46 sp4_h_r_11 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_tnl_7 local_g2_7 +buffer neigh_op_top_7 local_g0_7 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_v_b_19 sp4_v_b_21 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_34 local_g3_2 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_h_r_8 sp4_v_t_45 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_t_40 sp4_v_b_1 -.logic_tile 11 9 -LC_0 0110100110010110 0000 -LC_1 0000000000001000 0000 +.logic_tile 5 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0000000000000010 0000 +LC_1 0000000011111101 0000 LC_2 1000000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0110000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_1/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_0/in_1 +LC_3 1100010100000000 0000 +LC_4 0000000000110101 0000 +LC_5 0000111000000000 0000 +LC_6 0111000000000000 0100 DffEnable +LC_7 0001000111110001 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_1 lutff_5/in_2 buffer local_g2_2 lutff_1/in_1 -buffer local_g2_6 lutff_0/in_2 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_3 lutff_1/in_3 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_v_b_24 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_4 lutff_1/in_3 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_5 lutff_2/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g0_3 buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_tnr_2 local_g3_2 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_r_v_b_14 local_g2_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_tnr_7 local_g2_7 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_23 local_g0_7 +buffer sp12_v_b_3 sp4_v_b_13 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_10 local_g2_2 buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_6 local_g1_6 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_38 local_g3_6 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_36 local_g3_4 buffer sp4_v_b_40 local_g2_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_2 sp4_h_l_47 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_43 sp4_v_b_9 +buffer sp4_v_b_46 local_g2_6 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_l_45 sp4_h_r_4 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_b_2 sp4_h_l_39 +routing sp4_v_b_2 sp4_v_t_47 +routing sp4_v_t_45 sp4_h_r_1 +routing sp4_v_t_46 sp4_h_r_11 -.logic_tile 12 11 +.logic_tile 11 4 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 1010110000000000 0000 +LC_1 1100010100000000 0110 DffEnable Set_NoReset +LC_2 0001111111111111 0110 DffEnable Set_NoReset +LC_3 0101001100000000 0110 DffEnable Set_NoReset +LC_4 0111000000000000 0110 DffEnable Set_NoReset +LC_5 0111000000000000 0110 DffEnable Set_NoReset +LC_6 0111000000000000 0110 DffEnable Set_NoReset +LC_7 0111000000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_2/in_1 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_2/in_3 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_6 lutff_3/in_1 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g3_1 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_h_r_22 local_g0_6 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_t_45 sp4_v_b_8 + +.logic_tile 7 1 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_3 1000000000000000 0000 -LC_4 0000000100000000 0000 -LC_5 0001000000000000 0000 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_4 lutff_4/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_4 lutff_5/in_2 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_7 lutff_4/in_1 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp4_v_b_10 -buffer sp12_h_r_9 local_g0_1 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_h_r_47 local_g3_7 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_41 local_g2_1 -routing sp4_v_b_5 sp4_v_t_40 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_44 sp4_h_l_44 +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1000000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_1 lutff_3/in_2 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_h_r_20 local_g1_4 +buffer sp4_r_v_b_33 local_g2_1 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_9 sp4_v_t_38 -.logic_tile 4 14 -LC_0 0101001100000000 0000 -LC_1 0101001100000000 0000 -LC_2 0101001100000000 0000 -LC_3 0101001100000000 0000 -LC_4 0000000001100000 0000 -LC_5 0101001100000000 0000 -LC_6 0101001100000000 0000 +.logic_tile 6 11 +LC_0 0000001000000000 0000 +LC_1 0000001000000000 0000 +LC_2 0000000000001101 0000 +LC_3 1101000011111111 0000 +LC_4 0010000000000000 0000 +LC_5 0000000100000000 0000 +LC_6 1000000000001000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk +buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_3/in_1 buffer local_g0_1 lutff_1/in_0 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_2 lutff_global/cen +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_3 lutff_0/in_1 buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_4 lutff_6/in_1 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_7 lutff_1/in_1 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_5 lutff_6/in_2 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_6 lutff_5/in_2 +buffer local_g3_7 lutff_2/in_0 buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnl_6 local_g3_6 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_v_b_14 +buffer lutff_7/out sp4_v_b_30 buffer neigh_op_bnl_7 local_g3_7 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_5 local_g1_5 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_35 local_g2_3 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_36 local_g2_4 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_tnl_6 local_g2_6 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_v_b_5 local_g2_5 +buffer sp12_v_b_5 local_g3_5 +buffer sp12_v_b_6 local_g3_6 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_8 local_g2_0 buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_6 local_g1_6 -buffer sp4_v_b_8 local_g0_0 -routing sp12_h_r_1 sp12_v_b_1 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_27 local_g2_3 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_b_9 sp4_v_t_44 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_38 sp4_h_r_8 +routing sp4_v_t_39 sp4_h_r_7 +routing sp4_v_t_46 sp4_h_l_46 -.logic_tile 2 10 +.logic_tile 1 13 CarryInSet +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0000000011111111 0000 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 +LC_2 0000000011111111 1000 CarryEnable +LC_3 1001100101100110 0000 +LC_4 1101000000000000 0100 DffEnable +LC_5 1101000000000000 0100 DffEnable +LC_6 0000100100000000 0100 DffEnable +LC_7 1101000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_5 lutff_6/in_2 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/cout lutff_7/in_3 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_r_7 sp4_v_b_7 - -.logic_tile 9 10 -LC_0 0000011100000000 0000 -LC_1 0101001100000000 0000 -LC_2 1010101010101000 0000 -LC_3 1010101010101000 0000 -LC_4 0101001100000000 0000 -LC_5 0100000000000000 0000 -LC_6 0101001100000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_4 lutff_1/in_1 +buffer local_g0_3 lutff_7/in_0 buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_2/in_3 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_1/in_2 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_3/in_3 -buffer local_g3_4 lutff_3/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_3 lutff_4/in_1 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_5 lutff_4/in_0 buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_6 local_g0_6 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_21 local_g0_5 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_1 local_g2_1 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_r_v_b_20 local_g3_4 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_34 local_g3_2 -routing sp12_v_b_1 sp12_v_t_22 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_42 sp4_h_r_10 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_h_r_4 sp4_v_t_41 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_t_37 sp4_h_r_0 -routing sp4_v_t_38 sp4_h_r_3 -routing sp4_v_t_42 sp4_v_b_7 -routing sp4_v_t_44 sp4_h_r_2 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g1_7 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_26 local_g0_2 -.logic_tile 5 15 +.logic_tile 12 7 +LC_0 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer local_g2_2 lutff_0/in_0 +buffer local_g3_0 lutff_5/in_0 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_5/out sp12_h_r_18 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_tnr_0 local_g3_0 +routing sp4_h_l_44 sp4_v_t_44 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_b_6 sp4_h_l_46 + +.logic_tile 11 9 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000000000000000 0000 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_4 lutff_5/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g0_5 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp4_h_r_8 local_g1_0 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_v_b_11 sp4_h_l_46 +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +LC_4 1010110000000000 0000 +LC_5 1010110000000000 0000 +LC_6 1010110000000000 0000 +LC_7 1010001100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_2/in_2 +buffer local_g3_6 lutff_1/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g2_7 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_3 local_g0_3 +buffer sp12_v_b_17 local_g2_1 +buffer sp12_v_b_3 local_g3_3 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_11 local_g1_3 +buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_t_41 sp4_v_b_4 +routing sp4_v_t_45 sp4_v_b_8 -.logic_tile 6 12 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 0001000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0001000000000000 0000 -LC_3 1110000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000010000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_3/in_3 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_4/in_1 +.logic_tile 12 11 +LC_0 0101001100000000 0100 DffEnable +LC_1 0101010100111100 0100 DffEnable +LC_2 0101010100111100 0100 DffEnable +LC_3 0101001100000000 0100 DffEnable +LC_6 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_1 lutff_0/in_1 buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_2 +buffer local_g2_6 lutff_0/in_2 buffer local_g2_6 lutff_1/in_3 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_6 lutff_7/in_0 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_top_3 local_g1_3 -buffer sp12_v_b_3 local_g2_3 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_33 local_g2_1 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_6 lutff_3/in_2 +buffer local_g3_6 lutff_7/in_2 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnl_3 local_g3_3 buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_7 local_g0_7 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_39 local_g2_7 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_b_4 sp4_h_l_44 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_9 sp4_v_t_44 -.logic_tile 11 12 +.logic_tile 4 14 CarryInSet -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_0 lutff_2/in_2 +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_4 0000000011111111 1000 CarryEnable +LC_5 0000000011111111 1000 CarryEnable +LC_6 1001100101100110 0000 +LC_7 1010010101011010 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_1/in_1 buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer neigh_op_bnr_3 local_g0_3 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_6 local_g1_6 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_3 lutff_5/in_2 +buffer local_g3_3 lutff_4/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_4 local_g0_4 buffer neigh_op_top_5 local_g0_5 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_22 local_g0_6 -buffer sp4_v_b_15 local_g0_7 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_v_b_3 sp4_h_l_38 +buffer neigh_op_top_6 local_g0_6 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_19 local_g0_3 +routing sp12_h_r_0 sp12_h_l_23 +routing sp4_v_t_36 sp4_h_r_6 -.logic_tile 4 11 -LC_0 0000111000000000 0000 -LC_1 0001000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0000110010101010 0000 -LC_4 0001000000000000 0000 -LC_5 0000000000001110 0000 -LC_6 0001000000000000 0000 -LC_7 0000111000000000 0000 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_3/in_3 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_0/in_3 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_5/in_2 +.logic_tile 2 10 +LC_0 0001000000000000 0000 +LC_1 0000100000000000 0000 +LC_2 1111111110111101 0000 +LC_3 0001000000000000 0000 +LC_4 0000000000001000 0000 +LC_5 0000001000000000 0000 +LC_6 1001011010010110 0100 DffEnable +LC_7 1001100101100110 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_1/in_2 buffer local_g2_5 lutff_2/in_1 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_6 lutff_6/in_0 +buffer local_g2_5 lutff_4/in_1 buffer local_g2_6 lutff_7/in_1 -buffer local_g2_7 lutff_2/in_3 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g1_2 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out local_g1_1 buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g2_5 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp4_h_r_10 buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g2_7 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_4 local_g0_4 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_33 local_g2_1 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_23 local_g0_7 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_1 sp4_v_t_44 -routing sp4_v_b_2 sp4_v_t_39 -routing sp4_v_b_5 sp4_h_l_47 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_41 sp4_h_r_4 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g0_3 +buffer sp12_v_b_0 local_g2_0 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_35 local_g3_3 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_v_b_11 sp4_h_r_11 +routing sp4_v_t_36 sp4_h_r_6 -.logic_tile 7 9 -LC_0 0000000011111101 0000 -LC_1 1010100010101010 0000 -LC_2 0001000000000000 0000 -LC_3 0000110100000000 0000 -LC_4 0000000100000000 0000 -LC_5 0000000000001011 0000 -LC_6 0000000000100000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_5/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_6 lutff_1/in_3 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_4 lutff_6/in_3 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g3_3 -buffer lutff_4/out lutff_5/in_2 +.logic_tile 9 10 +LC_0 1010110000000000 0000 +LC_1 0000000000000010 0000 +LC_2 1010110000000000 0000 +LC_3 1101000000000000 0000 +LC_4 1100010100000000 0000 +LC_5 0001000000000000 0000 +LC_6 1010110000000000 0000 +LC_7 1010010101011010 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_global/s_r +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_7 lutff_1/in_3 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp12_h_r_16 buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_tnl_4 local_g2_4 -buffer neigh_op_tnr_0 local_g2_0 -buffer neigh_op_tnr_6 local_g3_6 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_h_r_6 local_g1_6 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_41 local_g2_1 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_46 sp4_v_b_7 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_top_1 local_g0_1 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_v_b_7 local_g3_7 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_41 local_g3_1 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_l_45 sp4_v_t_36 -.logic_tile 2 7 +.logic_tile 5 15 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0000000011111111 0000 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_6/in_2 +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +LC_4 0000100000000000 0100 DffEnable +LC_5 0000100010000000 0100 DffEnable +LC_6 0000100000000000 0100 DffEnable +LC_7 0010111100000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_3/in_2 buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_5 lutff_1/in_2 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_6 lutff_5/in_0 +buffer local_g3_6 lutff_7/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/cout lutff_5/in_3 +buffer lutff_3/out local_g1_3 buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/cout lutff_6/in_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_v_b_40 buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_v_b_46 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_42 local_g2_2 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_42 sp4_h_r_3 -routing sp4_h_r_8 sp4_v_t_39 -routing sp4_v_t_37 sp4_v_b_8 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_43 sp4_h_r_11 - -.logic_tile 9 13 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_7 -LC_0 0110100110010110 0000 -LC_1 0110100110010110 0000 -LC_2 0001010000000000 0100 DffEnable -LC_3 0000010000000000 0100 DffEnable -LC_5 0000010000000000 0100 DffEnable -LC_6 1111001000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_5 lutff_5/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_0/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_5/out local_g1_5 buffer lutff_5/out sp12_h_r_18 buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g3_6 -buffer lutff_6/out sp12_h_r_4 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g1_6 buffer lutff_6/out sp12_v_b_12 -buffer neigh_op_lft_5 local_g1_5 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_14 local_g0_6 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_v_b_5 sp4_h_r_5 -routing sp4_v_t_42 sp4_h_r_0 -routing sp4_v_t_43 sp4_h_l_37 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_v_b_14 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_top_1 local_g1_1 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp12_v_b_5 local_g2_5 +buffer sp12_v_b_5 local_g3_5 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_v_b_46 local_g2_6 +buffer sp4_v_b_46 local_g3_6 +routing sp4_h_l_37 sp4_v_b_6 -.logic_tile 8 3 -LC_0 1100101000110101 0000 -LC_1 0000000010001110 0000 -LC_2 0101001100000000 0000 -LC_3 0011010100000000 0100 DffEnable -LC_4 0011010100000000 0100 DffEnable -LC_5 0010101011111111 0100 DffEnable -LC_6 0011010100000000 0100 DffEnable -LC_7 0011010100000000 0100 DffEnable -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_1 +.logic_tile 6 12 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0000000100000000 0000 +LC_1 0001000000000000 0000 +LC_2 0000000100000000 0000 +LC_3 0000000000001110 0000 +LC_4 0000000000000010 0000 +LC_5 0010000000000000 0000 +LC_6 0000001011111111 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_6/in_1 buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_1 lutff_5/in_3 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g0_7 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_3/in_3 +buffer local_g3_6 lutff_4/in_3 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp12_h_r_22 buffer lutff_7/out sp4_h_r_30 buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_1 local_g3_1 -buffer sp12_h_r_19 local_g0_3 -buffer sp12_h_r_3 local_g1_3 -buffer sp12_v_b_7 local_g2_7 -buffer sp12_v_b_7 local_g3_7 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_28 local_g2_4 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_r_8 sp4_h_l_41 -routing sp4_v_t_41 sp4_h_r_4 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_bnr_0 local_g1_0 +buffer neigh_op_bnr_2 local_g0_2 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_tnr_6 local_g3_6 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_v_b_1 local_g2_1 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_39 local_g3_7 +buffer sp4_v_b_43 local_g3_3 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_42 sp4_h_r_7 + +.logic_tile 11 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +LC_4 0001000000000000 0000 +LC_5 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_4/in_0 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_42 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_5 local_g1_5 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_1 sp4_v_t_41 -.logic_tile 5 10 -LC_0 0000000000010000 0000 -LC_1 0001000000000000 0000 +.logic_tile 4 11 +LC_0 0001000000000000 0000 +LC_1 0000000010110000 0000 LC_2 0001000000000000 0000 -LC_3 1010111000000000 0000 -LC_4 0010000000000000 0000 -LC_5 1110000000000000 0000 -LC_6 1111000100000000 0000 -LC_7 0000010000000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_6 glb2local_0 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_1/in_1 +LC_3 0000111011111111 0000 +LC_4 0001000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 1110111011110000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_6 lutff_3/in_2 +buffer local_g3_7 lutff_1/in_3 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_top_0 local_g0_0 +buffer neigh_op_top_3 local_g0_3 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_v_b_23 local_g3_7 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_r_9 sp4_v_b_9 + +.logic_tile 7 9 +LC_0 0000000010000000 0000 +LC_1 1000000100011000 0000 +LC_2 0000000010000000 0000 +LC_3 0000001000000000 0000 +LC_4 0000000010000010 0000 +LC_5 0010000000000000 0000 +LC_6 0000100000000000 0000 +LC_7 0000100100000000 0000 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_6/in_1 buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_6/in_0 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_1/in_3 buffer local_g2_4 lutff_3/in_1 -buffer local_g3_0 lutff_0/in_1 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_4 lutff_2/in_3 +buffer local_g3_6 lutff_1/in_2 buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g1_1 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp12_h_r_10 buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_bnl_0 local_g2_0 -buffer neigh_op_bnl_0 local_g3_0 -buffer neigh_op_lft_2 local_g1_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_h_r_22 buffer neigh_op_top_1 local_g0_1 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_3 local_g1_3 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp12_v_b_23 sp4_v_b_23 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_31 local_g1_7 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_35 local_g0_0 buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_0 local_g1_0 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_39 sp4_v_b_2 +buffer sp4_r_v_b_44 local_g3_4 +routing sp12_v_t_22 sp12_h_r_1 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_v_b_2 sp4_h_l_39 +routing sp4_v_t_39 sp4_v_b_5 + +.logic_tile 2 7 +LC_0 0100000000000000 0100 DffEnable +LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_6/in_0 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_0/in_0 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_tnl_0 local_g3_0 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_tnl_2 local_g3_2 +buffer neigh_op_tnl_3 local_g3_3 +buffer neigh_op_tnl_4 local_g2_4 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp4_v_b_34 local_g2_2 +routing sp4_h_r_1 sp4_v_t_36 +routing sp4_h_r_8 sp4_v_b_8 +routing sp4_v_t_36 sp4_h_l_42 + +.logic_tile 9 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0000000000000010 0000 +LC_1 0000001000000000 0000 +LC_2 0000110100000000 0000 +LC_3 0000000000001101 0000 +LC_4 0000000000001000 0000 +LC_5 1101000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 1000000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_v_b_14 local_g2_6 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_31 local_g2_7 +routing sp12_v_b_0 sp12_h_r_0 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_v_b_5 sp4_v_t_40 +routing sp4_v_b_5 sp4_v_t_45 -.logic_tile 4 6 -LC_0 0001010000000000 0000 -LC_1 0110100110010110 0000 -LC_2 1000000000000000 0000 -LC_3 0000000001010111 0000 -LC_4 1101111100000000 0100 DffEnable -LC_5 0100000100000000 0100 DffEnable -LC_6 1101111100000000 0100 DffEnable -LC_7 1101111100000000 0100 DffEnable +.logic_tile 8 3 +LC_0 0001000000000000 0000 +LC_1 1001100101100110 0000 +LC_2 0000100000000000 0000 +LC_3 0001000000000000 0000 +LC_5 0000100000000000 0000 +LC_6 0000100000000000 0000 +LC_7 0010001011110010 0110 DffEnable Set_NoReset buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_0 lutff_6/in_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_global/cen +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_4 lutff_5/in_2 buffer local_g1_5 lutff_2/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_3/in_1 +buffer local_g1_7 lutff_7/in_3 +buffer local_g2_3 lutff_2/in_1 +buffer local_g3_0 lutff_3/in_0 buffer local_g3_1 lutff_2/in_0 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_5 lutff_1/in_3 -buffer local_g3_6 lutff_4/in_1 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_6 lutff_6/in_1 -buffer local_g3_7 lutff_1/in_1 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g3_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_7/out local_g0_7 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g0_6 buffer lutff_7/out local_g3_7 -buffer neigh_op_bot_2 local_g1_2 -buffer sp12_h_r_5 local_g1_5 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_38 local_g3_6 -buffer sp4_v_b_45 local_g2_5 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_l_40 sp4_v_t_47 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_45 sp4_v_b_11 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_bot_5 local_g0_5 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_r_0 sp4_h_l_45 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_v_t_43 sp4_h_l_43 -.logic_tile 8 13 -ColBufCtrl glb_netwk_3 -LC_0 1100101000000000 0000 -LC_1 0000010000000000 0000 -LC_2 1100101000000000 0000 -LC_3 1100101000000000 0000 -LC_4 0000000001000000 0000 -LC_5 0000000010000000 0000 -LC_6 0101001100000000 0110 DffEnable Set_NoReset -LC_7 0101001100000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_global/cen +.logic_tile 5 10 +LC_0 0000000001111111 0000 +LC_1 0000000000001000 0000 +LC_2 0000000000001000 0000 +LC_3 0010000000000000 0000 +LC_4 0000001000000000 0000 +LC_5 0000000000000010 0000 +LC_6 0000111111101110 0000 +LC_7 0000000000001000 0000 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_3/in_0 buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g0_7 lutff_2/in_3 buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_3/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_5/in_0 buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_7 lutff_7/in_2 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_5/in_3 -buffer local_g3_5 lutff_6/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g3_1 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out local_g2_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnr_7 local_g1_7 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_tnl_7 local_g2_7 -buffer neigh_op_tnr_7 local_g3_7 -buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_h_r_9 local_g1_1 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_21 local_g0_5 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_7 lutff_2/in_0 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_5 lutff_7/in_2 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_6 lutff_7/in_0 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_tnr_6 local_g2_6 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_3 local_g0_3 +buffer sp12_v_b_0 local_g2_0 +buffer sp12_v_b_19 local_g3_3 +buffer sp12_v_b_21 local_g2_5 +buffer sp12_v_b_23 local_g3_7 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_v_b_25 local_g3_1 buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_5 local_g1_5 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_v_b_3 sp4_h_r_9 -routing sp4_v_b_5 sp4_h_l_40 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_39 sp4_v_b_2 -routing sp4_v_t_41 sp4_v_b_0 +buffer sp4_v_b_30 local_g3_6 +buffer sp4_v_b_7 local_g0_7 +routing sp12_v_b_0 sp12_v_t_23 +routing sp12_v_t_22 sp12_h_l_22 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_r_8 sp4_v_t_45 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_t_36 sp4_v_b_9 +routing sp4_v_t_46 sp4_v_b_7 +routing sp4_v_t_47 sp4_v_b_6 -.logic_tile 9 2 -LC_0 0111010100000000 0000 -LC_1 1000000000000000 0000 -LC_2 0100000100000000 0000 -LC_3 0111000000000000 0000 -LC_4 0100000000000000 0000 -LC_5 0110100110010110 0000 +.logic_tile 4 6 +LC_0 1001000000001001 0000 +LC_1 0010000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_7/in_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_0/in_3 buffer local_g0_4 lutff_0/in_2 buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_global/s_r -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_5 lutff_4/in_1 -buffer local_g3_7 lutff_2/in_2 -buffer lutff_0/out sp12_v_b_16 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_5 lutff_global/s_r buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_4/out local_g0_4 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_h_r_30 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_v_b_15 local_g2_7 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_40 local_g2_0 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_h_l_46 sp4_h_r_11 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_5 sp4_v_t_36 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_t_36 sp4_h_l_42 + +.logic_tile 8 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 1101000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 1101000000000000 0000 +LC_3 0001000000000000 0000 +LC_4 0101001100000000 0000 +LC_5 0000100000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_2/in_3 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_7 lutff_1/in_0 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_1 lutff_1/in_1 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp12_v_b_8 buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp12_h_r_4 buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp4_v_b_14 -buffer sp12_h_r_12 local_g1_4 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bnr_5 local_g0_5 buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_23 local_g3_7 -buffer sp4_h_r_16 local_g0_0 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_v_b_1 local_g2_1 +buffer sp12_v_b_1 local_g3_1 +buffer sp12_v_b_10 local_g3_2 buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_21 local_g0_5 buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_46 local_g2_6 buffer sp4_h_r_6 local_g0_6 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_5 local_g1_5 -routing sp12_h_r_0 sp12_v_t_23 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_38 sp4_h_r_6 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_h_r_6 sp4_h_l_43 -routing sp4_h_r_9 sp4_v_t_38 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_t_43 sp4_v_b_2 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_24 local_g2_0 +buffer sp4_v_b_42 local_g2_2 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_r_1 sp4_v_b_1 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_v_b_5 sp4_v_t_40 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_t_44 sp4_h_l_44 + +.logic_tile 9 2 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1001000000000000 0000 +LC_6 0101010100111100 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_7/in_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_6 lutff_6/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_lft_7 local_g1_7 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_17 local_g1_1 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp12_v_b_23 sp4_v_b_23 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_44 sp4_v_b_3 .logic_tile 6 1 -LC_0 0000000000010000 0000 -LC_2 0100000000000000 0000 -LC_3 0001000000000000 0000 -LC_5 0000000000000001 0000 -LC_6 0000000000000001 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_3/in_0 +LC_0 1000000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 0001000000000000 0000 +LC_6 0000000100000000 0000 +LC_7 0101001100000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_5 glb2local_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_2/in_0 buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_7 lutff_0/in_2 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_6 lutff_5/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_r_v_b_33 +buffer local_g1_3 lutff_global/cen +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_4 lutff_6/in_2 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_0 lutff_6/in_1 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_r_v_b_3 buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp12_h_r_4 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_tnl_0 local_g2_0 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnl_6 local_g3_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_r_v_b_35 local_g2_3 -routing sp4_h_l_37 sp4_h_r_0 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_7 sp4_h_l_37 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_v_b_30 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_9 local_g1_1 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_r_0 sp4_h_l_38 +routing sp4_v_b_9 sp4_v_t_37 .logic_tile 5 7 -LC_1 0000100000000000 0000 -LC_2 0001000000000000 0000 +LC_0 1000000000000000 0000 +LC_1 1001000000001001 0000 +LC_2 1001000000001001 0000 LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0110100110010110 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_7 lutff_4/in_0 +LC_4 1001000000001001 0000 +LC_5 0000000000000001 0000 +LC_6 0001000000000000 0000 +LC_7 1001000000001001 0000 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_6 lutff_7/in_3 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_7/in_2 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_0 lutff_4/in_3 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_7/out sp4_v_b_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_tnl_7 local_g3_7 -buffer neigh_op_top_3 local_g1_3 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_46 local_g2_6 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_l_39 sp4_v_b_2 -routing sp4_h_r_1 sp4_v_b_6 -routing sp4_h_r_3 sp4_v_b_8 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_t_37 sp4_v_b_3 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_7/out local_g0_7 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_6 local_g0_6 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_v_b_13 local_g3_5 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_33 local_g3_1 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_39 local_g3_7 +buffer sp4_v_b_47 local_g2_7 +routing sp4_h_l_42 sp4_h_r_10 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_b_9 sp4_h_r_3 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_38 sp4_v_b_3 +routing sp4_v_t_39 sp4_v_b_5 +routing sp4_v_t_40 sp4_v_b_1 +routing sp4_v_t_45 sp4_v_b_4 +routing sp4_v_t_45 sp4_v_b_8 .logic_tile 11 3 -LC_0 0100000000000000 0100 DffEnable -LC_1 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_3 lutff_global/clk +LC_0 0000000010000000 0000 +LC_1 0000000000001000 0000 +LC_2 1111001000000000 0000 +LC_3 0101001100000000 0000 +LC_4 0001111000000000 0000 +LC_5 0000000100000000 0000 +LC_6 0101001100000000 0000 +LC_7 0110100110010110 0000 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_0/in_3 buffer local_g0_4 lutff_6/in_0 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_29 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_r_v_b_1 local_g1_1 -routing sp12_h_l_22 sp12_v_t_22 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_l_46 sp4_v_b_11 -routing sp4_h_r_5 sp4_v_t_46 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_b_5 sp4_h_l_40 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_41 sp4_h_r_4 -routing sp4_v_t_45 sp4_h_l_39 - -.logic_tile 6 16 -LC_0 0100000000000000 0000 -LC_1 0000010000000000 0000 -LC_2 1111011100000000 0000 -LC_3 0000001000000000 0000 -LC_4 0010000000000000 0000 -LC_5 0000000011111110 0000 -LC_6 1010101100000000 0000 -LC_7 0100000000000000 0000 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_4 lutff_3/in_3 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_6/in_3 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_7 lutff_3/in_0 buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_6/in_0 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_2 lutff_7/in_1 buffer local_g2_3 lutff_2/in_1 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_1/in_2 -buffer local_g3_4 lutff_5/in_2 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_5 lutff_7/in_1 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out local_g0_5 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bot_2 local_g1_2 -buffer sp12_h_r_6 local_g1_6 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_13 local_g1_5 +buffer local_g2_4 lutff_2/in_2 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp12_h_r_20 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_v_b_8 local_g2_0 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_26 local_g3_2 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_14 local_g1_6 buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_37 local_g3_5 +buffer sp4_v_b_7 local_g0_7 +routing sp12_v_t_22 sp12_h_l_22 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_39 sp4_v_t_42 routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_r_1 sp4_v_b_6 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_b_11 sp4_v_t_46 +routing sp4_v_t_37 sp4_h_l_37 +routing sp4_v_t_42 sp4_v_b_7 -.logic_tile 7 4 -CarryInSet -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 +.logic_tile 6 16 LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 0000 -LC_3 0000000000001011 0000 -LC_4 0001000000000000 0000 -LC_5 1110111011100000 0000 -LC_6 1010101000111111 0000 -LC_7 0000000011100000 0000 -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_1 lutff_7/in_3 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_7/in_2 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_1 lutff_3/in_3 -buffer local_g3_3 lutff_5/in_3 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_1/in_1 +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +LC_4 1001000000000000 0000 +LC_5 0000000000010111 0000 +LC_6 0000001111101000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_0/cout lutff_1/in_3 buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bnr_3 local_g0_3 +buffer neigh_op_bnr_3 local_g1_3 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_bnr_5 local_g0_5 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp12_h_r_10 local_g1_2 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_v_b_0 local_g1_0 +routing sp4_h_l_40 sp4_h_r_5 +routing sp4_v_b_0 sp4_h_l_40 + +.logic_tile 7 4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 1000111100000000 0000 +LC_1 0000000100000000 0000 +LC_2 0010000000000000 0000 +LC_3 1110111011100000 0000 +LC_4 0000000000000001 0000 +LC_5 0000000011111101 0000 +LC_6 0000000001110000 0000 +LC_7 0000000000000010 0000 +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_5 glb2local_0 +buffer glb_netwk_5 glb2local_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_6 lutff_6/in_2 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out local_g1_2 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp12_h_r_18 buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out local_g0_7 -buffer neigh_op_tnr_1 local_g3_1 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_21 local_g0_5 -buffer sp12_v_b_11 local_g3_3 -buffer sp12_v_b_19 local_g2_3 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_25 local_g2_1 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnr_5 local_g1_5 +buffer neigh_op_lft_1 local_g0_1 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp12_v_b_3 sp4_v_b_13 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_47 local_g3_7 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_32 local_g3_0 buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_4 local_g0_4 -buffer sp4_v_b_40 local_g3_0 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_44 local_g3_4 -buffer sp4_v_b_47 local_g2_7 -routing sp12_h_r_1 sp12_v_t_22 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_h_l_37 sp4_h_r_8 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_h_r_1 sp4_v_b_1 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_b_6 sp4_h_r_0 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_41 local_g3_1 +buffer sp4_v_b_42 local_g2_2 .logic_tile 12 13 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 +CarryInSet +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_6 ColBufCtrl glb_netwk_7 -LC_0 0100000000000000 0000 -LC_1 1000000000000000 0000 -LC_3 0100000000000000 0100 DffEnable -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g1_0 lutff_0/in_1 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_0/in_0 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_3/out sp4_v_b_6 -buffer neigh_op_tnl_6 local_g2_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_33 local_g0_2 -routing sp4_v_b_5 sp4_v_t_45 -routing sp4_v_t_46 sp4_h_l_46 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0110100110010110 1000 CarryEnable +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/cout lutff_7/in_3 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g1_7 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_38 sp4_v_b_9 .logic_tile 1 8 -LC_0 0001000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0101010101000101 0000 -LC_6 0010000000000000 0000 -LC_7 0000000100000000 0000 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_6/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_6 lutff_3/in_1 +LC_0 0110100110010110 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 0000 +LC_5 1000000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 0100000000000000 0101 DffEnable AsyncSetReset +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_6 lutff_4/in_2 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g2_5 lutff_7/in_0 buffer local_g2_7 lutff_5/in_0 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_5 lutff_0/in_2 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out local_g2_0 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_3/out local_g1_3 +buffer local_g3_5 lutff_6/in_0 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp4_r_v_b_9 buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp12_v_b_14 buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_bnr_0 local_g1_0 +buffer neigh_op_bnr_1 local_g1_1 buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bnr_5 local_g1_5 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_rgt_7 local_g2_7 buffer neigh_op_tnr_5 local_g3_5 -buffer neigh_op_tnr_6 local_g3_6 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_v_b_15 local_g2_7 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_38 local_g2_6 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_43 sp4_h_r_6 +buffer sp12_v_b_13 local_g2_5 +buffer sp4_v_b_18 local_g0_2 .logic_tile 8 8 -LC_0 0100000000000000 0000 -LC_1 0110100110010110 0000 -LC_2 0000000100000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000000000010000 0000 -LC_7 0100000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_3 lutff_global/clk +LC_0 0000000011100000 0000 +LC_1 0000000011100000 0000 +LC_2 0000000000001110 0000 +LC_3 0000000011100000 0000 +LC_4 1111111111001010 0000 +LC_5 0001000000000000 0000 +LC_6 1100101000000000 0000 +LC_7 0000000000001000 0000 buffer local_g0_0 lutff_2/in_0 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_6 lutff_4/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_6 lutff_5/in_2 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_7/in_1 buffer local_g1_7 lutff_1/in_3 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_4 lutff_global/s_r -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_7 lutff_2/in_3 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_7 lutff_5/in_3 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_7/out sp4_h_r_46 -buffer sp12_h_r_12 sp4_h_r_18 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_5 lutff_7/in_3 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_1/out local_g3_1 +buffer lutff_5/out local_g0_5 +buffer lutff_7/out local_g0_7 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnl_3 local_g3_3 +buffer neigh_op_tnl_4 local_g2_4 +buffer neigh_op_tnl_4 local_g3_4 +buffer neigh_op_tnl_5 local_g2_5 +buffer sp12_h_r_19 local_g1_3 buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_25 local_g0_1 buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_46 local_g2_6 -buffer sp4_v_b_47 local_g2_7 -buffer sp4_v_b_47 local_g3_7 -routing sp12_v_b_0 sp12_h_r_0 -routing sp12_v_b_1 sp12_v_t_22 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_5 sp4_h_l_45 -routing sp4_v_t_44 sp4_h_l_44 -routing sp4_v_t_47 sp4_h_r_3 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_40 local_g3_0 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_40 sp4_h_r_8 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_b_8 sp4_v_t_46 .logic_tile 4 3 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0110100110010110 1100 CarryEnable DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_2/in_2 +LC_0 1000000000000000 0000 +LC_1 0001000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000111101110111 0100 DffEnable +LC_4 0000111101110111 0100 DffEnable +LC_5 0000111101110111 0100 DffEnable +LC_6 0000111101110111 0100 DffEnable +LC_7 0000111101110111 0100 DffEnable +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_5/in_1 buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g0_3 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_4 lutff_7/in_3 +buffer local_g2_5 lutff_1/in_0 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_4 lutff_6/in_3 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out sp4_h_r_36 buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out local_g0_5 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_v_b_40 buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_h_r_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_v_b_44 buffer lutff_7/out sp4_r_v_b_15 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_r_v_b_6 local_g1_6 -routing sp4_h_l_42 sp4_v_t_42 -routing sp4_v_t_38 sp4_h_l_44 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_tnr_4 local_g2_4 +buffer neigh_op_tnr_4 local_g3_4 +buffer neigh_op_top_4 local_g0_4 +buffer neigh_op_top_4 local_g1_4 +buffer sp12_h_r_16 local_g0_0 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_3 local_g1_3 +routing sp4_h_r_8 sp4_h_l_41 +routing sp4_v_t_39 sp4_h_r_2 +routing sp4_v_t_43 sp4_h_r_11 .logic_tile 2 15 -LC_4 1001000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_h_r_24 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp4_h_r_18 local_g0_2 -routing sp4_h_l_42 sp4_h_r_7 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_10 sp4_v_b_10 +LC_0 0000001000000000 0000 +LC_1 1000000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000000100000000 0000 +LC_4 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0000000010000000 0000 +LC_7 0110000000000000 0100 DffEnable +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_6/in_3 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_4/in_0 +buffer local_g3_0 lutff_1/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_47 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_18 sp4_h_r_21 +buffer sp12_h_r_8 local_g0_0 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_19 local_g0_3 routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_h_r_4 sp4_v_b_9 routing sp4_h_r_6 sp4_v_b_11 +routing sp4_v_b_1 sp4_h_r_1 .logic_tile 9 5 ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_7 -LC_0 0110100110010110 0000 -LC_1 1000000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 1000000000000000 0100 DffEnable -LC_4 0011010100000000 0100 DffEnable -LC_5 0011010100000000 0100 DffEnable -LC_6 1000101011111111 0100 DffEnable -LC_7 0011010100000000 0100 DffEnable -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_5 lutff_7/in_2 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_4 lutff_6/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out local_g3_2 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp12_h_r_6 -buffer sp12_h_r_1 local_g0_1 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_11 local_g3_3 -buffer sp12_v_b_16 local_g2_0 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_19 local_g2_3 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_45 local_g3_5 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_41 sp4_h_r_0 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_h_r_10 sp4_v_t_41 -routing sp4_h_r_8 sp4_h_l_41 -routing sp4_v_b_5 sp4_h_r_5 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_45 sp4_h_l_39 -routing sp4_v_t_46 sp4_v_b_7 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_2 1101000000000000 0000 +LC_4 1011111000000000 0000 +LC_6 0000000100000000 0000 +LC_7 0000100000000000 0000 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_5 glb2local_1 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_2/in_0 +buffer local_g3_3 lutff_7/in_1 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_lft_5 local_g1_5 +buffer sp12_h_r_11 local_g1_3 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_4 local_g0_4 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_v_b_1 sp4_v_t_44 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_8 sp4_v_t_45 +routing sp4_v_t_38 sp4_h_l_38 .logic_tile 8 4 -ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_3 -LC_0 1000001001000001 0000 -LC_1 1000010000100001 0000 -LC_2 1101000000000000 0000 -LC_3 0000000100000000 0000 -LC_4 1000001001000001 0000 -LC_5 0010000000000000 0000 -LC_6 1110111011100000 0000 +ColBufCtrl glb_netwk_6 +LC_0 0000001000000000 0000 +LC_1 0001000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0000001000000000 0000 +LC_4 0001000000000000 0000 +LC_6 1111001000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_6 lutff_6/in_3 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_h_r_10 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_6 lutff_6/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out sp4_r_v_b_9 buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_rgt_0 local_g2_0 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_5 local_g0_5 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_34 local_g2_2 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_v_b_30 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp12_v_b_9 local_g3_1 buffer sp4_h_r_41 local_g2_1 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_v_t_37 sp4_h_r_0 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_l_46 sp4_h_r_11 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_b_5 sp4_h_r_5 .logic_tile 5 2 -LC_0 0000000000000001 0000 -LC_1 0100000000000000 0000 -LC_2 0000000100000000 0000 -LC_3 0000000100000000 0000 -LC_4 0000000100000000 0000 -LC_5 0000000100000000 0000 -LC_6 0010000000000000 0000 -LC_7 0000010000000000 0000 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_3 lutff_2/in_3 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_5 lutff_6/in_1 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_6 lutff_6/in_2 -buffer local_g2_7 lutff_0/in_3 -buffer local_g2_7 lutff_6/in_3 -buffer local_g3_4 lutff_7/in_0 -buffer local_g3_5 lutff_7/in_1 -buffer local_g3_6 lutff_7/in_2 -buffer local_g3_7 lutff_7/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g1_5 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_tnl_2 local_g2_2 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_tnl_4 local_g2_4 -buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_5 local_g3_5 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnl_6 local_g3_6 -buffer neigh_op_tnl_7 local_g2_7 -buffer neigh_op_tnl_7 local_g3_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_34 local_g0_1 -routing sp4_h_l_36 sp4_v_b_7 +LC_3 0110100110010110 0000 +LC_4 0010000000000000 0100 DffEnable +LC_5 0010000000000000 0100 DffEnable +LC_7 1000000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_v_b_10 +buffer neigh_op_bot_2 local_g1_2 +buffer sp12_v_b_9 local_g2_1 +buffer sp12_v_b_9 local_g3_1 +buffer sp4_h_r_47 local_g2_7 +routing sp4_h_l_41 sp4_h_r_7 routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_t_38 sp4_h_r_3 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_39 sp4_h_r_2 +routing sp4_v_t_47 sp4_h_l_41 .logic_tile 1 16 -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_5 lutff_global/cen -buffer local_g2_3 lutff_1/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_3 lutff_6/in_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_6/out sp4_v_b_28 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_35 local_g3_3 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g2_3 lutff_1/in_2 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnr_1 local_g1_1 +buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_5 local_g0_5 +buffer sp12_h_r_16 local_g0_0 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_v_b_9 local_g0_1 .logic_tile 11 6 -LC_0 0000000000000110 0000 -LC_1 0000011000000000 0000 -LC_2 0000001000000000 0000 -LC_3 0000010000000000 0000 -LC_4 0001000000000000 0000 +LC_0 1000000000000000 0000 +LC_1 0000101100000000 0000 +LC_2 1010110000000000 0000 +LC_3 1000000000000000 0000 LC_5 0100000000000000 0100 DffEnable LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable buffer glb2local_1 local_g0_5 -buffer glb_netwk_3 lutff_global/clk -buffer glb_netwk_4 glb2local_1 +buffer glb_netwk_5 glb2local_1 +buffer glb_netwk_6 lutff_global/clk buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_2 lutff_2/in_0 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_1/in_3 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_tnl_0 local_g2_0 -buffer neigh_op_tnl_0 local_g3_0 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_2 local_g2_2 -buffer neigh_op_tnl_2 local_g3_2 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_h_r_23 local_g1_7 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_45 local_g2_5 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_39 local_g3_7 -routing sp4_h_l_39 sp4_v_b_2 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_6 sp4_h_l_43 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_b_8 sp4_h_l_45 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_2/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_5 lutff_global/s_r +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_3 lutff_3/in_1 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp12_h_r_20 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_v_b_3 local_g3_3 +buffer sp12_v_b_3 sp4_v_b_13 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_5 local_g1_5 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_v_b_1 sp4_h_l_43 .ramb_tile 3 15 RamConfig PowerUp -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_6 sp4_h_r_15 -routing sp12_h_l_23 sp12_v_b_0 -routing sp12_h_r_0 sp12_h_l_23 -routing sp4_h_r_11 sp4_v_b_11 +routing sp4_h_l_45 sp4_h_r_11 .ramb_tile 10 5 -ColBufCtrl glb_netwk_3 -buffer glb_netwk_3 ram/WCLK -buffer local_g0_1 ram/WADDR_5 -buffer local_g0_7 ram/WDATA_6 -buffer local_g1_0 ram/WDATA_0 -buffer local_g1_4 ram/WDATA_2 -buffer local_g2_2 ram/WADDR_0 -buffer local_g2_3 ram/WDATA_4 -buffer local_g2_5 ram/WADDR_3 -buffer local_g2_6 ram/WADDR_2 -buffer local_g2_7 ram/WADDR_1 -buffer local_g3_1 ram/WADDR_4 -buffer local_g3_4 ram/WADDR_7 -buffer local_g3_5 ram/WE -buffer local_g3_7 ram/WADDR_6 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_tnr_5 local_g2_5 -buffer neigh_op_tnr_7 local_g3_7 -buffer ram/RDATA_0 sp4_v_b_32 -buffer ram/RDATA_2 sp4_h_r_4 -buffer ram/RDATA_4 sp12_v_b_8 -buffer ram/RDATA_6 sp12_h_r_20 -buffer sp12_v_b_5 local_g3_5 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_44 local_g3_4 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_40 sp4_h_r_5 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_t_44 sp4_v_b_5 -routing sp4_v_t_45 sp4_h_l_39 -routing sp4_v_t_47 sp4_v_b_1 +ColBufCtrl glb_netwk_6 +RamConfig PowerUp +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_r_5 sp4_h_l_45 +routing sp4_v_b_11 sp4_h_l_46 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_37 sp4_v_b_0 +routing sp4_v_t_37 sp4_v_b_3 .ramb_tile 10 11 +ColBufCtrl glb_netwk_6 RamConfig PowerUp -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_47 sp4_h_r_3 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_h_r_8 sp4_v_b_8 +routing sp4_v_t_43 sp4_h_r_11 .ramb_tile 3 3 RamConfig PowerUp -routing sp4_v_b_6 sp4_h_r_0 -routing sp4_v_t_38 sp4_v_b_6 -routing sp4_v_t_41 sp4_v_b_7 +routing sp4_h_r_1 sp4_v_t_36 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_47 sp4_h_r_10 .ramb_tile 3 13 -ColBufCtrl glb_netwk_3 -buffer local_g0_2 ram/WCLKE -buffer ram/RDATA_1 sp4_r_v_b_19 -buffer ram/RDATA_2 sp4_r_v_b_21 -buffer ram/RDATA_3 sp4_v_b_22 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_v_b_18 local_g0_2 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_h_r_6 sp4_v_b_6 +RamConfig PowerUp +buffer sp12_h_r_14 sp4_h_r_19 +routing sp4_h_r_10 sp4_v_t_47 .ramb_tile 10 7 -buffer local_g3_3 ram/WCLKE -buffer ram/RDATA_0 sp12_h_r_8 -buffer ram/RDATA_0 sp4_h_r_32 -buffer ram/RDATA_1 sp4_h_r_2 -buffer ram/RDATA_1 sp4_r_v_b_19 -buffer ram/RDATA_2 sp4_h_r_36 -buffer ram/RDATA_2 sp4_r_v_b_21 -buffer ram/RDATA_3 sp12_h_r_14 -buffer ram/RDATA_3 sp12_v_b_6 -buffer ram/RDATA_3 sp4_h_r_22 -buffer ram/RDATA_3 sp4_v_b_6 -buffer ram/RDATA_4 sp4_h_r_24 -buffer ram/RDATA_4 sp4_h_r_40 -buffer ram/RDATA_4 sp4_r_v_b_25 -buffer ram/RDATA_5 sp12_h_r_18 -buffer ram/RDATA_6 sp12_h_r_20 +buffer local_g2_2 ram/WCLKE +buffer ram/RDATA_0 sp4_r_v_b_1 +buffer ram/RDATA_1 sp4_r_v_b_35 +buffer ram/RDATA_2 sp12_v_b_4 +buffer ram/RDATA_3 sp4_v_b_22 +buffer ram/RDATA_4 sp4_r_v_b_9 +buffer ram/RDATA_5 sp4_v_b_26 buffer ram/RDATA_6 sp4_v_b_44 -buffer ram/RDATA_7 sp12_h_r_6 buffer ram/RDATA_7 sp4_r_v_b_31 -buffer sp4_v_b_43 local_g3_3 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_r_2 sp4_h_l_39 -routing sp4_h_r_6 sp4_v_t_43 -routing sp4_h_r_8 sp4_h_l_46 +buffer sp4_v_b_34 local_g2_2 +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_38 sp4_v_b_9 routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_3 sp4_h_l_38 .ramb_tile 10 13 RamConfig PowerUp -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_7 sp4_h_l_37 - -.ramb_tile 3 1 -RamConfig PowerUp -routing sp4_h_l_43 sp4_h_r_2 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_t_41 sp4_h_r_9 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_t_44 sp4_v_b_0 .ramb_tile 3 11 RamConfig PowerUp -buffer sp12_h_r_6 sp4_h_r_15 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_v_t_37 sp4_h_r_0 -routing sp4_v_t_43 sp4_h_r_11 -routing sp4_v_t_46 sp4_v_b_2 +routing sp4_h_l_45 sp4_h_r_11 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_h_r_8 sp4_h_l_45 +routing sp4_h_r_8 sp4_v_b_1 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_t_44 sp4_v_b_0 .ramb_tile 10 15 RamConfig PowerUp -routing sp4_h_r_9 sp4_h_l_37 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_6 sp4_h_r_6 - -.ramb_tile 3 9 -RamConfig PowerUp -buffer sp12_h_r_16 sp4_h_r_20 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_39 sp4_v_b_5 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_r_1 sp4_h_l_44 +routing sp4_v_t_47 sp4_h_r_3 .ramb_tile 10 1 -RamConfig PowerUp -buffer sp12_h_r_16 sp4_h_r_20 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_v_t_42 sp4_h_r_7 +buffer local_g0_2 ram/WCLKE +buffer ram/RDATA_0 sp12_h_r_8 +buffer ram/RDATA_0 sp4_r_v_b_17 +buffer ram/RDATA_1 sp12_h_r_10 +buffer ram/RDATA_1 sp4_h_r_18 +buffer ram/RDATA_1 sp4_r_v_b_35 +buffer ram/RDATA_2 sp12_h_r_12 +buffer ram/RDATA_2 sp4_v_b_36 +buffer ram/RDATA_3 sp4_h_r_22 +buffer ram/RDATA_3 sp4_r_v_b_23 +buffer ram/RDATA_3 sp4_v_b_38 +buffer ram/RDATA_4 sp12_v_b_8 +buffer ram/RDATA_4 sp4_h_r_24 +buffer ram/RDATA_5 sp12_v_b_10 +buffer ram/RDATA_5 sp4_h_r_26 +buffer ram/RDATA_6 sp12_h_r_4 +buffer ram/RDATA_6 sp12_v_b_12 +buffer ram/RDATA_6 sp4_h_r_44 +buffer ram/RDATA_7 sp12_v_b_14 +buffer sp4_v_b_10 local_g0_2 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_37 sp4_v_b_8 +routing sp4_v_t_42 sp4_v_b_10 .ramb_tile 3 7 RamConfig PowerUp -buffer sp12_h_r_12 sp4_h_r_18 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_b_10 sp4_h_r_10 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_t_38 sp4_v_b_3 -routing sp4_v_t_39 sp4_v_b_10 +routing sp12_h_r_1 sp12_h_l_22 +routing sp4_h_r_0 sp4_v_b_0 +routing sp4_h_r_2 sp4_v_t_39 +routing sp4_v_b_1 sp4_v_t_44 +routing sp4_v_b_11 sp4_v_t_42 .ramb_tile 10 3 -RamConfig PowerUp -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_40 sp4_v_b_5 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_46 sp4_h_l_46 +ColBufCtrl glb_netwk_6 +buffer glb_netwk_6 ram/WCLK +buffer local_g0_2 ram/WADDR_2 +buffer local_g0_5 ram/WADDR_5 +buffer local_g0_6 ram/WADDR_4 +buffer local_g1_3 ram/WCLKE +buffer local_g1_6 ram/WDATA_0 +buffer local_g1_7 ram/WADDR_0 +buffer local_g2_3 ram/WADDR_7 +buffer local_g2_4 ram/WE +buffer local_g2_5 ram/WDATA_2 +buffer local_g2_7 ram/WADDR_3 +buffer local_g3_1 ram/WADDR_6 +buffer local_g3_2 ram/WDATA_6 +buffer local_g3_4 ram/WDATA_4 +buffer local_g3_5 ram/WADDR_8 +buffer local_g3_6 ram/WADDR_1 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_tnr_4 local_g3_4 +buffer neigh_op_tnr_5 local_g2_5 +buffer ram/RDATA_0 sp4_v_b_32 +buffer ram/RDATA_2 sp4_v_b_20 +buffer ram/RDATA_4 sp4_v_b_40 +buffer sp12_h_r_22 local_g1_6 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_28 local_g2_4 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_h_r_8 sp4_h_l_46 +routing sp4_h_r_8 sp4_v_b_1 +routing sp4_v_b_2 sp4_h_l_39 .ramb_tile 10 9 -RamConfig PowerUp -buffer sp12_h_r_22 sp4_h_r_23 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_r_3 sp4_h_l_46 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_t_39 sp4_h_l_39 -routing sp4_v_t_45 sp4_h_l_45 - -.ramb_tile 3 5 -ColBufCtrl glb_netwk_3 -buffer glb_netwk_3 ram/WCLK -buffer local_g0_0 ram/WADDR_6 -buffer local_g0_1 ram/WADDR_1 -buffer local_g0_3 ram/WADDR_3 -buffer local_g0_5 ram/WDATA_6 -buffer local_g0_7 ram/WDATA_2 -buffer local_g1_2 ram/WDATA_0 -buffer local_g1_6 ram/WDATA_4 -buffer local_g2_4 ram/WE -buffer local_g2_5 ram/WADDR_7 -buffer local_g2_6 ram/WADDR_2 -buffer local_g3_0 ram/WADDR_5 -buffer local_g3_3 ram/WADDR_4 -buffer local_g3_7 ram/WADDR_0 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnl_7 local_g3_7 -buffer ram/RDATA_0 sp4_r_v_b_1 -buffer ram/RDATA_2 sp4_h_r_36 -buffer ram/RDATA_4 sp4_r_v_b_9 -buffer ram/RDATA_6 sp4_r_v_b_29 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_r_v_b_31 local_g0_7 +buffer glb_netwk_6 ram/WCLK +buffer local_g0_2 ram/WADDR_4 +buffer local_g0_3 ram/WADDR_7 +buffer local_g0_5 ram/WDATA_4 +buffer local_g0_7 ram/WDATA_0 +buffer local_g1_1 ram/WADDR_2 +buffer local_g1_2 ram/WDATA_2 +buffer local_g1_3 ram/WCLKE +buffer local_g1_4 ram/WDATA_6 +buffer local_g1_5 ram/WE +buffer local_g1_6 ram/WADDR_3 +buffer local_g2_4 ram/WADDR_0 +buffer local_g3_2 ram/WADDR_1 +buffer local_g3_3 ram/WADDR_6 +buffer local_g3_4 ram/WADDR_5 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_7 local_g0_7 +buffer ram/RDATA_6 sp4_v_b_12 +buffer sp12_h_r_6 local_g1_6 +buffer sp12_v_b_10 local_g3_2 +buffer sp12_v_b_11 local_g3_3 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_27 local_g1_3 buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_17 local_g1_1 buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_44 local_g2_4 -routing sp4_h_r_10 sp4_h_l_43 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_40 sp4_h_r_5 -routing sp4_v_t_46 sp4_h_l_46 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_44 local_g3_4 +routing sp12_h_l_23 sp12_v_t_23 +routing sp12_v_b_0 sp12_h_r_0 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_t_37 sp4_h_r_5 +routing sp4_v_t_37 sp4_v_b_0 +routing sp4_v_t_38 sp4_h_r_3 +routing sp4_v_t_44 sp4_h_r_2 + +.ramb_tile 3 5 +RamConfig PowerUp +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_5 sp4_v_b_10 .ramt_tile 10 8 RamConfig CBIT_0 RamConfig CBIT_2 -buffer glb_netwk_3 ram/RCLK -buffer local_g0_0 ram/RADDR_0 -buffer local_g0_7 ram/RADDR_1 -buffer local_g1_5 ram/RADDR_2 -buffer local_g2_1 ram/RADDR_5 -buffer local_g2_2 ram/RADDR_6 -buffer local_g2_4 ram/RE -buffer local_g3_0 ram/RADDR_7 -buffer local_g3_3 ram/RADDR_4 -buffer local_g3_6 ram/RADDR_3 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_6 local_g3_6 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_8 sp4_h_l_41 -routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_44 sp4_h_l_44 +buffer glb_netwk_6 ram/RCLK +buffer local_g1_5 ram/RE +buffer local_g1_6 ram/RADDR_3 +buffer local_g1_7 ram/RADDR_6 +buffer local_g2_3 ram/RADDR_5 +buffer local_g2_6 ram/RADDR_4 +buffer local_g3_1 ram/RADDR_0 +buffer local_g3_2 ram/RADDR_1 +buffer local_g3_4 ram/RADDR_7 +buffer local_g3_7 ram/RADDR_2 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_v_b_13 local_g1_5 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_l_43 sp4_h_r_6 +routing sp4_h_l_46 sp4_h_r_11 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_5 sp4_h_l_47 .ramt_tile 3 2 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_45 sp4_h_r_8 +routing sp4_v_t_36 sp4_h_r_1 .ramt_tile 3 12 -buffer sp12_v_b_7 sp4_v_b_15 -routing sp4_v_b_10 sp4_h_l_38 - -.ramt_tile 10 4 -buffer sp12_v_b_13 sp4_v_b_18 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_l_46 sp4_h_r_7 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_1 sp4_h_l_41 +routing sp4_h_l_43 sp4_h_r_6 routing sp4_h_r_11 sp4_v_t_40 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_43 sp4_v_b_6 - -.ramt_tile 10 10 -routing sp4_h_l_43 sp4_v_b_6 routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_t_42 sp4_h_r_0 -routing sp4_v_t_47 sp4_h_l_41 - -.ramt_tile 3 10 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_v_t_45 sp4_h_l_39 +routing sp4_v_t_40 sp4_h_r_5 +routing sp4_v_t_47 sp4_h_r_10 -.ramt_tile 10 6 +.ramt_tile 10 4 RamConfig CBIT_0 RamConfig CBIT_2 -buffer glb_netwk_3 ram/RCLK -buffer local_g0_7 ram/RADDR_5 -buffer local_g1_3 ram/RADDR_2 +buffer glb_netwk_6 ram/RCLK +buffer local_g0_0 ram/RADDR_8 +buffer local_g0_1 ram/WDATA_12 +buffer local_g0_2 ram/RADDR_4 +buffer local_g0_5 ram/RADDR_1 +buffer local_g0_7 ram/RADDR_3 +buffer local_g1_0 ram/RADDR_5 +buffer local_g1_1 ram/RADDR_6 +buffer local_g1_4 ram/RADDR_7 buffer local_g1_5 ram/RE -buffer local_g1_6 ram/WDATA_14 -buffer local_g2_1 ram/RADDR_7 -buffer local_g2_3 ram/WDATA_10 -buffer local_g2_5 ram/RADDR_3 -buffer local_g2_6 ram/RADDR_4 -buffer local_g2_7 ram/WDATA_8 -buffer local_g3_2 ram/RADDR_1 -buffer local_g3_3 ram/RADDR_6 -buffer local_g3_6 ram/WDATA_12 -buffer local_g3_7 ram/RADDR_0 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_rgt_6 local_g2_6 -buffer ram/RDATA_12 sp4_r_v_b_9 -buffer ram/RDATA_12 sp4_v_b_8 -buffer ram/RDATA_14 sp12_h_r_4 -buffer ram/RDATA_14 sp4_v_b_44 +buffer local_g1_7 ram/RADDR_0 +buffer local_g2_1 ram/WDATA_14 +buffer local_g2_6 ram/RADDR_2 +buffer local_g3_2 ram/WDATA_8 +buffer local_g3_6 ram/WDATA_10 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_6 local_g3_6 +buffer ram/RDATA_10 sp4_h_r_36 +buffer ram/RDATA_14 sp4_r_v_b_45 +buffer ram/RDATA_8 sp4_r_v_b_1 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_2 local_g0_2 +buffer sp12_h_r_8 local_g0_0 +buffer sp12_h_r_9 local_g0_1 buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_33 local_g2_1 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_h_l_40 sp4_h_r_5 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_t_44 sp4_v_b_0 - -.ramt_tile 10 12 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_v_t_39 sp4_v_b_2 -routing sp4_v_t_43 sp4_v_b_9 - -.ramt_tile 3 8 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_6 sp4_h_l_44 -routing sp4_v_t_47 sp4_h_l_47 - -.ramt_tile 10 14 -routing sp4_h_r_3 sp4_h_l_43 -routing sp4_v_t_41 sp4_h_r_9 -routing sp4_v_t_47 sp4_h_r_3 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_r_1 sp4_h_l_41 +routing sp4_h_r_3 sp4_h_l_38 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_h_r_8 sp4_v_b_8 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_b_9 sp4_h_l_44 -.ramt_tile 3 6 +.ramt_tile 10 10 RamConfig CBIT_0 RamConfig CBIT_2 -buffer glb_netwk_3 ram/RCLK -buffer local_g0_3 ram/WDATA_14 -buffer local_g0_4 ram/RADDR_4 -buffer local_g0_5 ram/RADDR_7 +buffer glb_netwk_6 ram/RCLK +buffer local_g0_4 ram/RADDR_6 +buffer local_g0_5 ram/RADDR_5 buffer local_g0_6 ram/RADDR_2 -buffer local_g0_7 ram/WDATA_8 -buffer local_g1_2 ram/RADDR_3 -buffer local_g1_4 ram/RADDR_1 +buffer local_g0_7 ram/RADDR_1 +buffer local_g1_1 ram/RADDR_4 +buffer local_g1_6 ram/RADDR_3 buffer local_g1_7 ram/RADDR_0 -buffer local_g2_2 ram/RADDR_6 +buffer local_g2_1 ram/RADDR_7 +buffer local_g2_3 ram/WDATA_14 buffer local_g2_5 ram/WDATA_12 -buffer local_g2_7 ram/WDATA_10 +buffer local_g2_7 ram/WDATA_8 +buffer local_g3_0 ram/WDATA_10 buffer local_g3_5 ram/RE -buffer local_g3_6 ram/RADDR_5 +buffer neigh_op_bnl_3 local_g2_3 buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_bnr_7 local_g0_7 buffer neigh_op_lft_7 local_g1_7 -buffer ram/RDATA_10 sp4_h_r_36 -buffer ram/RDATA_12 sp4_h_r_40 -buffer ram/RDATA_14 sp4_h_r_44 -buffer ram/RDATA_8 sp4_r_v_b_17 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_29 local_g3_5 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_4 local_g1_4 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_h_l_41 sp4_v_b_4 +buffer ram/RDATA_12 sp4_v_b_8 +buffer ram/RDATA_14 sp4_r_v_b_45 +buffer sp12_v_b_23 local_g2_7 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_37 local_g3_5 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_v_t_36 sp4_v_b_4 +routing sp4_v_t_38 sp4_h_r_3 +routing sp4_v_t_43 sp4_v_b_6 +routing sp4_v_t_45 sp4_h_l_39 + +.ramt_tile 3 10 +routing sp4_h_r_1 sp4_v_b_1 +routing sp4_h_r_5 sp4_v_b_10 +routing sp4_v_b_8 sp4_h_r_2 + +.ramt_tile 10 6 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp12_v_b_5 sp4_v_b_14 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_h_r_2 sp4_h_l_47 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_t_42 sp4_v_b_3 + +.ramt_tile 10 12 +buffer sp12_h_r_2 sp4_h_r_13 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_v_b_11 sp4_h_l_41 + +.ramt_tile 3 8 +buffer sp12_h_r_22 sp4_h_r_23 +routing sp4_h_r_0 sp4_v_t_37 + +.ramt_tile 10 14 +routing sp12_h_l_22 sp12_v_b_1 +routing sp12_h_l_23 sp12_v_b_0 +routing sp4_h_l_36 sp4_v_b_1 routing sp4_h_l_43 sp4_v_b_6 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_39 sp4_v_b_5 +routing sp4_v_b_0 sp4_h_l_37 -.ramt_tile 3 16 -buffer sp12_h_r_14 sp4_h_r_19 +.ramt_tile 3 6 +buffer sp12_h_r_10 sp4_h_r_17 .ramt_tile 3 4 -routing sp4_h_l_46 sp4_h_r_7 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_8 sp4_h_r_8 +routing sp4_v_b_2 sp4_h_r_8 .ramt_tile 3 14 -RamConfig CBIT_0 -RamConfig CBIT_2 -buffer glb_netwk_3 ram/RCLK -buffer local_g0_0 ram/RADDR_6 -buffer local_g0_4 ram/RE -buffer local_g0_5 ram/RADDR_3 -buffer local_g0_7 ram/RADDR_7 -buffer local_g1_3 ram/RADDR_0 -buffer local_g3_4 ram/RADDR_1 -buffer local_g3_5 ram/RADDR_4 -buffer local_g3_6 ram/RADDR_5 -buffer local_g3_7 ram/RADDR_2 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bnl_7 local_g3_7 -buffer sp12_h_r_5 local_g0_5 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_v_b_12 local_g0_4 -routing sp4_h_r_8 sp4_v_b_8 +routing sp4_h_r_5 sp4_h_l_40 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_47 sp4_h_r_10 .ramt_tile 10 2 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_20 sp4_h_r_22 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_45 sp4_v_b_11 +RamConfig CBIT_0 +RamConfig CBIT_2 +buffer glb_netwk_6 ram/RCLK +buffer local_g0_2 ram/RADDR_0 +buffer local_g2_4 ram/RADDR_6 +buffer local_g2_7 ram/RADDR_3 +buffer local_g3_0 ram/RADDR_5 +buffer local_g3_1 ram/RADDR_4 +buffer local_g3_2 ram/RADDR_1 +buffer local_g3_3 ram/RADDR_2 +buffer local_g3_5 ram/RE +buffer local_g3_6 ram/RADDR_7 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_37 local_g3_5 +routing sp4_v_t_41 sp4_v_b_4 diff --git a/i2c_keyboard/i2c_slave.v b/i2c_keyboard/i2c_slave.v index 120afd0..e521463 100644 --- a/i2c_keyboard/i2c_slave.v +++ b/i2c_keyboard/i2c_slave.v @@ -8,7 +8,7 @@ module i2c_slave (input CLK, input RESET, // RECEIVED BYTES MUST READ WHEN WR POSEDGE, ADRESS NOT READING ###AND BYTE COUNTER >=1 (BYTE COUNTER = 0 - ADRESS) // BYTES TO TRANSMIT MUST WRITE WHEN WR POSEDGE, BYTE COUNTER CAN BE ZERO // (FIRST BYTE TRANSMITTED AFTER ADRESS). -// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (DECAUSE MASTER STOPS TRANSMIT) +// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (BECAUSE MASTER STOPS TRANSMIT) parameter I2C_ADRESS = 7'h34; parameter MAX_I2C_TRANSACTION_EXP2 = 8; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) diff --git a/i2c_keyboard/matrix_kbd.v b/i2c_keyboard/matrix_kbd.v index 766c13b..8ea03cb 100644 --- a/i2c_keyboard/matrix_kbd.v +++ b/i2c_keyboard/matrix_kbd.v @@ -5,11 +5,16 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu // # - LSHIFT (E1), 9 - C (06), 6 - V (19), 3 - DELETE (4C) // D - LCTRL (E0), C - LALT (E2), B - SPACE (2C), A - RGUI (E7) - parameter ONE_ROW_TIME = 12000; - parameter ROW_STT_PROCESS_TIME = 11000; + parameter ONE_ROW_TIME = 8000; + parameter ROW_STT_PROCESS_TIME = 7000; parameter ONE_COLUMN_PROCESS_TIME = 50; + parameter ONE_ROW_TIME_POW = 12; // 15 - 65536 tacts or 5.46 ms, 14 - 32768 tacts or 2.73 ms, 13 - 16384 tacts or 1.36 ms, + // 12 - 8191 tacts or 683 mks, 11 - 4096 tacts or 341 mks, 10 - 2048 tacts or 171 ms, 9 - 1024 tacts or 85 mks, 8 - 512 tacts or 43 ms, + // 7 - 256 tacts or 21 mks, other values have no guaranties + parameter ONE_CALC_TIME_POW = 4; // 3 - 16 tacts or 1.3 mks, 4 - 32 tacts or 2.7 mks, 5 - 64 tacts or 5.3 mks, 6 - 128 tacts or 10.7 mks + // ONE_ROW_TIME_POW > (ONE_CALC_TIME_POW - 3); ONE_CALC_TIME_POW > 2 (if 2 or smaller, top module overrun may occur) - reg [15:0] row_time = 0; + reg [12:0] row_time = 0; reg [3:0] row_counter; reg [7:0] temp; @@ -31,11 +36,12 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu reg [8:0] ram_adr; wire [7:0] ram_rd; + reg [3:0] init_delay_cnt; reg [8:0] init_ram_cnt; - always @ (negedge CLK) begin + /*always @ (negedge CLK) begin COLS_SHADOW <= COLUMNS; - end + end*/ ram RAM (CLK, ram_wr, ram_adr, temp, ram_adr, ram_rd);//module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); @@ -44,36 +50,56 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu for (i = 0; i < 6; i = i + 1) report[i] = 0; isr = 0; + init_delay_cnt = 0; init_ram_cnt = 0; + row_time = 0; end else begin if (FREEZE == 0) begin - if (init_ram_cnt < 256) begin + if (init_delay_cnt != 15) + init_delay_cnt = init_delay_cnt + 1; + else if (init_ram_cnt < 256) begin ram_wr = 1; ram_adr = init_ram_cnt; temp = 255; init_ram_cnt = init_ram_cnt + 1; end - else if (init_ram_cnt == 256) begin + /*else if (init_ram_cnt == 256) begin ram_wr = 0; init_ram_cnt = init_ram_cnt + 1; - end + end*/ else begin - if (row_time == ONE_ROW_TIME) begin + row_time = row_time + 1; + if (row_time == 0) begin//== ONE_ROW_TIME) begin ram_wr = 0; - row_time <= 0; + //row_time <= 0; row_counter = row_counter + 1; ROWS_EN = 1 << row_counter; ram_adr = row_counter; end - else - row_time <= row_time + 1; // ROW 0 - D, 1 - A, 2 - C, 3 - B - if (row_time == (ROW_STT_PROCESS_TIME - 1)) + /*if (row_time == (ROW_STT_PROCESS_TIME - 1)) begin temp = ram_rd; - if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7 + 1)) - ram_wr = 1; - if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 0)) + COLS_SHADOW <= COLUMNS; + end*/ + //if (row_time == 8191/*(ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7 + 1)*/) + // ram_wr = 1; + + if ((row_time[12:8] == 31) && (row_time[4:0] == 0)) begin + //temp = ram_rd; + //COLS_SHADOW = COLUMNS; + if (row_time[7:5] == 0) begin + temp = ram_rd; + COLS_SHADOW = COLUMNS; + end + check_column (row_time[7:5]); + if (row_time[7:5] == 7) + ram_wr = 1; + end + else + kbd_code = 255; + + /*if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 0)) check_column (0); else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 2)) check_column (2); @@ -90,15 +116,17 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7)) check_column (7); else - kbd_code = 255; + kbd_code = 255;*/ // START PACK I2C_HID REPORT if (kbd_code_hid != 0) begin - if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8)) begin + if (kbd_code_hid[7:3] == 5'b11100) begin + //if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8)) begin if (is_pressed) report [0] = report [0] | (1<<(kbd_code_hid & 8'h07)); else report [0] <= report [0] & (~(1<<(kbd_code_hid & 8'h07))); + isr = 1; end else begin if (is_pressed) begin diff --git a/i2c_keyboard/ram.v b/i2c_keyboard/ram.v index 9ce03a6..b84cc78 100644 --- a/i2c_keyboard/ram.v +++ b/i2c_keyboard/ram.v @@ -1,5 +1,5 @@ module ram(input clk, wen, input [8:0] waddr, input [7:0] wdata, input [8:0] raddr, output [7:0] rdata); - reg [7:0] mem [0:255]; + reg [7:0] mem [511:0]; reg [7:0] r_data; reg [7:0] w_data; reg [7:0] w_addr; diff --git a/i2c_keyboard/top.v b/i2c_keyboard/top.v index 9ff2b6a..7fa52d9 100644 --- a/i2c_keyboard/top.v +++ b/i2c_keyboard/top.v @@ -4,6 +4,8 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, input COM_RX, output COM_TX, COM_DCD, COM_DSR, COM_RTS, input [7:0] KBD_COLUMNS, inout [15:0] KBD_ROWS); + parameter INTERRUPT_TMR_REFLESH = 14; // 14 - 2^14=16384 tacts or 1.37 ms, 19 - 2^19=524288 tacts or 43.7 ms, 23 - 2^23=8388608 tacts or 0.7 s + // 23 - 1119 LCs, 14 - 1081 LCs (in commit 1b6fc60221b595c2a0f69509d29b6e5c3110feb0) wire RESET; reg [3:0] rststate = 0; @@ -31,7 +33,7 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, wire [7:0] kbd_report [6:0]; wire ISR; reg INT = 1; // INTERRUPT LINE TO HOST - reg [19:0] int_tmr; + reg [INTERRUPT_TMR_REFLESH:0] int_tmr; reg KBD_FREEZE = 1; // LOGIC REG FOR BLOCK KBD ACTIVITY WHEN I2C IS WORKING //reg IS_EMPTY_REPORT = 0; // REGISTER FOR CORRECT START (HOST MUST REQUEST EMPTY REGISTER AFTER INTERRUPT. THEN INTERRRUPT SET TO 1) matrix_kbd KEYBOARD (CLK, RESET, 0 /*KBD_FREEZE*/, KBD_ROWS, KBD_COLUMNS, kbd_report[0], kbd_report[1], kbd_report[2], kbd_report[3], kbd_report[4], kbd_report[5], kbd_report[6], ISR); @@ -267,10 +269,10 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, else if (UART_WR == 1) UART_WR = 0; - else if (int_tmr[19] != 1) + else if (int_tmr != ((1<<(INTERRUPT_TMR_REFLESH+1))-1))//[INTERRUPT_TMR_REFLESH] != 1) int_tmr = int_tmr + 1; - else if ((int_tmr[19] == 1) && (I2C_OUTPUT_TYPE == 3) && (I2C_TRANS == 0)) begin + else if (/*(int_tmr[INTERRUPT_TMR_REFLESH] == 1) &&*/ (I2C_OUTPUT_TYPE == 3) && (I2C_TRANS == 0)) begin if (ring_rd != ring_wr) INT = 0; end