From 61f1fd3bd41a9f22bd861fee3fd37ebff52936aa Mon Sep 17 00:00:00 2001 From: Ivan Olenichev Date: Thu, 20 Dec 2018 17:50:20 +0600 Subject: [PATCH] Update i2c_keyboard --- i2c_keyboard/.gitignore | 7 + i2c_keyboard/.sconsign.dblite | Bin 4307 -> 4307 bytes i2c_keyboard/Makefile | 20 +- i2c_keyboard/README | 18 + i2c_keyboard/hardware.asc | 16579 +++++++++++++++--------------- i2c_keyboard/hardware.bin | Bin 32220 -> 32220 bytes i2c_keyboard/hardware.blif | 6081 ++++++----- i2c_keyboard/i2c_kbd_alt.bin | Bin 32216 -> 32220 bytes i2c_keyboard/i2c_kbd_alt.blif | 8159 ++++++++------- i2c_keyboard/i2c_kbd_alt.ex | 17241 +++++++++++++++----------------- i2c_keyboard/i2c_slave.v | 2 +- i2c_keyboard/matrix_kbd.v | 64 +- i2c_keyboard/ram.v | 2 +- i2c_keyboard/top.v | 8 +- 14 files changed, 24129 insertions(+), 24052 deletions(-) create mode 100644 i2c_keyboard/.gitignore create mode 100644 i2c_keyboard/README diff --git a/i2c_keyboard/.gitignore b/i2c_keyboard/.gitignore new file mode 100644 index 0000000..1cb99dd --- /dev/null +++ b/i2c_keyboard/.gitignore @@ -0,0 +1,7 @@ +*.dblite +*.ini +*.asc +*.bin +*.blif +*.ex +*.json diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite index 46280fdb639aa5ad91812e68408641d107a7cb6a..62a7239c66822e14e0720ea0f900d1e384f31c34 100644 GIT binary patch delta 934 zcmb7CyJ}QH7*4WTV=ilwLIgp~1ww=%GxN{2iHL=`ViQQEh4atFvxVRx*eEGfM6?nI zMAB%bAfz#*HBB19Mj}3eXr%>yFl`8t(n*4x8}1#IQcEPz}GnXC@T!g>gDfXl;w2r$_rQupX*FiL>72!Z_iUGAjkA zE_#i^kQ~1}Q8doAj&IBj-|Sy_8oQwZ83yZuz-%Ez6hTF5Nl*ZmnuzJ`NDJ2AcLBjM zVj*hlxC?0%CwV%c2*IdM>n9`5+(! zrk|s&h2A*~8c>0P1SO2|`3NER;NLH{B`1LQN1+M9)-ou ztypUx^@x}&+nGf_=sh%wj-26$3w8$#=F3sVIk`<%_$HQ zSa2&M%EANl$I_dToAiJ7Ek|Y;VLSjZsX<7Gzyqh+GDpD@9p|&~2&|Gys?gcTzpj-> zmqG>vA9dgs9&BvwR(K~>2T}D{r=HZVWhS3*b4;MYiF~X1T3}3O39GEOmyhdqrO0Z z#yT*;q$D~>F1%5OWtag0P#fZ6cIqBXcWS1!-0tqQ>kx97X~B(D&J)U2&WDHriwQG6 zq(8MzYY>M5AM!Dpd#fdd#rJ*9dm|SCn;CFr3*SXI8sOe#yHu_FQ`GFbh zdYw1=bc$a0sXFy{Z~wfOx0U}ar*BvC{ps!W;by i2c_kbd_alt.ex - icepack i2c_kbd_alt.txt i2c_kbd_alt.bin + arachne-pnr -d 1k -P tq144 -p inouts.pcf i2c_kbd_alt.blif -o i2c_kbd_alt.asc +# yosys -p "synth_ice40 -json i2_kbd_alt.json" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v +# nextpnr-ice40 --hx1k --json i2_kbd_alt.json --pcf inouts.pcf --asc i2c_kbd_alt.asc + icebox_explain i2c_kbd_alt.asc > i2c_kbd_alt.ex + icepack i2c_kbd_alt.asc i2c_kbd_alt.bin + +nextpnr: top.v inouts.pcf +# yosys -q -p "synth_ice40 -blif i2c_kbd_alt.blif" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v +# arachne-pnr -p inouts.pcf i2c_kbd_alt.blif -o i2c_kbd_alt.asc + yosys -p "synth_ice40 -json i2_kbd_alt.json" top.v i2c_slave.v matrix_kbd.v ram.v simple_filter.v uart.v descriptors.v + nextpnr-ice40 --hx1k --json i2_kbd_alt.json --pcf inouts.pcf --asc i2c_kbd_alt.asc + icebox_explain i2c_kbd_alt.asc > i2c_kbd_alt.ex + icepack i2c_kbd_alt.asc i2c_kbd_alt.bin clean: - rm -f i2c_kbd_alt.blif i2c_kbd_alt.txt i2c_kbd_alt.ex i2c_kbd_alt.bin + rm -f i2c_kbd_alt.blif i2c_kbd_alt.asc i2c_kbd_alt.ex i2c_kbd_alt.bin i2_kbd_alt.json diff --git a/i2c_keyboard/README b/i2c_keyboard/README new file mode 100644 index 0000000..fbadc9d --- /dev/null +++ b/i2c_keyboard/README @@ -0,0 +1,18 @@ +System - linux xubuntu 16.04 + +How to install apio-atom-ide (FPGA programming tool with GUI - atom): +https://github.com/FPGAwars/apio-ide/wiki +Don't forget about command: apio drivers --ftdi-enable + +How to install project IceStorm (for manual build FPGA firmware with makefile): +http://www.clifford.at/icestorm/ + + +Test programs (I2C HID host emulator and program for display debug information from FPGA): + +Qt5 is installing with nextpnr (part of IceStorm). + +Need to install (sudo apt-get update and sudo apt-get install): +qtcreator +libqt5serialport5 +libqt5serialport5-dev diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc index 5818bec..8322db0 100644 --- a/i2c_keyboard/hardware.asc +++ b/i2c_keyboard/hardware.asc @@ -1,11 +1,11 @@ -.comment arachne-pnr 0.1+ (git sha1 8c071a2, g++ 4.8.4-2ubuntu1~14.04.3 -O2) +.comment arachne-pnr 0.1+ (git sha1 40e220b, g++ 4.8.4-2ubuntu1~14.04.3 -O2) .device 1k .io_tile 1 0 -000011110000000010 -000111111000000000 +000010000000000010 +000101010000000000 000000000000000000 000000000000000001 -000000000000000001 +000001011010000001 000000000001000000 001100000000000000 000000000000000000 @@ -18,8 +18,8 @@ 000000000000000001 000000000000000000 .io_tile 2 0 -000001011000000010 -000000000000000000 +000001111000000010 +000000001000000000 000000000000000000 000000000000000001 000000000000000001 @@ -35,7 +35,7 @@ 000000000000000000 000000000000000000 .io_tile 3 0 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 000000000000000000 @@ -47,22 +47,22 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 .io_tile 4 0 000000000000000000 000100000000000000 -100000000001000000 -000000000000000001 000000000000000000 +000000000000000001 000000000000000000 +000000000000000001 001000000000000000 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -71,48 +71,48 @@ .io_tile 5 0 000000000000000000 000100000000000000 -100000000000000000 +000000000000010000 000000000000000001 000000000000000000 000000000000000000 001000000000000000 +000000000001100000 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 000000000000000000 000000000000000000 000000000000000001 000000000000000000 000000000000000000 .io_tile 6 0 -000000011000000000 -000100001000000000 +000000000000010000 +000100000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000000000 +000000000000001100 001000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000001100000 +000010000000000000 +000010010000000000 000000000000000000 000000000000000000 .io_tile 7 0 -000000000000001000 -000100000000000000 +000000011000000000 +000100001000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001000 -000000000000001000 000000000000000000 000000000000000000 -000101010000000000 +000000000000000000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -121,13 +121,13 @@ 000000000000000000 .io_tile 8 0 000000000000000000 -000100000001000000 -000000000000100000 +000100000000000000 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000001000000 000000000000000000 000100000000000000 000000000000000000 @@ -138,7 +138,7 @@ 000000000000000000 .io_tile 9 0 000000000000000000 -000100000000000001 +100100000000000000 000000000000000000 000000000000000001 000000000000000000 @@ -146,7 +146,7 @@ 001000000000000000 000000000000000000 000000000000000000 -100000000000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -171,7 +171,7 @@ 000000000000000000 000000000000000000 .io_tile 11 0 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -222,167 +222,167 @@ 000000000000000000 000000000000000000 .logic_tile 1 1 +000000000000000001100110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +111000000000000000000000000101000001000001010000000000 +000000000000000000000000001001101101000010010000000000 +110000000000000000000010100000000000000000000000000000 +100000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000001000000000000000000000000010000100000000 +000000000000000101000000000011000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000001100000000000000000000 -111000000000000001000110010000001001001100111000000000 -000000000000000000000010000000001100110011000000000000 -000000000000000001100000000000001001001100111000000000 -000000000000000000000000000000001011110011000000000000 -000000000000000001100110010000001001001100110000000000 -000000000000000000000010000000001011110011000000000000 -110000000000000011100000001001101111100000000000000010 -000000000000001011100000001001101010000000000000000001 -000000000000000001100000000101000000000000000100000000 -000000000000000000000000000011000000000001000000000000 -000000000000000011100000000001000000000000000100000000 -000000000000001011100000000111100000000001000000000000 -000000000000000000000000000001000000000000000100000000 -000000000000000000000000000011100000000001000000000000 +000000000000000101100000000111011010010011110010000001 +000000000000000011000000000001001100000001110000000000 +111000000000001000000000000011000000000011000000000000 +000000000000000001000000000101000000000000000000000000 +110000000000000001100000000000000000000000000000000000 +100000000000000011000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000001100000000011100000001100110000000000 +000000000000001011000000000000000000110011000000000000 +000000000000000101100000000001100000000001000100000000 +000000000000001001100000000011000000000011001000000000 +000000000000000000000000000001000000000001000100000000 +000000000000011001010000000101000000000011001000000000 +110010000000000000000000000000000000000000000100000000 +010001000000000000000000000011000000000010001000000000 .ramb_tile 3 1 -000000000001000000000000010000000000010000 -000000000000100000000011000000000000010000 -000000000000000000000000000000000000000100 -010000000000000000000000000000000000010000 -010000000000000000000000000000000000010100 +000000000000000000000000000000000000110000 +000000001000000000000000000000000000000011 +000000000000000000000000000000000000010001 +000000000000000000000000000000000000000000 +110000000000000000000000000000000000000001 010000000000000000000000000000000000010000 -000000000000000000000000000000000000010000 -000000001100000000000000000000000000100000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000001001 +001000000000000000000000000000000000010001 +000000000000000000000000000000000000000100 +000000000000000000000000010000000000000010 +000000000000000000000011000000000000011000 +000000000000000000000000000000000000000001 000000000000000000000000000000000000100000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000010000 -000000000000000000000000000000000000000010 +000000000000000000000000000000000000001100 +000010000000000000000000000000000000001001 +000000000000000000000000000000000000001001 +000000000000000000000000000000000000000100 .logic_tile 4 1 +000000000000001001100110000011000000000000100000000000 +000000000000000001000010010011001101000000000000100000 +111000000000001111110000001001011101000100000000000000 +000000000100001111100000000111101010001100000000000000 +010000000000000101000011100001000001000000000001000000 +110000000000000101100100000101001010000000010000100000 +000000000000001001100110101001000000000001000000000010 +000000000000001101000100001111000000000000000000000000 +000000000000001111000111110001101010000110100000000000 +000000000000000011100011010111001111001111110000000000 +000000000000011000010000000101100000000010000000000000 +000000001110100001000000000111101001000000000000000000 +000000000000001101100111101000000000000000000100000001 +000000000000000101000100000001000000000010000000000010 +010000000000001000000000010000000000000000000100000100 +100000000000000101000010101011000000000010000000000100 +.logic_tile 5 1 +000010101010001011100110001101000000000001000000000000 +000001000000000011100011000101100000000000000000000000 +111000000000000111000110001101000001000000010000000010 +000001000000000101100010101101001110000000000000100000 +010000000110000001000000000000000000000000000000000000 +010100000000000000100010110000000000000000000000000000 +000000000000000001100000001001100000000001010000000000 +000000000110100000000010011001001000000010010000100000 +000000001100010000000000001001100000000001010100000000 +000000000000100000000000000011001000000010010000000000 +000001000000001001100000011001100000000001010100000000 +000000100000000001000010000011101000000010010000000000 +000001100010000000000000011111000000000001010100000000 +000011000000000000000010011011101000000010010000000000 +000000000001000000000000000000000000000000000100000000 +000000001110001001000000001001000000000010000000000000 +.logic_tile 6 1 +000000000000001011000110101111111001110011000000000000 +000000000000000001100100001101011111000000000000000000 +111000000101011001000011000011111110110011000000000000 +000000000000100111000010010001101001000000000000000000 +010000000000000001100010011111111011110011000000000000 +110000000000000000000010000101111001000000000000000000 +000000000000010011000011010001001011100000000000000000 +000000000000101101100110001101001010000000000000000100 +110000001110000000000000000001100000000001000000000000 +110000000000000000000000000111000000000000000000000000 +000000000000000000000111101000000000000000000100000100 +000001000000000000000110001011000000000010000001000000 +000000000000000001000000001000000000000000000100000011 +000000000000000000100000001001000000000010000000000000 +010000000000001101100110011000000000000000000100000100 +010000000000000101000010101001000000000010000000000000 +.logic_tile 7 1 +000000000000000001000000010001000000000000000000000000 +000100000000000001000011111001001000000000010000000010 +111000101100000000000000001000000000000010000000000000 +000000000000000111000011011011000000000000000000000000 +010010100000001000000111001101000000000001000001000000 +110000000000000001000011010011000000000000000000000001 +000000100000000000000000000000000000000000000000000000 +000100000110000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000100000000 +000000000000001101000000000011000000000010000000100000 +001000000000001000000011101000000000000000000100000100 +000000000001000101000100000111000000000010000000000000 +000000000000000000000000001000000000000000000100000100 +000000000000000000000000001001000000000010000000100000 +010000000000000000000000000000000000000000000100000100 +010000001000000001000000001101000000000010000000100000 +.logic_tile 8 1 000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000100001001000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000111101111011100010000000001000000 +110000000000000000000010101111001110000000000000000000 +000001000000000000000000001001000000000001000000000001 +000010100000000000000000000001100000000000000000000000 +110000000000000000000000011101000001000001010100000000 +110000000000000000000010010001101100000010010000000000 +000000000000101001100000001011000001000001010100000000 +000000000000011111000000000011101110000010010000000000 +000000000000001011100000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000001000000011100000000000000000000000000000 +000000000000001111000100000000000000000000000000000000 +.logic_tile 9 1 +000000000000001000000000000101000000000001010110000000 +000000000000000001000011010001101010000010010001000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010000000000000011100000000000000000000000000000000000 +010000000000000000100010100000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 1 -000000000000000001000111110101011000110000000000000000 -000000000000001001000111110101101001111000000000000000 -111000000000001001100010010001100000000000000000000000 -000000000000001101000111110001100000000011000000000000 -110000000000001001100000001101000000000000110000000001 -110000000000001111000011111101001000000000000000000000 -000000000000000111110010000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -001000000000000000000000001001101010000011010000000000 -000000000000000000000000000101111000000011110000000000 -000000000000000000000000000001100000000011110000000000 -000000000000000000000000001111001001000011000000000000 -000000000000000000000010011101000000000010010110000010 -000000000000000000000011011101001000000001011000000000 -110000000000000000000000000001100000000010010100000000 -000000000000000000010000000001101011000001011000000101 -.logic_tile 6 1 -000000000000001000000110011111000000000010000000000000 -000000001110000111000010001011001100000000000000000000 -000010100000000001000000011001100000000001000000000000 -000000000000000001000010110001000000000000000000000000 -000000000000001001000000011101011010101100000000000000 -000000001100000001100010101101101010111100000000000000 -110001000000001001000010000101011000110000000000000000 -100010101010100101100100000011011101010100000000000000 -010000000000001011100111100001001011011100000000000000 -000000000000010011000000001001101010001100000000000000 -000000000001000000000011110000000000000000000000000000 -000000001000000000000110000000000000000000000000000000 -000000000000000000000011100111111100110000000000000000 -000000000000001001000100000001111111010100000000000000 -000100000000000000010000000000000000000000000000000000 -000101000010000000000010100000000000000000000000000000 -.logic_tile 7 1 -100000000110000001000000000000000000000000000101000000 -000000000000000000000010101001000000000010000000100001 -111000000000000000000000001000000000000000000100100000 -000000000000000000000000000011000000000010000000000000 -000000000000000011100000010000000000000000000100100001 -000000000000000000000010010101000000000010000000000000 -000000000001000011100000000000000000000000000000000000 -000000000000100000100000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000011000000000010000000000000 -000000000000000001000000000000000000000000000100100001 -000000001010001111100000000001000000000010000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000000101000000000010000000100000 -000000000001000000000000000000000000000000000100000000 -000000001000000000000000001101000000000010000001000000 -.logic_tile 8 1 -000001000000000000000111100101100000000001000000000000 -000010000000000001000111101011100000000000000000000000 -111000000001001111100000000101000000000001000001000000 -000000000000000001000000000101100000000000000000000000 -010001000000000111100110001011111010010000000000000100 -010000000000001001000000001101011000000000000010100000 -000000000000001000000011010001111111100000000000000000 -000000000001000001000010001001001110000000000000000000 -000000000000000000000110101011011101010000000000000000 -000000000000000000000011010011111101000000000000000000 -000010000110100101100110110000000000000000000000000000 -000001000000011001000010100000000000000000000000000000 -000000000000000000000000000101100000000001000000000000 -000000000000000000000000000101000000000000000000000000 -010000000000011101100000001000000000000000000100000110 -100100000000100101000000000001000000000010001100000000 -.logic_tile 9 1 -000000000000000000000010010101100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111010100000001001100110010000000000000000001000000000 -000001000000000001000010000000001001000000000000000000 -010100000000000000000000000000001000001100111100000100 -100100000000000000000000000000001001110011000000000100 -001000000100000000000000000000001000001100111101000000 -000000000000000000000010010000001101110011000010000000 -000000000000000000000110000000001001001100111100100100 -000000000000000000000000000000001000110011000000100000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000100 -001000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000100 -010000000001000000000000000000001001001100111100000101 -000000000000100000000000000000001001110011000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -392,39 +392,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 1 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111001000000001000000000000000000000000000000101000000 -000010001000001101000000000101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000001000000 -000000100000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000000000000101000010100001000000000000001000000000 +000000000000000000100100000000100000000000000000001000 +000000000000000000000000010000000000000000001000000000 +000000000000000001000010000000001000000000000000000000 +000000000000000111100000000000000001000000001000000000 +000000000000001101100000000000001001000000000000000000 +110000000000000000000000000000000000000000001000000000 +110000000000000000000000000000001010000000000000000000 +000000000000000000000000000000001000111100000010000010 +000000000000000000000000000000000000111100000000000000 +000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000001001100000000000000000100000 +000000000000000000000000000011000000000001000001000000 +.logic_tile 12 1 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000111100000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -.logic_tile 12 1 -100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000110000000 -000000000000000000000000001111000000000010000000100000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000011001000000000000000000110000000 +000000000000000000000000001011000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000011000000000000000000000000000000 -000100100000100000000000000000000000000000000000000000 .io_tile 13 1 000000000000000000 000000000000000000 @@ -444,9 +444,9 @@ 000000000000000000 .io_tile 0 2 000000000000000000 +000000000001100000 000000000000000000 -000000000000000000 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 000100000000000000 @@ -460,158 +460,158 @@ 000000000000000000 000000000000000000 .logic_tile 1 2 -000000000000000000000000000101000000000000001000000000 -000000000000000000000010110000000000000000000000001000 -000000000000000101000010100000000001000000001000000000 -000000000000000000100110110000001001000000000000000000 -000000000000000101000000000000000001000000001000000000 -000000000000001101100000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000001101000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000001 +000000000000000000000010100001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000000000110000000000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +110000000000000011000000010000001001001100111000000010 +100000000000000000000010000000001010110011000000000000 +000000000000001001100000000000001000001100110010000010 +000000000000000001000010010000001001110011000000000000 +000000000000000000000000011101000000000001010000000000 +000000000000000000000011000101101101000010010000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000000101000000000010000000000100 +000000000000000000000000011000000000000000000101000000 +000000000000000000000010001101000000000010000000000001 .logic_tile 2 2 -000000000000001111000000000001100000000000001000000000 -000000000000000111000000000000100000000000000000001000 -111000000000000011000010000101000000000000001000000000 -000000000000000000000100000000101110000000000000000000 -010000000000000000000010000001001000001100111000000011 -100000000000000000000100000000001101110011000001000000 -000000000000000001100000000101101000001100111000000000 -000000000000001101000000000000001110110011000000000001 -000000000000000000000000000000001000111100000000000000 -000000000000000011000011110000000000111100000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000001000000000000000000000000000000100000010 -000000000000000111000000000011000000000010000000000000 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000001000000000011000000000000 +000000000000000101000111100001100000000000001000000000 +000000000000000000000011000000100000000000000000001000 +111000000000000011100011000101100000000000001000000000 +000000001000000111100000000000101101000000000000000000 +110000000000000101000000000001001000000011110000000000 +100000000000000000000000000000100000111100000010000000 +000000000001011001000011100011000000000001000100000000 +000000000000000101100100000001000000000011001000000000 +000000000000000000000011100001100000000001000100000000 +000000000000001011000100000101000000000011001000000000 +000010100001010000000000000001100000000001000100000000 +000001001000100000000000000001000000000011001000000000 +000010100000000000000000000101100000000001000100000000 +000000000000000000000000000101000000000011001000000000 +010000000000000000000000000001000000000001000100000000 +110000000000000000000000000001100000000011001000000000 .ramt_tile 3 2 -000000000000000000000000000000000000000000 -000000010000000000000011011001000000000000 -111000000000000000000000000000000000000000 -000000010000000000000000000101000000000000 -000000000000000000000000000000000000000000 -000000000000001011000000000111000000000000 000000000000000000000110101000000000000000 -000000000000000000000000000111000000000000 +000000010000000000000000000111000000000000 +111010100001000000000000001000000000000000 +000000010000100000000000000101000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000000101000000000000 +000010000000001101100110110000000000000000 +000000000100000101000010101001000000000000 +000001000000000000000000001000000000000000 +000000100000000000000000001101000000000000 +000000000000001000000110011000000000000000 +000000000000000111000110011101000000000000 000000000000000000000000001000000000000000 -000000000000000000000000001101000000000000 -000001100000000101100000001000000000000000 -000010100000000000000000001111000000000000 -000100000000000101100000001000000000000000 -000100000000000000000000001111000000000000 -110000000001001111100110111000000000000000 -110000000000000101100010100011000000000000 +000010000000000000000000001011000000000000 +110000000000000001100000001000000000000000 +010000000000000000100000001011000000000000 .logic_tile 4 2 -000000000000000011000010001001100000000001100000000000 -000000000000000001000000000001101011000000110001000000 -111000000000100000000011101000000000000000000100000000 -000000000001010001000100000111000000000010000000000000 -010000001010001000000110000000000000000000000000000000 -100000000000000001000000000000000000000000000000000000 -010000000000000000000000000000000000000000000100000010 -000000000000000000000000000101000000000010000010000001 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000111000000000011000000000000 -000000000000100101100000001000000000000000000100000000 -000000000001000000000000000011000000000010000000000000 -000000000000000000000000001001000000000001000100000000 -000000000000000000000000000111100000000011000000000000 -000000000000001101100000001001000000000001000100000000 -000000000000000101000000000011000000000011000000000000 +000000000000001011100010011011000000000001010000000000 +000000000000000001100111000011001111000010010000000000 +111010001100001111000011100101000000000001000000000001 +000000001010000001000111111011100000000000000000000000 +010000000000001000000111111111011111001001000000000000 +010000000000001001000110000001111010001010000000000000 +000000000000000011100000000001100001000001100001000000 +000010000010000000000000001001101001000000110000000000 +000000000000000011100010011101000000000001000110000000 +000000000000000000100010100111100000000011001000000000 +000000000010001000000110001011000000000010110110000010 +000000000000000011000010000001101010000000111000000000 +000000000000000000000010000001000001000001100100000000 +000000000000000000000110110001001101000010101000000100 +010000000000000011000011001111100001000001010100000100 +000000000000000000100010011011101111000010011000000000 .logic_tile 5 2 -000000000010001001100110011011100001000011110000000000 -000000000110000001000010000011001011000011000000000000 -111000000000001101000111101011100001000000000000000000 -000000000000000001100000001101101010000000110000000000 -010000000000000001100000000001111000100101010000000000 -100000000000000101000010100011011101100110100000000000 -000000000000001111000111111101100000000001000000000000 -000000001010001011000111000111001000000011000000000000 -000000000000000101000111111001001100000011100000000000 -000000000000000001000011101101101000000011000000000000 -000000000000000001000000000111011000000100000000100000 -000000000000001011100011110101101011000000000000000000 -000000000000001101000010101101001100000010110100100000 -000000000000000001000000000101001010000000110000000000 -000010100000001000000000000001001110000011100100100000 -000000000000000101000000001011101110000011000000000000 +000000000000000001100110001001100000000011000000000000 +000000000000000000000010011001000000000000000000000000 +111000000000000001100110010111000000000001000000000000 +000000000000000000000010001001000000000011000000000000 +111000000000001000000110000111000000000000000000000000 +010000000000000001000000001111101001000000010000000000 +001010100000001001100000011101100001000010000000000000 +000000000000000001000010001101001001000000000000000000 +000000000000000000000000000101100000001111000000000000 +000000000000000000000010010000100000110000110000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000101000000 +000000000000000111100010000101100000000001000100100000 +000000000000000000100010001101000000000011000100000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000000001000000000010000101000000 .logic_tile 6 2 -000000000000001001100011101001001001010100000000000000 -000000000000000001100011010111011101110000000000000000 -000000000000001001100000001001000000000010000000000000 -000000000000000111100000001101000000000000000000000000 -001000000000000001100110011001111111010100000000000000 -000000000000001101000010000001001101110000000000000000 -000000000000000011100000010011011111010100000000000000 -000000000000000101100010000011011111110000000000000000 -000010100000001101000111101001000001000000110000000000 -000000000000000111100100000111001010000000000000000000 -000000000000000000000000010101000000000010000000100000 -000000000000000000000010101101100000000000000000000000 -000000000000000011100000000011001111010100000000000000 -000000000000000101100000000111101101110000000000000000 -000000000000000011110010011101001000001100000000000101 -000000000000000101000010100101011010101100000000000010 +000000000100001000000110101011001101000010000000000000 +000000000000010001000010001101101001000000000000000000 +111000000000001001100011101011000000000011000000000000 +000000000000000101000111011001001001000011110000000100 +010000000000001101100000000001100000000000000000000000 +010000000000000101000000000101000000000001000000000010 +000000000000000001100010111001000000000001000000000000 +000000000000000000100010001101000000000000000000000001 +000000000000000001000010001011111101110011000000000000 +000010000000000111100100001011101111000000000000000000 +000000000000001001000110010001101101000100100000000000 +000000000000001111100011010101001001000000000000000000 +000000000000000001000000000011101111000010000000000000 +000000000000000001100000000111001010000000000000000000 +110000000000000000000010011000000000000000000100100000 +010000000000000000000111011111000000000010000000000010 .logic_tile 7 2 -000000000000000000000000010011100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -111000000000000001000000000111100000000000001000000000 -000000000000000000010000000000001100000000000000000000 -010000000000000000000000000111101000001100110000000000 -100000000000000001000000000000101110110011000000000000 -000000000000001000000110100011000001000001010001000000 -000000001100000001000000000101101000000001100000000000 -010000000000000111100000011011100001000010000000000000 -000000000000000000100011111111001101000000000000000000 -000000000000000101100110111001000000000001000000000000 -000010000000000000000010100101100000000011000000000000 -000000000000000111100000001000000000000010000100000000 -000000000000000000100000001101000000000000000000100000 -000000000010100101100110110000000000000000000000000000 -000000000001010000000010100000000000000000000000000000 +000000000000001011100110010101000000000000100000000000 +000000000000000001100010000101101101000000000000000010 +111000000001000001000000001101100000000000000000000000 +000000000000000001000000000101000000000001000000000000 +110000000000000011100111101001100000000000110000000000 +110000000001010000100110111111001011000001110000000000 +110010000000001111100111110101100000000010010000000000 +110000000000000111000010000011101000000001010000000000 +110000000000000001100110011001011111110000000000000100 +110000000000000000000110100001111110100000000000000000 +000000000000000111010000001001011111010000000000000100 +000000000000000011010000000011001011110000000000000100 +000010000000100000000011110011001110100100000000000000 +000001000001010000000010101001111010101000000000000000 +010010100000000101100111010111111100000011010100000000 +100000000000000000000110100001101100000011110000000100 .logic_tile 8 2 -000010000000001111100000011011100000000011000000000000 -000000000000000001000011011101000000000000000000000000 -111000000000001101100010101101000000000000100000000000 -010000000000010101000000000001101011000000000010000000 -110000000000001111100110010001100000000000100000000000 -010000000000000001000010000001101010000001000000000000 -000000000001011101100110000101101000001100110000000000 -000000000000100101000000000000110000110011000000000000 -000000000000000000000000010001000001000011000000100000 -000000000010000000000011111111001000000011110000000000 -000000001010100001100000000000000000000000000100000000 -000000000000000000000000000101000000000010000100000000 -000000000000000000000111001000000000000000000100000000 -000000001110000000000100000101000000000010000100000000 -010000001111000000000000001101000000000001000100000000 -100000000000000000000000000101100000000011000100000000 +000010100000001011100000010001100000000000000000000000 +000001000000001111000011100001001111000000010000000010 +000000000000000001000011100101011010111100000000000000 +000000000000000111000000000101111011011100000000000010 +000000000000001001000110010001100000000000000000000000 +000000000000001111000010001001000000000001000000000000 +000000000000000000000110000111000000000000000000000000 +000000000000000000000000001011000000000001000000000000 +110000000000000000000010101111000000000000100010000000 +110000000100001011000110000001101011000000000000000000 +000000000001010000000000001101001101000010000000000000 +000000000000000000000000000111011001000000000000000000 +000000100010000011000010101001000000000010000000000000 +000001000000001011000110110001001100000011000000000000 +000010000000000000000000000011000000000010000000000100 +000000000000001011000000000111100000000000000000000000 .logic_tile 9 2 -000000000000001001100110010000001000001100111100000010 -000000000000000001000010000000001000110011000000010000 -111000000000001001100110010000001000001100111100000010 -000000000000100001000010000000001000110011000000000000 -010100000000000000000000000000001000001100111100000000 -100000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001000001100111100000001 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000010000000000001001110011000000100000 -010000000000000000000000000000001001001100110100000000 -000000000000000000000000000000001001110011000000000000 +000001000000100111000110000101100001000001010001000000 +000000000001010000000011110111101101000010010000000000 +111000000000000000000011100000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +010000000000000111000010010101000000000010000000000000 +010000000000000000000111110101100000000000000000000100 +000000000000000000000011110001100000000000000010000101 +000000000000000000000010001011101000000000010000000000 +000000000000000011000011111011000001000011000000000000 +000000000000000000100010001111001011000011010000100000 +000000100000000000000000000011011100000000110000100000 +000001000000000000000011101011111001101000110000000000 +000000000000000011000000000001001101100000000000000100 +000000000000000000000000001101011001000000000000000010 +000000000000000000000110001000000000000000000100000000 +000000000000000000000000001001000000000010000000000001 .ramt_tile 10 2 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -619,50 +619,50 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 2 -000000000000000001000010000001000000000000001000000000 -000000000000000000100100000000000000000000000000001000 -111000000000000000000010100011100000000000001000000000 -000000000000000000000100000000101001000000000000000000 -010000000000000000000000000011000000000000001000000000 -100000000000000000000000000000100000000000000000000000 -000000000000000000000000000111100000000000001000000000 -000000001000000000000011010000000000000000000000000000 -000000000000000000000011100011100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000000000000111000000001000111100000000000000 -000000000000000000000010010000000000111100000000000000 -000000000000000000000000001001100000000000000000000000 -000000000000000000000000001111100000000001000000100000 -000000000000000001000010101000000000000000000100000010 -000000000000000000000000001101000000000010000011000000 +000000000000000101000010011001000000000000000000000000 +000000000000000000100010001101000000000001000000100000 +111000000000000001000000001000000000000010000000000000 +000000000000000000100000000001000000000000000000000000 +000000000000001111000000000101101010010011000000000000 +000000000000000001000000000101101111110011010000000000 +000000000000000000000110000000000000000010000000000000 +000100000000100011000011011001000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000010010000000000000010000100100000 +000001001110000000000110101111000000000000000001000000 +000000000000000000000010001000000000000010000100000000 +000000000000000000000010011011000000000000000000100000 +000000000000000000000000001001000001000001110100000000 +000000000000000000000000000101101101000001100000000000 .logic_tile 12 2 -000000000000000000000000000111100000000000001000000000 -000000000000000000000011010000100000000000000000001000 -000000000000000111000000000001000000000000001000000000 -000000001000000000000000000000100000000000000000000000 -000000000000000000000000000011100000000000001000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000010000000000000000000100000000000000000000000 -000000000000001000000011110011000000000000001000000000 -000000000000000011000011010000100000000000000000000000 -000000000000000011100000000011000001000000001000000000 -000000000000000000100011010000001110000000000000000000 -000000000000000000000000000001100000000000001000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000011100000000000001000000000 -000000000000001011000011100000100000000000000000000000 +000000000000000000000000001000000000000000000110000100 +000000000000000000000000000101000000000010001110000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .io_tile 13 2 000000000000000000 000000000000000000 @@ -670,7 +670,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 +000100000000010000 000000000000000000 000000000000000000 000100000000000000 @@ -684,10 +684,10 @@ 000000000000000000 000000000000000000 000000000000000000 +000000000000011000 000000000000000000 000000000000000000 -000000000000000000 -000100000000000000 +000100000000010000 000000000000000000 000000000000000000 000100000000000000 @@ -698,212 +698,212 @@ 000000000000000000 000000000000000000 .logic_tile 1 3 -000000000000000000000010001000000000000010000000000000 -000000000100000000000000001011000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000001000000001000000000000010000000000000 -000000000000000000100000000101000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000100010010000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000011011011000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000010011001000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000011000000000101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000111000000000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000011110000000000000000000000001000 +111000000000000111000000000101000000000000001000000000 +000010100000000000100000000000100000000000000000000000 +011000000000000000000011100011001000000011110000000100 +010000000000000000000100000000000000111100000000000001 +000000000000001000010000000000000000000000000000000000 +000000000000001101000010010000000000000000000000000000 +000000000000100111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000000101000000000010000000000000 +000100000100000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +011000000000000000000000001000000000000000000100000000 +100000000000000000000000001101000000000010000001000000 .logic_tile 2 3 -000000000000000111000111000000000000000010000010000000 -000000000000000000000110001101000000000000000000000000 -111000000000000001100111001000000000000010000010000000 -000000000000000101000110001001000000000000000000000000 -000000000000000111100010100011000001000000100000000001 -000000001000000000100110011001101000000000000000000000 -000000000000000000000011010000011001001100110000000000 -000000000000000000000010000000001100110011000000000000 -000000000000100000000110001001000000000000000010000000 -000000000000000000000000001111100000000001000000000000 -110000000000001001010000000001100001001100110000000000 -000000000000000001100000000000101011110011000000000000 -000000000001000000000000001001100000000000000100000010 -000001000000100000000000001101000000000001000000000000 -001000000000000000000110000011101010111100110100000000 -000000000000000000000000000001001011010100110000000000 +000000100000000001100110001111100000000010100000000000 +000000000000000011000000000111101010000001100001000000 +111000000000001101100011101111000001000000100000000001 +000000000000001101100100000001001001000000000000000000 +110000000000001111100011001001000000000001000000000000 +010000000000000001100000001101000000000000000010000000 +000000000110000001110110010111001011000101110000000000 +000000000000000000000011110101111000001001110000000000 +001100000000000000000000000001100000000000000000100000 +000000000000001111000011100111101100000000010000000000 +000000000000001000010110001011101010001001000000000000 +000100000000000101000000001011001000001010000000000000 +000000000010000101100011100001000001000000100000000010 +000001000001000000100000000001101000000000110000000000 +010010100000000111000000011101100000000001000100000001 +000001000000000000000010101101000000000011001000000000 .ramb_tile 3 3 -000000000000100001000111101001000000000000 -000000000001010000000000001111100000010000 -111000000000000011000010000000000000000000 -000000000000000000000100000001000000000000 -111100000000000000000000000001100000000010 -110100000000000001000000001011000000000000 -000000000000000000000000001000000000000000 -000000000000001111000000001001000000000000 -001000000000100000000000010101100000000000 -000010000001010111000011110111000000010000 -000100000000000011000011101000000000000000 -000000000000000000110000001011000000000000 -000000000000000111010110100101000000000000 -001000000000000000010100000011000000000100 -110010000000000001000010001000000000000000 -110001000000000000100100000011000000000000 +000001001001100111000000000011000001000001 +000010100000100000000000000011101101000000 +111000001010000101100111111000000000000000 +000001000000000000100111011001000000000000 +011000000000100000000111100101100000000000 +110000000000010000000100001011000000000000 +000010100000001000000000001000000000000000 +000001000000001001000000001111000000000000 +000000000000001000000010010011100000000000 +000000000000000111000111100111100000000000 +000000000000000000000111001000000000000000 +000000000000001001000011100001000000000000 +000000001110000011000000001111000000000000 +001000000000000001000000001001000000000000 +110010000000000000000000000000000000000000 +010001000000000000000010010001000000000000 .logic_tile 4 3 -000000001100000000000011100111000000000000001000000000 -000001000000000000000100000000000000000000000000001000 -111000000000000000000110010000000000000000001000000000 -000000000000000000000010000000001111000000000000000000 -000001000000000000000000000000000001000000001000000000 -000010000000000000000000000000001010000000000000000000 -000000000000000001100111100000000000000000001000000000 -000000000000001101000010110000001001000000000000000000 -000000000000001000000000000000001000111100000000000000 -000000000000000111000000000000000000111100000000000100 -000000001110000000000000001000000000000010000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000001000000000010001100000000001000010000000 -000010000000001111000011110011100000000000000000000000 -000000000000000000000000011001111011001100000100000000 -000000000000000000000010001101111010101101010000000000 +000010001100001111100110001001101101000100000001000000 +000001000000000011100000001111101100001100000000000000 +111000000000000001000010001001101111000110100000000000 +000000000000000000100110100101101011001111110000000000 +110000000000100001000111011011101010101100010001000000 +010000000001010000110111011101111000101100100000000000 +000000000000000111000010101101000000000000000000000001 +000000000000001011000110010001100000000001000000000101 +001000000000010001100000010011100000000000000000100000 +000010100000100000000011110101000000000001000000000000 +000010000000000011100111000000000000000000000100100000 +000000001110001111100100000001000000000010000000000000 +000000000000000011100000001000000000000000000101000000 +000000001100000000100000000101000000000010000000000000 +010010000000000000000011000000000000000000000100000000 +100001000000000000000000001001000000000010000000000100 .logic_tile 5 3 -000010000000000111100011011101100000000010100000000000 -000001000010001101000110001011101001000010010000000010 -111000000000000101100111100111100000000010100001000000 -000000000000000011100011010111101011000010010000000000 -110000000000001111100000010001100000000001000000000000 -110000001110001111000010000101000000000000000000000000 -000000101100001101100011111011011010010110100000000000 -000001000000001111100011000101001000100110100000000010 -000000000000000111100000001011011000010110100000000000 -000000000000100011000000001101001010100110100000000000 -000000000000000000000000001001111010010110100000000001 -000000001010000000000011111111101000100110100000100000 -000000000000001000000010000101000001000010010110000000 -000000000000001111000000000001001000000001011000000000 -110000000000000001100110101111100000000010010100100000 -000000001000000000000010001001001001000010101000000010 +000000000000000111100010000111111000110011000000000001 +000000000000001001100010011011111100000000000000000000 +111001000000110000000110011101101110000010000010000000 +000011100000110000000010001111101011000000000000000000 +010010100000001000000111101101100000000000000001000001 +110001000000001111000010000111100000000001000000000001 +000001001100110000000110100101111100110011000001000000 +000011000000110000000010010101111001000000000000000000 +001000000000000001000010001011001010000110100000000010 +000000000110001111100111111111011000001111110000000000 +000010101011000000000010011001011000000110100000000000 +000000000000100000000011111011111101001111110000000100 +000010000000000111000010000000000000000000000100000000 +000001000110000000100000001111000000000010000000000000 +010000000000000011100011110000000000000000000110000001 +100000000000010000000110000001000000000010000000000000 .logic_tile 6 3 -000010000000000101100000001011100000000010000000000000 -000001000000000001000010000011000000000000000000000000 -111000000000000001000010001101100001000000110000000000 -000000000000000000100100001001001100000000000000000000 -010000000000000011000011100101111010000011010000000000 -110000000000000000000000000001011110000011110000000010 -000000000000001011000010011001000000000000110000000000 -000000000000000101000111110101001100000000000000000010 -000010101000000101100000000001100000000010010110000000 -000001000000000000000010111011001010000001011000000000 -000000100000001101100000001001000000000010010100100000 -000000000000000101000000000111101110000001011000000100 -001000000110001101100000000101100000000010010100000000 -000000000000100101000000000001001110000010101001000000 -110000000000000000000000000101100001000010010100000000 -000000000000001101000000000001101100000001011001000000 +001000000001000011000110000101100000000001000000000000 +000000001110100000100011011111100000000000000000000100 +111000001000000000000110000101111000011100000000000100 +000000000001000001000011010001001011111100000000000001 +010000000000000111000010010101011001110011000000000000 +010000000000000011000110001101011111000000000000000000 +110001000000000001100110001011000000000000000000000000 +110010000000001011000011011111000000000011000000000000 +000000000000001011100111101101001101000010000000000000 +000001001100001111100110001001011011000000000000000010 +000000000000000000000000000011001011000100000000000000 +000010100010000000000010001001101011100000000000000000 +000000000100000000000111001011011000000110100000000010 +000000000000000000000100001011011111001111110000000000 +010001000000000000000111111000000000000000000100000000 +100010000000100000000111000101000000000010000000000000 .logic_tile 7 3 -000000000000001111000000011101000001000000010000000000 -000000000000001101100011110001101100000000000001100000 -111110100000001000000111101011000000000000010000000000 -000001000010001101000110010011101000000000000000000000 -010000000000000000000000010001000001000000000000000000 -100000000000000000000010001001001001000000010000000000 -000000000000000000000000011001000001000000010010000000 -000000000000001101000010000011001110000000000000000000 -000000000000100000000000011101100000000000010000100100 -000000000001010000000010100001101101000000000000000001 -000000100000001101110000001001011001000000000000000000 -000001000010100101000000001001011111100000000000100000 -000000000000100000000000000001100001000000010000000000 -000000000000010000000000000001101001000000000000000000 -000000000000001000000000011000000000000000000100000000 -000000000000000101000010100101000000000010000000000001 +000000000000001000000010100000000001011010010000000000 +000000000000000001000100000000001010100101100000000000 +111110000001011000000000001011100000000000000000000110 +000001000000001011000000001011000000000001000000000000 +010000000000000011100010000111000000000001000010000000 +110000000000000000100100000101100000000000000000000000 +001000000011001001100000000001100000000001000000000000 +000000000000000001000000001001000000000000000000000000 +000010100000000000000110111111011011000100100110000010 +000001001110000111000010101011001010000000000000100001 +000010100010000000000111000001000001000000110111000010 +000001000100001011000111010111001111000001110000000000 +000000000000000000010000011111000000000000100100000011 +000000000000000111000011111011001101000000000010000000 +010000000001000000000000001011000000000000100110000010 +100001000100100000000011011111001101000000000000000000 .logic_tile 8 3 -000000001000000000000110010111101100100000000000000000 -000000000000001001000010001111001001000000000000100000 -000000000000000000000011000101101011000000000001000000 -000100000000010000000100000101101011100000000000000000 -000000000000000101100000000111101110010000000000000000 -000000000000001001100010001011101110000000000000000000 -000000000000100000000011000011001101100000000000000000 -000000000001010001000111000111101010000000000000000000 -000000000000000001110000010101101010000100000000000000 -000000000010011111000011110001011100000000000000000000 -000000000000001101100000010011101111000000000000000000 -000000000000000101000010100101011001100000000000000000 -000000000000000111100110110011101001100000000000000000 -000000000000000001100010101111011101000000000001000000 -000000000001011000000000000111001100000000000000000010 -000000000000000101000010000111111111100000000000000000 +000011100000000001000011000001100000000000001000000000 +000001000010001101100000000000000000000000000000001000 +000000000000000101100010110000000000000000001000000000 +000000000000000000000010000000001100000000000000000000 +000000000000000011100000000000001001001100111000000000 +000000000000000101100000000000001110110011000000000000 +000000001100001000000010100000001000001100110000000000 +000000000000100001000000000000001101110011000000000000 +000000000000001111000111100101000000000010000000000000 +000000000000001001000100000001000000000000000000000000 +000000000000000000000000000001100001000000000010000000 +000000000000000000000000001001101010000000010000000100 +000010100000001011100000000111011000001100000000000000 +000000000010001001000000000101011110000100000000000000 +000000000000000011100000000011011011010000000000100000 +000000000010000000000000000001011010000000000001000000 .logic_tile 9 3 -000000000000001101000010100011101101100000000001100000 -000000000000000111000010101101001111000000000000000000 -111000000000000101100010110001001100010000000010000000 -000100000000000000100010000101001110000000000000000000 -110000000000000011100110001101000000000000010000000000 -110000000000000111000010101001101101000000000000000000 -000000000100000101000000001101011011000100000000000000 -000000000100000101000000000101001001000000000000000000 -000000000000101011000000001001001000010000000000000000 -000000000001010011100010001111011001000000000000000000 -000000000010000001100000000011000000000000000000000000 -000000000000000000000000001101100000000001000000000000 -000000000000001000000111001001000000000000000000000000 -000010000000001101000000001111000000000001000000000000 -010000000000000000000011001000000000000000000100000000 -100000000000000000000000000001000000000010000000000001 +000000000100000101000011001101000000000000110001000001 +000000000000000000100010101011101111000000100000000100 +111100000000000000000110110011000000000000000011100000 +000000000000000000000111110001100000000001000000000000 +000000000000000000000000000111100000000001000000000000 +000000000010001101000010101001100000000000000000000100 +000000000000001111100000000011000000000001000001000100 +000000001110000111000000000001100000000000000000000000 +000000000000000000000111010101011000000011110010000100 +000000000000000000000110000000010000111100000000000000 +001000000000000111000000011000000000000010000001000000 +000000000000000000100011000011000000000000000000000000 +000000000000000000000111000000001000000011110001000000 +000000001000000000000100000000010000111100000000000000 +010000000000000000000000011001111010010100000100000010 +100000000110000000000011000101101101100100000100000000 .ramb_tile 10 3 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000010000000100000000000000000000000000000 -000000000000100000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 .logic_tile 11 3 -000000000000100001100000001001100000000010000001000000 -000000000001011011000011111111100000000000000000000001 -111000000100000000000110001011100001000000010000000000 -000000000000000001000010001111001110000000000000000000 -010000001010000000000000000101100001000000000000000000 -010000000000000000000000000111101011000000010000000000 -110000000000000101000111100101100000000000100000100100 -100000000000000011000110100001001110000000000000000000 -000000001010001111000000011011100001000000010000000000 -000000000000001011000010001001101000000000110000100000 -010001000000001000010110101011000000000000010000100000 -000000000000000101000000000101101111000000000000000000 -000000000000001111100000010011000000000000010000000100 -000000000000000101000011110001001100000000000000000000 -010000000000000000000110111000000000000010000101000000 -000000000000000001000010001001000000000000000010000000 +000000000000001111100111110101000001000000100000000000 +000000000000001111100110001001001100000000000000100000 +000000000000000000000111100101000001000011010000000000 +000000000000000011000011001111001101000011110001100100 +000000000000000011100000000001101100000011110000100000 +000000000000000011000011010000110000111100000000000000 +000000000000100001100000000111100001000000010000000100 +000000000000000000000010101111101011000000000000000000 +000000000000000111000110100011100000000000000000100000 +000000000000000111100000000011001000000000010001000000 +000000000000001011100110110011111110111000000000000000 +000000000000000101000011000001101011111100000000000000 +000000000000000000000000001001000001000000110000000000 +000000000000000000000011100001101010000000010000000010 +000000000000000111110110100001100000000001000000000000 +000000000000000000000000000011100000000000000001000000 .logic_tile 12 3 -000000000000000111100000010000001000111100000000000000 -000000000000000000100011110000000000111100000000010000 -111000000000100000000110000011100000000000000001000000 -000000001001010000000000000001100000000001000000100100 -010000000000000000000000000000000000000000000000000000 -100000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000010000010000000011111011000000000000000000 -000000000000100000000000001001011101100000000000000000 -000000000000000111000110010111000001001100110010000110 -000000001010000000110111000000101101110011000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000111000001001100110110100000 -000000000000000000000000000000101001110011000000000000 +000000000000000111000000000011000000000000001000000000 +000000000000000000000011100000000000000000000000001000 +111000001100000000000000000011000000000000001000000000 +000000000000000000000000000000101000000000000000000000 +010000000000000011000010110101101000111100001001000000 +110000000000000000000111010000101001111100000000000000 +000000000000000000000110000101001000111100001000000000 +000000000000000000000011100000001000111100000000000000 +000010100000000101000000000000001000111100000000100000 +000001000000010000100010110000000000111100000000000000 +000100000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000001101000000000011000000000000 +000000000000000000000000001101100000000000000000000000 +010000000000000000000000000000000000000000000100000010 +100000000000000000000000001101000000000010001101000000 .io_tile 13 3 -000000000000000000 -000100000000000000 +000010000000000000 +000110110000000000 000000000000000000 000000000000000000 000000000000000000 @@ -912,11 +912,11 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000011000010 -000000000011000000 +000000000000000010 +000000000001000000 000000000000000000 000000000000000001 -000000011000000001 +000000000000000001 000000000000000000 .io_tile 0 4 000000000000000000 @@ -936,162 +936,165 @@ 000000000000000000 000000000000000000 .logic_tile 1 4 -000000000000000000000010000001100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000001000000000000000000000000001000000000 -000000000000000000100000000000001001000000000000000000 -000000000000000101000000000000001001001100111000000000 -000000000000101101100010110000001111110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000010100000001000001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000100 -000000000000000011000000000000001000001100111000000000 -000000000000000000100000000000001110110011000000000100 -000000000000000000000110100000001000001100110000000000 -000000000000000000000100000000001011110011000000100000 +000001000000000000000000000000000000000000000000000000 +000010100000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .logic_tile 2 4 -010001000000000001100110010101100000000000010000000000 -000000000000001011000011011001101100000000000001000001 -111000000000000001100110001011100000000001000000100000 -000000000000000011000010000011100000000000000000000010 -000000000000000111100110000111000001000001010010000100 -001000001000001011000000000101001001000001100000000000 -000000000000000000000110011101100000000001000000000000 -001000000000000011000011100011100000000000000000000010 -000000000000000000000010000001001100001100110010000000 -000000000000000001000100000000110000110011000000000101 -010000000000001111000000011011100001000000000010000101 -000000000000000001000010001001101100000000110000000000 -000000000000000000000000000001011001000011000100000000 -001000000000000011000000000001001000101011010000000000 -000000000000000000000000000101011101001100000100000000 -000000000000000000010000000101101010101101010000000000 +010100000000000111000010101011000000000000000000000001 +000100000000001111100100000001100000000001000001000000 +111000000000000000000000000101000001000010100001000000 +000000000000001001000011000111001100000001100000000000 +110000000000001111100000001000000000000000000100000011 +111000000100010001100000001001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000001000000 +000000000000100000000111101000000000000000000100000011 +000010000000000000000000001011000000000010000000000000 +000010100000000001000000000000000000000000000110100000 +000001000000000000100010010101000000000010000000000000 +000001001100001000000010000000000000000000000100000000 +001000000000001101000000000001000000000010000010000000 +010000000000000000010000000000000000000000000100000000 +101000000000000000000000001001000000000010000011000000 .ramt_tile 3 4 -000100001100000111000111000001100000000000 -000110010000000000000100001011100000010000 -111000000000000000000111100000000000000000 -000000010110001001000000000111000000000000 -000000000001010000000000001101100000001000 -000000000000000000000000000011100000000000 -000000000000000000000000010000000000000000 -000000000000000000000011101111000000000000 -000000000000001000000000000001000000000001 -000000000000001011000010010011000000000000 -000000000000000000000111001000000000000000 -000000000000001001000000001011000000000000 -000000001110100000000000001111100000000000 -000000000001010001000010000111000000010000 -010000000000000011100000000000000000000000 -010000000000000111000000001001000000000000 +000001000000000000000111100011100000000000 +000010011100000111000100001111101000000001 +111000001011010111100000001000000000000000 +000000010000100000100000000001000000000000 +000000100000000000000000001011100000010000 +000001000110100000000000000111000000000000 +000000000000000001000000000000000000000000 +000000001100000001100000001011000000000000 +000001001010001111100010001101100000000000 +000010000000000111000100001011000000000001 +000000000000000101100110110000000000000000 +000000000000000000000010110011000000000000 +000000000000000000000011100111100000000000 +000000000001010000000000000011100000010000 +010001000000000111000000010000000000000000 +110000000000000000000010101001000000000000 .logic_tile 4 4 -010000000000001000000110001001100001000000000001000000 -000000000000000001000010010001101001000000010000000000 -111000000000000001000110011111100000000010000000000001 -000001000010100101100011111101100000000000000000000000 -000000000000001000000000011101111011100000000000000100 -000000000000000001000010001101101111000000000000000001 -000000000001000111000011111001001001000111110000000000 -001000000000000101000011110011011101000011110000000000 -000001000000100000000000001101011001010111110000100000 -000000100001000000000000000101001101100111110000000001 -000000000000000101100111011001111011001001010000000000 -000000000010000000000111010101111001010100100000000000 -000000000000000000000000001101001001000011010010100000 -001000000000000000000000000101111101000011110000000000 -000110100000000000000110001000000000000010000100000000 -000101001110000000000000001101000000000000000000000000 +011001000000100011100110001101000001000000100000000100 +000000100001001001000010010111001000000000110000000001 +111000000000001111000111111101101110001001000000000000 +010000000010001111100111000011111011001010000000000000 +010001001110000101000010000111011001110011000000000000 +011000000000000011100111101011111001000000000000000010 +000000101000000111000011000011100001000000010000000000 +000001000010000000000011000011001101000000110000000000 +001000000110010111100011100011011110000110100000000010 +000000000000100000000011100001111010001111110000000000 +001000000000000001100110001111000000000001000100000000 +000000000010000111000000000001000000000011001000000100 +000000000000100001100010011101100000000010010101000010 +001000000001000000000011100101101011000010101000000000 +011000000000000111100010010111011110111101110100000000 +000000000000010000000011100001111001111100111000000000 .logic_tile 5 4 -010000000000001101100000001101100000000010100000000010 -000001000000001111000010101011101001000010010000000100 -111000000000000001000010000011100000000001000000000000 -000000000000000000000000000001000000000000000000000000 -010010100000001001100110000101100000000010100000000000 -110001000000001111000000000111001001000010010000000010 -000000000000101111100000001001001101101100000000000000 -001000000000011001100010101001011000111100000000000000 -110000000000000000000000011011100000000010100000000010 -101000000000001111000011100101101001000010010000000010 -000000000000001101000010011101000000000001000000000000 -000000000000001001100011010011000000000000000000000000 -010000000000000111000000000101000000000000000101000000 -001000001110000000100000000011000000000001001000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010001000000000111000011010011111111100000000001000000 +000000100000000000000010000101011010000000000000000001 +111000000000000011000111101111011000110011000000000000 +000000000000001111000010111001111011000000000000000000 +010000000110100001100011011111011010000010000000000000 +111000000000000000000111111111111100000000000000000000 +000000000000000011100110001111001111000010000000000000 +000000000110001111010010110101011101000000000000000000 +000001000001011011000110000011000000000001000000000001 +000010100000111111100000001001100000000000000000000000 +000000000110000001100011100001101100110011000000000000 +000000001000000001000110000001001110000000000000000000 +000001001100000011000111111011011101110011000000000000 +001000000000001111100011100011011101000000000000000000 +010010100000000011000111111101111110001100000100000000 +000001000000000000000110000001101001101101011000000001 .logic_tile 6 4 -010010000000000111000000001001000000000000100000000000 -000000100000000111000010000001001000000000000000000000 -111000000000100000000011101111100000000000000000000000 -000000000000000111000011100011100000000001000000000100 -010000000000001111000010010001100001000000100000000000 -111000000000000001000110000101101000000000000000000000 -000000000000000001100110000000000000000000000000000000 -001010000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000001010111100000001101100000000001100100000100 -000000000000000000000000001101001011000001010000000000 -000000000001010000000000001111100000000001100100000010 -001000000000100000000000001001101001000001010000000000 -010000000000000000010111111101100000000001100100000000 -100000100000000000000110001101101010000001010000000001 +000000000001001111000010001111001010000110100000000000 +000000000000100001000010010111101010001111110000000000 +111001000010000111100111011101011101000110100000000010 +000010101000000000100110000001101101001111110000000000 +110000001000000111000011101101101100000010000000000000 +011000000000000001000100001111101111000000000000000010 +000010100110000011100111111001101110110011000000000000 +000000000000000000100110001001111101000000000000000000 +000010100000000111000011110111100000000000100000000010 +000001000000000000100111101001001000000000110000000000 +000000000000000000000110100011111010000110100000000000 +000000000000001101000100001001001000001111110000000000 +000111000000000000000111101000000000000000000100000100 +001111100000000000000010011101000000000010000000000000 +010000000000010101000110001000000000000000000100000000 +101000000000001101100011001111000000000010000010000000 .logic_tile 7 4 -010000000000000001010000000001100000000000001000000000 -000000000000000000100000000000000000000000000000001000 -111000000000001001010111000000000000000000001000000000 -000001000000000011100010000000001101000000000000000000 -010000001110000000000011100000001001001100111010000101 -110000000100000000000000000000001010110011000000000000 -000000100000000111100011100000001000001100110000000000 -001000001110000000000011100000001000110011000001000000 -000100000010000000000010000001000001000001010010000000 -000100000000010000000100001111001001000001100000000000 -010000000000000000000000001101100000000000000000100011 -000000001110000000000011101001100000000001000000000000 -000000000100000000000110001000000000000000000100000000 -001000000000000000000000001011000000000010000000000001 -010000000000000000000000001000000000000000000100100000 -010000001010000000000000001101000000000010000001000000 +000010101000000111100110100101101011000010000000000000 +000001000100001011100010111001011000000000000000000000 +111000001010001001100000011101011110110011000000000000 +000000000110001111000010001011111100000000000000000000 +110010100000000001100010010001101000100000000000000000 +011000001100000000000010000101011000000000000000000000 +000000000000000000000011101011011110000010000000000000 +000000000000000011000010010111011000000000000000000000 +000000000000100111100000010111111111110011000000100000 +000000000000011111100010101111011011000000000000000000 +000000000000000111000111101111011001110011000000000000 +000100000100000111000000000011011011000000000000000000 +000000000000001111100011001000000000000000000100000000 +001000000000000011000100000011000000000010000010000010 +010000100000001000000110000000000000000000000100000000 +101001000000001011000011110111000000000010000010000000 .logic_tile 8 4 -000000000000010111100011100101101101100000000000000000 -000000000000001001100110000101011011000000000000100000 -111000000000000111100111011011101101110011000000000000 -000000000000000000010111100001111100000000000000000000 -010000000000000001100010011101011100000010000001000000 -111010000000000000000011001111101000000000000000000000 -000000000010101000000110101001001000110011000000000000 -000000000010000001000111101001011011000000000000000000 -000000000000100000000110001111011011110011000000000000 -000000100001000000000011010011011111000000000000000000 -000000000001010001100000010000000000000000000101000000 -000000000000100111000010001001000000000010000000000000 -000000000000000000000000001000000000000000000110000001 -001010000001011011000000001001000000000010000000000000 -010000000001000000000110000000000000000000000100000000 -100100000000000000000000000001000000000010000000000001 +000000000000000111000000001101100000000000000000000010 +000000000000000000000011001011001100000000010000000000 +111100001110001001000000001101000000000001000001000000 +000001001110100001000010010111100000000000000000000000 +010010100000001000000011011101000001000000100000000000 +010000000000000001000010100111001001000000000010100000 +000000000000001101100000000101100000000001000000000001 +000000001000010001100000001011000000000000000000000000 +110000000000001000000010001001100000000000000000000000 +110000000000001111000110111111000000000001000000000000 +000000000000000000000000000001100000000000000000000000 +000000000000000000000010001101001101000000010000000000 +000000000000000000000000000111000001000000000000000000 +001000000000000001000000001011001000000000010000100000 +000100001100001001100111000000000000000000000100000010 +001100001110000101000000000001000000000010000000000010 .logic_tile 9 4 -010000000000001000000000000101000000000000100000000001 -000000000000010001000011111011001100000000000000000000 -111000000000001111100111001101011101010000000001000000 -000000000000001011000111011011101001001000000000000000 -010010000000000000000010011101000000000000010000000000 -011001000000000111000111100001101000000000110000000000 -000111100000000000010000000011000000000000000000000001 -001110100000000000010000001111001010000000010000000000 -000000000000001000000000010000000000000000000000000000 -000000000110000111000011100000000000000000000000000000 -000000000000001000000010001000000000000000000100100000 -000000000000001111000100000001000000000010000001000000 -000000000000000000000000011000000000000000000101000001 -001000000000000000000011101001000000000010000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000001001100010000001000000000001000010000001 +000000000000000111000010100011100000000000000000000010 +111000000000001111100000000101100000000001000000000000 +000000000000000111000000000111100000000000000000000010 +001000000000001001000000011001011010011000000000000001 +001000000000000111000011100011001001001000000000000000 +000000000000001111100010101011011111100000000010000000 +000000000000001111010111000101101100000000000000000100 +000000000000000000000000011111011010000000000000000000 +000000000000001111000010110111101110100000000000000100 +000000000000001111000000000111101011101101010100000001 +000000000000001011100000000101001000001100000000000000 +000000000000000111000000010111101011101101010101000000 +001000000000001111100010110001011010001100000000000000 +000000000000001011100000001001011001001100000100000000 +000000001100001101000000001101001000101101010010000000 .ramt_tile 10 4 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000001000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1100,57 +1103,54 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000110100000000000000000000000000000000000 -000101000000000000000000000000000000000000 .logic_tile 11 4 -010000000000000111000110001011000000000010000000000001 -000000000000000011000010001001000000000000000000000000 -111000100110001000000000000101000000000001000000000000 -000010000000001011000010011001100000000000000001000000 -010000000000000111000011001101101011110011000001000000 -011000000000000011000100001011101000000000000000000000 -000000100000001111100110001001011011000010000000000000 -001010000000000001000011011101001100000000000000000000 -000000000000000001110110110001011011000100100100000010 -000000001010000000000010100111001011000000000000000000 -000000000000001111100000001101000000000000100101000000 -000000000000000101100010001111001101000000000010000000 -000000000000000001000000000001000000000000100101000010 -001000000000000000100000000111001101000000000000000000 -010000100000000000000000000011100001000000110100000000 -100000001000000000000010010001001111000001110010100000 +000000000000000101000011101001011100001000000000000000 +000000000000000011000000000001111010001100000001000000 +111000000000000001000000000001000000000001000000000000 +000010000000001001110000000001000000000000000001000010 +010000000000000111100110001011000000000001000000000000 +110000000000000011100000001101000000000000000001000010 +000010100000100011100111001011100000000001000000000000 +000000000000000000100000001111000000000000000000000000 +000000000000010001000000001001100000000001000000100000 +000000000000100000000000000111000000000000000000000000 +000100000000001000000010010011100000000000000000100100 +000000000000000001000011100101101000000000110000000100 +000000000000000000000000001011100000000000000000000000 +001000000000000000000000000101100000000001000001100000 +000000000000000001100000011000000000000000000101000010 +001000000000000001000011110111000000000010000000000000 .logic_tile 12 4 -010010100000000011000000000001100000000000001000000000 -000001000000000000100000000000100000000000000000001000 -111000000001100101100110110000000001000000001000000000 -000010000001010000000010100000001010000000000000000000 -110001000010000000000000000000001001001100111000000000 -000000000000000000000000000000001001110011000000000000 -000000000000001000000110100000001001001100110000000000 -001000000010000101000000000000001000110011000000000000 -000000000000000011000000000111000001001100110000000000 -000000000000000000100000000000001011110011000000000000 -000000000000000000000000000000000000000000000100100000 -001001000000000000000000000001000000000010000000000000 -000010100000000000000000000000000000000000000000000000 -001000001010001011000000000000000000000000000000000000 -110000000000000101100000000000000000000000000100000000 -110000000000000000100000000011000000000010000000000000 +000000000000100000000000001001100000000011000000000000 +000000000001010000000011110101100000000000000000000000 +111101000000000000000110000101100000001111000000000000 +000000000000000000000010100000000000110000110000000000 +010000000000001111000000001001101101111110000000000000 +011010000110000001000010110101101101111100000000000000 +000000000000000001100000000001101010000010000000000000 +000000000010000000010010101111101010000000000000000000 +000000000000000001100000001001111011111011110000000000 +000000000110000000000000000101111101111111110000100000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000010001111000000000010000100000000 +000010100001010000000000011001101011111110000100000000 +001001000000100000000010000101111101111100000100000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000000101000000000010000100000000 .io_tile 13 4 000000000000000010 -000100000000000000 +000111110000000000 000000111000000000 000000000000000001 -000010000000000001 -000000110011000000 +000000000000000001 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000010000010 +000000000000000010 000000000001000000 000000000000000000 000000000000000001 @@ -1174,213 +1174,213 @@ 000000000000000000 000000000000000000 .logic_tile 1 5 -000000000000000001100111000111100000000001000000000000 -000000000000000000000010100011000000000000000000000010 -111000000000000001000111000001100000000001000000000000 -000000000000001101100111000111100000000000000000000010 -000000000000001000000010110011100000000001000000000000 -001000000000000001000010000011000000000000000000000010 -000000000000010001000000001101101100101000110101000001 -000000000000100101100000000101011000111100110000000100 -000000000000001000000000000101000001000001010100000001 -000000000000000101000010101101001000000001100000000100 -000000000000001001100000000101101100101000110100000000 -000000000000001101000000001001011011111100110000000110 -000000000000001000000110100001101111101000110100000000 -001000000000000101000010101001011100111100110000000100 -000000000000001000000000001111001101111001110100000000 -000000000000000001000000001001111000110101110000000110 +000000000000000000000000010101100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +000000000000000101000000000001100000000000001000000000 +000000000000001101100000000000001110000000000000000000 +000100000000000000000000000001101001111100001000000000 +001100000000000000000000000000001100111100000000000000 +000000000000000101000010100101101000000011110000000000 +000000000000000000100110110000100000111100000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +000000000000000000000000000101101000000011110000000000 +000000000000000000000000000000010000111100000000000000 .logic_tile 2 5 -000000000000000111100000001001000001000011010011000000 -000000000000000000000011011011001100000011110000000100 -111000000001001000000000011011101000011100000010000000 -000000000000101101000011110111111000111000000000000000 -000000001110001000000000001111000000000001000010000000 -001000000000000001000011011001000000000000000000000000 -000100000000000000000110100101100000000001000000100000 -000000000000000000000000000101100000000000000000000000 -000000000000001000000000001101000000000011000000000100 -000000000000001101000000000001101100000011010000000000 +000000000000000000000000001001100001000010000001000000 +000000000000000000000010000111101000000011000000000000 +111000000000001011100011101011011011010111110001000000 +000000000000001111100000001101001000011011110000000000 +110001000000000011100000000101001110110011000001000000 +011010100000000000100011011001101010000000000000000000 +000000000000000011000000001101000000000000000000100001 +000000000000000000100010110011000000000001000000000000 +000000000000000011100011110011000000001111000010100000 +000000000000000001100011100000000000110000110000000000 +001000000000000001000010000000000000000000000100100000 +000000000000000000000100001011000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001001000011100000000000000000000000000010 -000000000000001000000000000000000000000000000101000000 -001000000000000011000000001001000000000010000000000000 -010010000000000000000000000000000000000000000000000000 -100001000000001001000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +010000000000000001100000001000000000000000000110000000 +100000000100000000000000001011000000000010000001000000 .ramb_tile 3 5 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000100000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000010000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000010000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 5 -000000000000001101000110000011011001011100000000000000 -000000000110000011000000000001101100001100000000000001 -111000000000000001100000000101101100101100000000000000 -000000000000000000000011111011011001001100000000000000 -000000000000010001100000001111101010010100000000000000 -000000000000000000000010101011011111100100000000000000 -000000000000000101000000011111011000101000010000000000 -000000000000000011000010000001111000011000010000000000 -000001000000000000000111010001000000000000000000000000 -000010100110000000000011110001000000000001000000100001 -000000000000001000000010101111100000000001000000000000 -000000000000001111000010100101000000000000000000100000 -000000000000000001000111010111000000000000010000000000 -001000000000000000000010000101101110000000110000000000 -000000000000000101100000001011000001000000110100100000 -000000000000001111000000001011101011000001110000000000 +000001000000001000000010101111101110000110100000000000 +001010100000000001000111110101011010001111110000000000 +111000000000000101000110100101100000000001000001000000 +000000000100000011100100001001100000000000000001000000 +010000000000000001100010100001100001000000100000000000 +011000000000000000000110000011001110000000110000000000 +000000000001000101000110111111101110000010000000000000 +000000000000000000100111010011111101000000000010000000 +000000000000000001000111000011001011100000000000000000 +001000000000001001100011100001011000000000000000000100 +000100000000001111100011101011001011010111110000000000 +000000001100001011100000001011111001011011110000000000 +000001000000000000000111101000000000000000000101000000 +001010000000000000010010010011000000000010000000000000 +010000001010000001100110001000000000000000000100000000 +100000000000001001000000001101000000000010000010000000 .logic_tile 5 5 -010000000000100101000111100011101100110011110000000000 -000000000001000000100110000101011001010011110000000000 -111000000000000101100111001111000000000000000000000000 -000000000000000000000100000001001001000000010000100000 -000000000000001101000111110001100000000011010000000000 -001000000000000001000110001101001110000011000000000000 -000000000000001101000110010111111011011100000000000000 -001000000000000001100010001111011011111000000000000000 -000000000000000011100110000101011000111000000000000000 -000000000000000111100000001111111100110000000000000000 -000000000000000001000000000101011010111101110100000000 -000000000000000000000000000001001100111100110000000000 -000000000000000001100110011000000000000010000100000000 -001000000000000000100011010111000000000000000001000000 -000000000000000001000000001101100000000011010100000110 -000100000000010000110000000101001010000011110000000000 +000001000000000011100110011101101000010000000000000010 +000010000110000000100010101011011001110000000000000000 +111010000000001111100111001101101010000100000000000000 +000000000000001011000100000001011000001100000000000100 +110000000000100011100010110101100000000001000000000000 +011000000001000011100110000111100000000000000000000000 +000000000000011001100011011101101010000010000000000000 +000000000000000001000110100011001011000011000000000000 +000011100000001000000111011111001010010000000000000000 +000000000000000011000011101101101111001000000000000010 +000000000001000011100000000011011010010000000000000001 +000000000000100000000010010101011101001000000000000010 +000010000000000101000111011000000000000000000100000000 +001001000000000000100011000001000000000010000000000000 +010001000000000000000000001000000000000000000101000010 +100010100000000000000000001001000000000010000000000000 .logic_tile 6 5 -000000000100000111000111010001000001000000100001000000 -000000000000000001000110001001001101000000110010000001 -111000000000100111000111010011100000000000010000000000 -000000001001110000000011001101101000000000000010000000 -110000000000101011100110010001100001000010000000000000 -111000000001011011100010000001001100000000000000000000 -000000000000001111000110010001100001000000100000000000 -000000000000000001100010000101101001000000000000000000 -000010101100100000000000000001100001000000000000000000 -000000000001000000000000000101101001000000010000000000 -000000000000000011000000000111100001000001100100000100 -000000000100001001000010011001001100000001010000000000 -000000001100100000000010001101100000000001100100000000 -001000000001000000010000000101101011000001010000000100 -010000000001000001100000000101100001000001100100000110 -100000000100100000000000001001101010000001010000000000 +000010000000001111000111101101100000000001000000000000 +000001001010000001000111010001000000000000000000000000 +111010100000001111100011111101011001000100000000000010 +000001000000000101000010001011011000001100000000000000 +110010000000001111000000000001000001000000100000000000 +011001001110001011000000000111101010000000110010000000 +000010000000000101000111000111101101010000000000000000 +000000001100000001000011000001101100110000000000000001 +000000000000000011000111001011011001000110100000000100 +000000000000000011000011111101111101001111110000000000 +000000000001000001100111110111111101000110100000000000 +000000000000000001000011111001001011001111110000000000 +000000000000000011100000000101001100010000000000000000 +001000000000000101100000000001001001000000000000000000 +010010000000100111000110100000000000000000000100000000 +100001000111000000100000000111000000000010000001000000 .logic_tile 7 5 -000000000000101111100110100001100001000000100000000000 -000000000001011111100111110001001011000000000000000000 -111000000000000011100111110001100001000000100001000000 -000000000000000111100010000101101001000000000000000000 -010000000000001111100110001111000000000010000010000000 -011000000000001111100000000011001110000000000000000001 -000000000000000011100111101001100000000000000000000000 -000000000010001111100000000101100000000001000000000000 -000010000000000000000000001111111101000011110000000000 -000000000000000000000000001011011110000011100000100000 -000010100000000000000010000101111010001100000000000000 -000001000110000101000010010001011010001000000000000000 -000000000000001011100000000001100000000010000000000000 -001000000000000001100000000101000000000000000000000000 -010000000000110111000000000101011000000011110100100000 -100000000000100101100000000101101001000011100000000000 +000000000000100001100111011001101011000010000000000000 +000000001010010101000111010011111101000000000000000000 +111000000000000001000011101101011101110011000000000000 +000000001010000000100110010001011110000000000000000000 +110000000000000011100000001001011011100000000000000000 +011000000000000000100011110101011011000000000000000000 +000000000000010001100011010001011000011100000000100000 +000000000000100000000110000111011001111100000000000000 +000000000000001011100111110011000000000001000000000001 +000000000000000001100111110011100000000000000000100000 +000000100000001000000010011111111100110011000000000000 +000000000000001111000111111011101111000000000000000000 +000010100000000000000000010001101011110011000000000000 +001001000000000101000011011001111110000000000000000000 +010000000010000011100010100000000000000000000100000000 +100000000000000001000100000011000000000010000001000000 .logic_tile 8 5 -000000000000000101100111111111000000000001000000000000 -000000000000001111000010100111100000000000000000000001 -111000000000010011100000010111011011000011000000000001 -000000000000000000000010110101001010000001000000100110 -010000000000001000000000001011100000000000110000000000 -011000100000000001000000000111001101000000010000000000 -000000000001010101110000001011000000000000000001000000 -000000000010100011000010000001000000000001000000000000 -000001000000101000010000001001111011000010000000000000 -000010000001000001000011111011011001000000000001000000 -000000000001000001000000000001100000000011000000000000 -000000000000000000000010000101101000000001000000000000 -000000000000000001000000000000000000000000000100000110 -001000000000000000100011110001000000000010000000000101 -010000000000000111000000000000000000000000000100000000 -100100000001000000100000001001000000000010000000000001 +000000000000000001000111010001011101000000000000000000 +000000000000000001100110000111001100100000000000000000 +111000000000100011100111110001000000000010000000000100 +000000000000000001100111101011000000000000000000000000 +001010000000001000000000000011000000000000000000000000 +001000000110000001000000000011000000000001000000000000 +110000000000000001100010001011000000000001000010000100 +110000000000010000000000001011100000000000000000000001 +000000000000001001100010111001100001000011000000000000 +000000000000001111000111101101101001000010000010000100 +000000000011011111100111100101111111111100000001000000 +000000001110100001100100001111111001011100000000000010 +000000000000000011010000010001101001011100000000000110 +001000000000000000100011101001011000001100000000100000 +000000000000000000000110000101100000000001000110000010 +001000001110000000000000000101000000000011000000100000 .logic_tile 9 5 -000000000000010011000010100011000000000000000001000000 -000000001100100000100010100011000000000001000000000000 -111000000000011000000111110001100000000001000000000000 -000000000000001111000110110101000000000000000000000000 -010000000001000001100010010011100000000010000000000000 -111000000000000101000010101011000000000000000000000000 -000010100000000101100000000001100001000010000000000000 -000001000000000000000011000101101011000011000000000000 -000000000100001011100000000001001010010000000011000000 -000000000000001011100010001001111111000000000000000010 -000000000000000111100000000001111010110000000000000010 -000000100100000000000000000101101111100000000000000000 -000000000000000000000110010001001110010000000000000100 -001000000000000000010010000101011111000000000000000000 -010100000001000000000000001000000000000000000100000000 -100100001110000000000000001001000000000010000000000110 +000000000000001011100011111011001011110011110001000000 +000000000000001111100111100001011011010011110000000000 +111000000000011001100111111011101010111100000000000000 +000000000000001011000111101011111000011100000000000000 +000000000000011011100110010001100000000010010010000000 +000010000000101011000011101001101010000001010000000000 +000000000000001111100111000011111000110011110000000000 +000000000000000001100011011111111001010011110000000000 +000000000000000111000000011001001011100000000000000000 +000000000000000001100011101001001010110000000000000000 +000000000000100000000010000101001101000110100000000000 +000000000000110000000111111001011011001110100000000000 +000001000000000001100000010101100000000011000100000000 +001000100000000000000010110001001110000011010011000001 +000000001100000000000000000101101101111111000100100000 +000000001010000000010000000101001000111110000001000000 .ramb_tile 10 5 -000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000010000000000000000000000000000000 -000011101100000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101110000000000000000000000000000000 -000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001110000000000000000000000000000 +000000000001100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000100000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 -000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 5 -000000000000000111100011001001001001000010000000000000 -000000000000000111100110010001011010000000000000000011 -111000000000000001000011110101100000000001000001000001 -000000000100000011100011111101100000000000000000000000 -010000000000000000000010111101111001000010000000000000 -011010100110000000000110000111111111000000000000000000 -000010001010000111100111100001011001110011000000000000 -000000000000000000100011100011011110000000000000000000 -000000000000000111000000000001100000000001000010000000 -000000000000000000000000001101000000000000000010000000 -001000001110000001000111101000000000000000000100000000 -000000001110000000100100001011000000000010000000000000 -000000000000000000000011000000000000000000000110000000 -001000000000000000000100001111000000000010000000000000 -010100000000100101100110101000000000000000000110000100 -100100000001010001000000000011000000000010000000000000 +000010000000001001100011110101000001000000000001000000 +000001000000001111000011011011101100000000010000000000 +111000000100000000000010000001000001000000000000000000 +000000000000000011000110101111101101000000010001000000 +000000000001000011000110010001001110010111000000000000 +001000000000101001000011010001001100111111000000000010 +000001000000100101000110000001111001111100000000000000 +000000000010000000000000000101101001011100000000000000 +000010100000001111100000011111001101110000000000000001 +000001000000001011000010111101101111100000000001000000 +000000000000001111100110001101100000000000000000000000 +000010000000000111100000001111001001000000010000000010 +000000000000001111100000010001111100101000000000000011 +001000000000001101000010110111101010011000000000000000 +000000000100001000000111101101001011000011110110000000 +000000000000001111000010000111011100100011110000000000 .logic_tile 12 5 -000000000000000101000110101001101101110011000000000000 -000000000000000111100010011011111100000000000000000001 -111001000001001001100110010000000000000010000000000100 -000000101110101011000011100011000000000000000000000100 -110010000000001000000011011001000000000000100001000000 -011001000001001011000111010101001100000000110000000000 -000000000000001101000000001001011000100000000001000000 -000000000000010001100000000101101001000000000000000000 -000010000000001111000011110001101011110011000000000000 -000001000000001001000111111101001001000000000000000000 -000000100000001011100000010000000000000000000101000000 -001000001100101111110011001101000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000001000000000010000011000000 -010010100101110000000000001000000000000000000101000000 -100010000011110000000000000011000000000010000000000000 +000000000001010000000000000001100000000000001000000000 +000000000000100000000000000000000000000000000000001000 +111000000000000101000000000101100001000000001000000000 +000000000000010000000000000000101100000000000000000000 +010000000000000011100111000101101000000011110000100000 +111000000000000000100100000000100000111100000000000000 +000000001110000000000010100000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000001000000000000000000000000000000000100100000 +000000000000100000000000000101000000000010000001000000 +000000000000010111000000000000000000000000000000000000 +001000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 5 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -1390,7 +1390,7 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000100000 000000000000000000 000000000000000000 000000000000000000 @@ -1401,234 +1401,234 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000010000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 6 -000000000000001000000000000001100001001100110000000000 -000000000000001001000010110000001010110011000000000000 -111001000000001111100110000101100000000001000000000000 -000010100000000011100000000101100000000000000000000010 -000100000000001000000010000011100000000001000000000000 -000000000000001001000110110001000000000000000000000010 -000000000000001001000110001011111011000010000000000000 -000000000000000001000010101101011100000000000000000000 -000000000000000000000000010111000000000000000000000000 -000000000000000111000010101001100000000001000000000000 -000000000000000001100000000101101100111001110101000001 -000000000000000001000000000111011011110101110000000100 -000000000000001000000000011101001111101000110100000100 -000000000000000001000010100001111110111100110010000000 -000000000000000011000000001101001101011000000100000010 -000000000000000000000000000101101001110000000000000000 +000001000000000000000010101101100000000000000000000010 +000000000000000000000010000001101101000000110000000000 +111000000000001000000010100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000011101001100000000010000000000000 +110000000000000000000000000101101001000000000000000000 +000000000000000111000000010000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +000000000000000000000011011101100001000000110100000000 +000000000000000000000010000001101101000000000000000000 +000000000000000000000000001111000000000011000100000000 +000000100000000000000011011001100000000010000000000000 +000000000000000000000011001011000000000011000110000000 +000000000000000000010000000101100000000010000000000000 +010000000000000000000000000111000000000011000100000000 +100000000000000000000000001001100000000010000000000000 .logic_tile 2 6 -000000000000000000000110101011000000000000000000000010 -000000000000000000000011011101100000000001000010000000 -111000000000011000000000011001100000000000000000000000 -000000001010000001000010000011000000000001000010000000 -010000000100001011000010001011000000000001000000000000 -010000000000000011100011001001100000000000000000000000 -000000100000000111100011101011101010011100100010000000 -000001000000001011000000001111001100111100000010000000 -000000000000100001000110000011101000000100000000000000 -000000000000000000100000001111111110000000000000000000 -000000100000000001100110000101000000000000000000100000 -000000000000000111100100000101101011000000010000000000 -000000000000100111000110001101100001000001010000000000 -000010000000000000100100000101001011000010010000000000 -000000000001010000000000011000000000000000000110000000 -000000000000100000000011001111000000000010000010000001 +000001000000001111000010100011000000000000001000000000 +000000100000000011100010000000000000000000000000001000 +111000000000000011100000000000000001000000001000000000 +000000000000001001100000000000001111000000000000000000 +110000000000000000000000000000001001001100110000000000 +010000000000000000000000000000001010110011000000000100 +000000000000000101100000001001001101011010010001000001 +000000000000000101100000000101001000000000000010000000 +000000000000000111000000001001000001000001010000000010 +000000000000001111100011111111001000000010010000000000 +000000100001010000000000001000000000000000000100100000 +000000001110100000000000000111000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000001000000000000000001001000000000010000010000000 +010100000000000000000011100000000000000000000110000000 +100100000000000001000011101001000000000010000000100000 .ramt_tile 3 6 -000011000100000000000000000000000000000000 -000011100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000100010000000000000000000000000000 -000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 +000010100001010000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000100000000000000000000000000000000 +000000001111010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000101010010000000000000000000000000000 +000000001110100000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000001000000000000000000000000000000 +000010100000100000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000001010000000000000000000000000000 .logic_tile 4 6 -000000000000000111100011100101100000000000001000000000 -000000000000000001100100000000000000000000000000001000 -111000000000001111100110100000000000000000001000000000 -000100000000001111000100000000001000000000000000000000 -110000000000000000000011010000001001001100110000000010 -110000000110000000000111100000001010110011000000000001 -000000000000001101000000001001100000000000000001000000 -000000000000000111100000000001000000000001000000000101 -000000000000011011100000000101011111011001010100000100 -000000000000000111100000000011101101011010100000000000 -000000000000100000000000000011100001000001010100000000 -000000000001000111000011001001001110000001100000100000 -000001000000000111100111100001100000000001010100000000 -000010000000000000000000001101101110000001100000100000 -000010000000000000000000000001000001000001010100000100 -000001000000001111000000000011001110000001100000000000 +000001000000001111100110000001000000000000000001000000 +000000100000000011100011010011100000000001000000100000 +111000000000000111100011000111001101000100000001000000 +000010100101011111000100000111101010100000000001000000 +110000000001000001100000001111011010110011000000000000 +010000000000100000000000000011111000000000000000000000 +000000001000000000000000001001100000000000110000000010 +000000001010001111000011010011001110000000000000000000 +000000000000000000000000000001100000001111000000000000 +000000000000001111000011100000000000110000110001000000 +000000100000001001000110000011011001000100100000000001 +000001001010000011000000000111001100000000000000000011 +000000000001010000000010010001111100000110100000000000 +000000000000000111000011011001111010001111110000000000 +011000100001000000000000000000000000000000000100000000 +100000000000000000000000000001000000000010000010100000 .logic_tile 5 6 -000000000000000001000110011011111010100000000000000000 -000000000000000000000010001101101111000000000000000000 -111000000000000001000111000111000000000001000000100000 -000010000000000001000010101011100000000000000000000000 -000000000001010001100110001101100000000000100000000000 -000000000000101001000011100101101010000000110000000000 -010000000000001011100111010111100000000000000000000000 -000000000000001111100010000001100000000001000000000000 -110000000000000000000111101001100000000000110000000000 -100000000000000111000010000001001101000000100001000000 -000000000001000111100111100111100001000000000000000000 -000000000000000000000000001001001001000000010000000000 -000000000000000000000111101101001010101100000000000000 -000000000000000000000100001001101100001100000000000000 -010000000000000111100111101101001001111100100100100000 -100000000000000000000000001001111100111100110100000010 +001000000000001101000110101001101100110011000000000000 +000000100010000001110010001111011110000000000000000000 +111100000000001011100111000011111101010100110000000010 +000000000000000001000010101001101111011100110000000000 +010000000000111101100111111001001010011111110001000000 +110000000001010101000110100001011000111111110000000000 +000010000000000011100110001101011010000110100000000000 +000001000000000000000010001011001010001111110000000000 +000101000000001101100010000011011001110011000000000000 +000100001110000111100011110011011110000000000000000000 +000000000000000111000110011001011010000010000000000000 +000000100000000001100110001001111111000000000000000001 +000000000000100111100000011011011010101001010000000000 +000000000000000000000011101001111101100101010000000000 +010000000000000011100010011000000000000000000100000000 +100000001010000000100111010011000000000010000001000000 .logic_tile 6 6 -000010000000000011100110011101101100001100000000000000 -000001000000000001100010000011111001101100000001000000 -111000000000000000000000001001101010000011110000000000 -000000000000001001000011000101111011100011110000000010 -110000000000000000000010010001000000000000000000000000 -110000001100000000000110000001000000000001000000000000 -000000000000011001100011100101111111000010000000000000 -000000000000100001000011000011101110000000000000000000 -000000000000000001000010000001000001000000000000000000 -000000000000000000100111100111101010000000010000100010 -000000000000001000000011101011000001000010000000000010 -000000000000000001000000001111101101000000000000000000 -000010000000000001000010000011000000000001000000000000 -000001000000000000100100001001100000000000000000000001 -010000000000001001000000001000000000000000000110000000 -010000000000001011000011101111000000000010000000000000 +000000000000001000000111101011100000000001000000000000 +000000000000001111000111100001100000000000000000000000 +111000000110000011100110001001111011000110100000000000 +000000000000000011100011001001111010001111110001000000 +010010100000001111000111000101001001110000000000000000 +010000001110001111000010010011111100110001010010000000 +000000000000000011100010001101001110000110100000000100 +000000001100000011100011011101101010001111110000000000 +000011100000001011100000011111011000010111110000000000 +000011100000000001000011101111101010011011110000000000 +000000000000001011100111101001001101010000000000000000 +000001000000000001000111001111011110110000000000000000 +000000000000010111100000011011101001110001010000000000 +000000001100100000000011111011011010110000000000100000 +010000000001001000000111100000000000000000000100000100 +100000000000001101000110010011000000000010000000000000 .logic_tile 7 6 -000000000000001001000011100101000000000001000000100000 -000000000000100111100010100101000000000000000000000000 -111010100000000111100110010001101111000010000000000000 -000100000000000000100110001101101001000000000000000000 -010000000000000001100010011001011000010111110000000000 -010000000000001101000011111101101100011011110000000000 -001000000000001000000110001001111010110011000000000000 -000000000000001011000000000101111111010010000000000000 -000010000000000000000000000000000000000000000100000100 -000000000000000001000000000001000000000010000000100010 -000000100000000000000000000000000000000000000100000000 -000001000000000000000000000111000000000010000000000100 -000000000000000001000000000000000000000000000101100000 -000000000000001011000011101101000000000010000000000010 -010000000001000000000000000000000000000000000100000000 -100000000000100000000000000001000000000010000000000010 +000000000000000111000111110001100000000000000000100001 +000000001110000111000111001001100000000001000001000000 +111000000000010001100111000111100000000010000000000100 +000000000000001001000100000001101010000000000000000000 +110000000001010001100000001001100000000000000000000100 +010000000000001001000010011011001010000000010010000010 +000000000000001000000011100101100001000000100000000000 +000000000000010001000000001101101010000000000000000010 +000000000010000000000000001011100000000000010000000000 +000000000010000000000010010011001000000000000000000000 +000000000000001111100000010101111001000010000000000000 +000000001110001011000011111101101110000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000001100000 +010000000000001011000010000000000000000000000100000101 +100000000110001011000000001001000000000010000000000000 .logic_tile 8 6 -000000000001111001000110001101101011110000000000000000 -000001000000111011100010011111011000000000000000000010 -111000100000001111100011011111011011110011000001000000 -000001000000000111100111011001111100010010000000000000 -010000000000100001000110101011001110010111110000000000 -010000000000000101000011000101101000100111110000000000 -000001000000001001000110001111011000110011000000000000 -000010000000000101000110011101011000000000000000000000 -000100000000100011100011000001001010011100000000000000 -000100100001000111000000001101101010111100000000000000 -000010000000000111000000000000000000000000000100000000 -000000000000000000000000000011000000000010000001000100 -000001000000001011100111000000000000000000000100000010 -000010100000000111100100000011000000000010000000000000 -010000000010100000000111001000000000000000000100000000 -100000001010000000000100000001000000000010000001000000 +000000000000000000000011010001000001000000000000100000 +000000000000000000000111111101101010000000010000000000 +111000000000001000000111010000000000011010010000000110 +000000000000000111000010000000001010100101100001000000 +110000000000001111100110111011000000000001000000000010 +110000000000001011100010100001000000000000000000100000 +000000000100001001100000001001100001000011010000000000 +000000000000000111000000001001101010000011000001100000 +000010100000100001000000001101100000000000000000000010 +000001000000010000100000001001000000000001000000000000 +000000100000000000000000000001000000000000000000000000 +000011000000000000000000001101100000000001000000000010 +000001000000000000000011000011000001000000000000000000 +000000000000000000000000000101001110000000010000000000 +010000000001000111110000001000000000000000000101000000 +100000000000110000000011111111000000000010000000000000 .logic_tile 9 6 -000010101010000111100011110011001111110011000000000010 -000001100000000001100010100001111110000000000000000000 -111001000001010011100111010011101001000100000000000000 -000010000000000000100111110001111001001100000000100000 -110000000010000111100111101001001101010111110001000000 -010001000000000000100100001101101010100111110000000000 -000000001110101111100010001111101111000010000000000000 -000000001001011111100100001111011101000000000000000001 -000000000000100111000011000011111000000110100000000000 -000010100001010000000111100101101011001111110001000000 -000001000000000000000110011000000000000000000101000000 -000010001000000000000010000101000000000010000010000000 -000001000000011000000011001000000000000000000110000000 -000000000000100111000110011001000000000010000000000000 -010000001000000011000000010000000000000000000100000000 -100000000000000000100011010111000000000010000010000000 +000000000000000111000000000111000000001111000011000100 +000000000000001011000000000000100000110000110000100000 +111000000000000000000000000001000000000001000000000000 +000000000000000000000000000001000000000000000011000000 +011101000000000001100111111101000000000001000001000000 +110010000000000000000111100111100000000000000000000001 +000000100000000000000010001000000000000010000000000000 +000001000010001001000000000101000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000010000000000000101000000000000000000000000 +000010100000000000000000001000000000000010000000000000 +000001000000000000000000001111000000000000000000000000 +000000000000000000000000011000000000000000000100000010 +000000000000000000000011011101000000000010000000000001 +000010100000000101000000000000000000000000000000000000 +000001000000000000100010110000000000000000000000000000 .ramt_tile 10 6 -000000000010000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000001110100000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010100000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 6 -000000000000000001100010011101101111110011000000000000 -000000000000001011000111110011111010000000000000100000 -000010100010001101000111100111101111000110100000000000 -000000000000010111000110101001001110001111110000000000 -001000100001011111100111001001000001000000010000000000 -000000000000001111100000001111001101000000000000100000 -000000000000000101010111101111111000000010000000000000 -000000000000000000000110100001011110000000000000000000 -000000000000010011100011110011100000000000010000000100 -000000000000000000000011100001101011000000000000000000 -000000000000101111100110111101001111000110100000000000 -000100000001010011100010001001011111001111110010000000 -000000000001001001000011101011001100000110100000000000 -000000001110001011100010001101011101001111110000000000 -000000100000000011000110000001011001010111110000000000 -000000000000001111000011010101101100100111110000000000 +000000000000000001100110010101100000000000100000000000 +000000000000001011000011001001001100000000000000000010 +111000001110000000000000000101100000000001000000000000 +000000000000000000000010101011000000000000000001000000 +000000000000000001100110000000000000000010000000000001 +000000000000001011000000000101000000000000000000000000 +000000000001000001100110000101100000000001000000000000 +000000000000100011000010101011100000000000000000000010 +000000000000001000000111000000000000000010000010000000 +000010100000000111000011111101000000000000000000000000 +000000000000000101100000010011111000101000110100100000 +000000000000000000000010100101111000111100110000000101 +000000000000000000000000000011111010101000110100100000 +000000000000000000000000000001011101111100110000100100 +000000000000000000000110100111111000101000110100100000 +000000000000000000000000000101111001111100110000100001 .logic_tile 12 6 -000000000001000111100111011001011100110011000000000000 -000000000000100000000110001111001100000000000000000000 -000000000001001000000111011011001100000010000000000000 -000010000010001011000110001001011000000000000000000000 -000000000000011000000011101111111101110011000000000000 -000000000000100001000000001011011011000000000000000000 -000000001110001111100111001001101000100000000000000000 -000000000100000001000100000101111010000000000001000000 -000000000000000011100000011001001100110011000000000000 -000000000000001011000011000001101101000000000000000000 -000000000000001111100111000011111010000010000000000000 -000000000010000111100111001001001111000000000000000000 -000011100000001111000000010111011111110011000000000000 -000011000000001011000011011101111100000000000000000000 -000000000000101001100011110111101110000110100000000000 -000000000001011111100011000111001001001111110000000000 +000000000000000011100111100011000000000000001000000000 +000000000000010000100100000000000000000000000000001000 +000000100000000000000111000000000001000000001000000000 +000000000110000000000000000000001101000000000000000000 +000000000000010101100000000000001001001100111000000000 +000000000000100000000000000000001110110011000000000000 +000000000000000000000000000000001000001100111000000100 +000000000000000000000000000000001000110011000000000000 +000000000000000111000000000000001001001100111000000000 +000000000000000000100000000000001100110011000000000000 +000000000000000000010111000000001000001100111000000000 +000010000000101101000000000000001001110011000000000000 +000010000001010000000000000000001000001100111000000000 +000001000000100000000000000000001010110011000000000000 +000000000000000011100000000000001001001100110000000000 +000100000000000000000000000000001001110011000000000000 .io_tile 13 6 000000000000000010 000100000000000000 -000000000000000000 -000000000000000001 -000000000001000001 +000010000000000000 +000000110000000001 +000000000010000001 000000000011000000 -001100000000010000 -000000000000000000 +001101011000000000 +000000001000000000 000000000000000000 000100000000000000 -000010000011000010 -000000010001000000 -000000011000010000 +000000000000000010 +000000000011000000 +000000000000000000 000000000000000001 000000000000000001 000000000000000000 @@ -1650,219 +1650,219 @@ 000000000000000000 000000000000000000 .logic_tile 1 7 -000000000000101000000000000101100000000001000000000100 -000000001000000011000000000011000000000000000000000000 -111010000000001001100111010001111011100000000000000000 -000000000000000001000111000101011001000000000000000000 -010000000000001001100010010001100000000001000000000000 -110000000000000001000011000101000000000000000000000010 -000000000000001000000010110000000000000000000000000000 -000000000000000011000011010000000000000000000000000000 -000000000000000000000000000101101011100000000000000000 -000000000000000001000000000001111001000000000000000000 -000000000000000111100111101111000000000001000000000010 -000000001010000000100100000011000000000000000000000000 -000000000000000011100000001011100000000011000000000000 -000000000000000000100000001111101100000011010001000000 -000000100000000000000110001000000000000000000101000000 -000001000110000000000000000011000000000010000000000000 -.logic_tile 2 7 -000000101110001011010011110000000000000000000000000000 -000000000000001011000010000000000000000000000000000000 -111000000000001000000111001000000000000010000000000000 -000000000000001111000011001101000000000000000000000100 -010010100000001111100000001001100001000000000000000000 -010001000010000011000000001011101000000000010000000000 -000000000000001000000110110101100000000001000000000010 -000000000000000011000011100101100000000000000000000001 -000000000000000000000000000101100000000000000000100000 -000000000000000000000000000101000000000011000000000101 -000000000000100000000000010001011000111100000010000000 -000000000000000000000011010001011010011100000000100001 +000000000000000001000000000000000000000000000000000000 +000000000000000000100011100000000000000000000000000000 +111000000000001001000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +010000000000100000000011101001011100011001010100000001 +110000001010000000000111001011001001011010100000000010 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000110000000000000000000000000000000000110000000 -000000000000000000000000001001000000000010000000000110 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000001101000001000001010110000000 +000000000000000000010000000001101110000010010000100000 +000000000000000011100000001111000001000001010110000000 +000000000000000000100011010001101100000010010000100000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 2 7 +000010000000001000010000000011000000000000001000000000 +000000000000001111000011000000100000000000000000001000 +111000100000010000000000000000000001000000001000000000 +000001000000100000000000000000001110000000000000000000 +010001000000000000000000000000000000000000001000000000 +010000100000000000000000000000001100000000000000000000 +000000000001010000000000000000000001000000001000000000 +000000000000100000000000000000001100000000000000000000 +000000000000001011100010000000001000111100000000000000 +000000000000001011110100000000000000111100000000000100 +000010100000001001000000000000000000000000000000000000 +000001000110001011100000000000000000000000000000000010 +000000000001010000000000000000000000000000000100000001 +000000000000000000000000000001000000000010000000000001 +110100000000000000000000000000000000000000000100100000 +010100000000001011000000000011000000000010000000000000 .ramb_tile 3 7 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000100001100000000000000000000000000000000 -000100000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 +000000000000000000000000000000000000001000 000000000000000000000000000000000000000000 +010000001110000000000111000000000000000001 +110000000000000000000100000000000000000000 +000000000000000000000000000000000000001000 000000000000000000000000000000000000000000 +000010100001110000000000000000000000010000 +000101000001110000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000100000 +000000000000000000010000000000000000000001 000000000000000000010000000000000000000000 -000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000001000 .logic_tile 4 7 -000010100000110111100000000111100000000000001000000000 -000001000001110000100011110000100000000000000000001000 -111010000000001111100011100111100001000000001000000000 -000000000000001011000000000000101100000000000000000000 -010000100000000011000010110111101001001100111000000000 -010000000000000000100010000000001110110011000010000000 -000000000000000000000000000101001001001100110000000000 -000000000000000000000000000000001100110011000001000000 -000000000000000000000000000001000000000000100000000000 -000000000000000000000000000111001011000000000000100000 -000000000000000111000000000000000000000010000000000001 -000000000000000000000000001101000000000000000000000000 -000000001010000111000000001001100000000001000000000000 -000000000000000000100000000001000000000000000001000000 -000000000000001111000110111000000000000000000100000010 -000000000000000101000010101001000000000010000010000010 +000000000000001111100010000111011011100000000000000000 +000000000000010011000011001111111011000000000000000001 +111000000000000001100111001011100000000010100001000000 +000000000000000111000100001001101011000010010000000000 +010000000010000111100010011101000000000010000000000000 +000000000000000101100111111011001011000011000000000000 +000000000000010011100011000111000000000000110001000001 +000000000000100111000110101011001000000000010001000000 +000000000000001001000010000111111011000110100000000000 +000000000000000011110000000101101010001111110000000010 +000000000000000000000000001001100000000010100000000000 +000000000000000000000011101111001011000010010000000000 +000000000000001001000110110001101110000100000100000000 +000000000000000011000110001101001000001100000000000100 +110000000000000000000000010001001100000100000100000000 +000100000000000000000011111001101100001100000000000100 .logic_tile 5 7 -000000000000000001100110101001000000000000000000000000 -000000000000000000000010000101000000000001000000000001 -111000000000000000000011011101111101000100000000000000 -000000000000100011000110001101111010000000000000000000 -010000000000000111100110001000000000000010000001000000 -010000000000000000100000001011000000000000000000000000 -000000000000001001100110010001111100001100110000000001 -000000000010000001000010000000100000110011000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000001000000000010000000000000000000100100000 -000000000000001011000011001001000000000010001000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010001001000000 -010000000000100000000000001000000000000010000100000000 -010000000001000000010000001001000000000000000001000000 +000000000110000000000010001111000000000001000000000000 +000000000000000001000000001001100000000000000010100000 +111000000100010101000111000111111100110011000000000000 +000100000000001001100010010111111001000000000000000000 +110000000010000001000000011111111001000010000000000000 +110000000110001001100011011111111101000000000000000000 +000000000001000001000010101011111000010000000000000000 +000001000000100000100111110001001011110000000000100000 +000000000100001000000111001011100001000010100000000010 +000000001110000101000111101101101110000010010000000000 +000010000100000000000011111111101101000110100000000000 +000000000110001111000011100001001111001111110000000100 +000000000111011000000011110011000000000001100100000000 +000000000000100111000110000101101000000010101000000000 +010000001110000101100010101011000000000001100100000000 +110000000001010000000110111101101010000010101000000000 .logic_tile 6 7 -000000000000000000000111101001111111010100000000000000 -000000000000001001000110011001101110100100000010000000 -111000000000001001010110000000000000000000000000000000 -000000000000000011100111100000000000000000000000000000 -001000000000000000000000001001100001000001010000000000 -000000000000000000000011010001001110000001100000000100 -000001000000001000000111000011001001110010100000000100 -000000100000000011000000001101011010110011110000000000 -000001000010000001000000011011011110000011010000000010 -000000100000000111100011101101001000000011000000000000 -000010100010000000000000000000000000000000000000000000 -000001000010000000000000000000000000000000000000000000 -000000000000001000000000001001011111101000000000000100 -000000000011011001000000001101101110011000000000000000 -000000000000000101000000000011000000000001000100100010 -000000000000000101000000000111100000000011000000000001 +000000000000000111100111110011000000000001000000100000 +000000000000000000100010001001100000000000000000000000 +111000000000111001100110011111011010000100000000100000 +000001001000010001000010001111001000001100000000000000 +110000000000000111100000000101100000000000000000000000 +110000000010000000100000000000000000111111110000000000 +000000000000001101000111101101101011010100000000100000 +000000000000000111000111011111101000100100000000000000 +000000000000001000000010000011100001000000000000000000 +000000000000001011000000000011001110000000010000000000 +000010000000000111000010000001000000000000100000000000 +000000000000000000100100001001101101000001000001000100 +000000000000000111100111101011100001000001100100000000 +000000000000000000100000001001101000000010100000000000 +010000000000000111100010011111100001000001100100000000 +100000000001010000100110001001101011000010100010000000 .logic_tile 7 7 -001000001100001001100111111111001111000010000000000000 -000000000000000111000010000101011101000000000000000000 -111000000001000101000011111101000000000000000000000000 -000010000000101101100011100011101001000000010000000000 -110000000000000111100111100101100001000000100000000000 -110000000000000000000110100111101001000000110000000000 -000000000000000101000011111111101000000000000001000000 -000001000000001101000011110101011011100000000000000000 -000000000000001011100000001011011010000011010000000001 -000000000000001111100000001111001010000011000000000000 -000000000000000011100110001011011001101000000000000000 -000000000000000000100011101011001000011000000000000100 -000000000000000011100011111111100000000001100100000000 -000000000000100001100011111101101000000001010000000000 -010000000000000101100110011111100000000001100100000000 -100000000100000000000010001001101000000001010000000000 +000000000000001001000000001011101010101011110000000000 +000000000000000011000011010111111000100111110000000000 +111000000000000000000010011111001001110110000000000000 +000000000000000000000111010101011011110101000000000000 +000010100000001001100110011011100000000000000000000000 +000000000100000001000010001101000000000001000000000000 +000001100001000001000011110101111101101011110000000000 +000000000110001001100111000001001011100111110000000000 +000000000000011111100000010011101010110000000000000000 +000000000000101101100010110101001011100000000010000000 +000000000000000111010111100001111011011100100000100000 +000100000000000001100000000011111011011100010000000000 +000000000000000011100000000111111110010000000000000100 +000000000000000000100000001011011100000000000000000000 +010000000000011101100000011000000000000000000100000100 +100000000000001101100010111001000000000010000000000000 .logic_tile 8 7 -000000000000101001100111110001111111000110100000000000 -000000000001011011000010001001101010001111110000000001 -000000000000000000000010100111101011000110100000000000 -000000000000001001000010011101101011001111110000000000 -000010000000000111000110111011100000000001000000000000 -000001000000001011100110000001100000000000000001000000 -000010000000000111000000000111111000000110100000000000 -000001000010010000010010010111011001001111110000000000 -000001000000001001000111010011101010000110100000000000 -000010000000000011100011110011001000001111110000000000 -000000000010001001100011010011101100000110100000000000 -000000000000000001100011001011111111001111110000000000 -000010100100001000000110001001000000000000010000000000 -000001000000000011000011010011001011000000000000000010 -000100000000000011100111100111000000000001000000100000 -000100000101011111100100000011100000000000000000000000 +000000000000100101000011101001000000000010000000000000 +000000000001010000100111110101000000000000000010000010 +111000000000000011000111110101111001100000000000000000 +000000000000000000000111101001111010000000000000000000 +010000000000000001100010010101000000000001000000000000 +010000000000000000010010101101100000000000000000000000 +000000000001111101000010011011000001000011010010100000 +000000000000100111100010000111101000000011000000100000 +000000000000000000000111011101000000000001000000000000 +000000000000000111000111111011100000000000000000100000 +000010000000001000000000001101001100100000000000000000 +000101000000001111000010011101001101000000000000000000 +000100000000001000000000001001100000000001000001000100 +000000000000001011000000000011100000000000000000000000 +000011100000100000000000000000000000000000000100000010 +000001000000000001000000000111000000000010000000000000 .logic_tile 9 7 -000010000000000000000010000111011001000100000000000001 -000000000000000111000010010001111010001100000000000000 -111000100000000001100111011011000000000001000000100000 -000000001000001001000010111111000000000000000000000000 -010000000000000001000011110101101001110011000000000000 -010000000000000111100010110101111100000000000000000000 -000000000000000101100010000011101111000110100000000000 -000000000000000011100110000101101111001111110001000000 -000000000000001111000000011011111000100000000000000010 -000000000000001011100010001001001101000000000000100000 -000001000000000111000000001111011010110011000000000000 -000000000000001111110011110101111011000000000000000000 -000000000000000011000111010000000000000000000100000100 -000000000000000000100111011101000000000010000001000000 -010000000100000000000000010000000000000000000100000100 -010000000000000000000011000011000000000010000000000000 +000010000000000111000010100001000000000000001000000000 +000001000000000000000000000000100000000000000000001000 +000010100001010111100000000000000000000000001000000000 +000001001111010101100000000000001000000000000000000000 +000000000010000000000000000000000001000000001000000000 +000000000000001011000000000000001010000000000000000000 +001000000000100101000000000000000000000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000100000000000010000000000000001000000001000000000 +000000001000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000100010000000000000000000001000000000000000000000 +000000000000000000000011100000000000000000001000000000 +000000000000000000000000000000001111000000000000000000 +000000000000000000000000000000001000111100000000000000 +000010000000000000000000000000000000111100000000000010 .ramb_tile 10 7 000000000000000000000000000000000000000000 -000000010000010000000000000000000000000000 -000000100000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000110000000000000000000000000000000 +000000010000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000101000000000000000000000000000000000 -000000100000010000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 .logic_tile 11 7 -000000000000001000000110001111111111000110100001000000 -000000000000001011000010010011011111001111110000000000 -111000000000000000010110010101011110110011000001000000 -000000000000000111000010001111101100000000000000000000 -010000000000000000000110000001101001000010000000000000 -010000000000000000000010110001111001000000000000000010 -000000001100100101000000001000000000000000000100000000 -000000000110001101100000000101000000000010000010000000 -000000000000000011100000001000000000000000000100000000 -000000000000000000000000000001000000000010000000100000 -000000000000100000000010001000000000000000000100000010 -000000100000010101000100001011000000000010000000000000 -000000000000000000000000001000000000000000000100000000 -000000000001000000000010000101000000000010000010000000 -010001000000001001100111010000000000000000000101000000 -100000000000010001000011001101000000000010000000000000 +000000000000000000000000000001100001000000001000000000 +000000000000000000000000000000001101000000000000000000 +111000000100001001000010010000001000001100111000000000 +000000001110000001000111010000001101110011000000000000 +110000000000000000000000000000001000001100111000000000 +110000000000000000000000000000001101110011000000000100 +000001000000000000000000000000001001001100110000000000 +000000000010000000000000000000001101110011000000000000 +110000000000010000000110111011100000000001000000000000 +000000000000100000000011011001000000000000000000000010 +000000100100000101100110111011011100100000000000100101 +000000000000000000000010101011111101000000000000000100 +000000000000000000000011001000000000000010000000100000 +000000000000000000000000000001000000000000000000000000 +000000000110000000000000001000000000000000000110000000 +000000000000000000000000001001000000000010000001000000 .logic_tile 12 7 -000000000000010101000010101011000001000000000010000000 -000000000000100000100110111001001101000000010000000000 -111100001110000000000000011111000000000001000001000000 -000000001110001111000011110111000000000000000000000000 -110000001110000000000000001000000000000000000100000000 -000000000110000000000000000011000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000010100000000000000000001111000000000010000000100000 -000010000000001011100000000000000000000000000100000000 -000001000000000111000000000011000000000010000000100000 -000010000000001000000000000000000000000000000100000000 -000000000000001101000011110001000000000010000000000000 -000000000001000111100000000000000000000000000100100000 -000000000000100000000011011001000000000010000000000000 -010010100000101000000000000000000000000000000100000000 -010000001001010011000000001001000000000010000000100000 +000010000000000011100010100001100001001100110000000000 +000001000000000111100100000000101101110011000000000000 +111000000000000011100010011001111011101000110110000110 +000000000000000101010011010011101010111100110000000000 +000010000000001101100110101101011000101000110100000010 +000000000000000001000010100111101000111100110001100000 +000000000000000011100000000011001010011100000100000000 +000010000000000011000000001011101100111100000000000000 +000000000000001111100111010001000001000001100110000100 +000000000000001011100111101111101010000010100000000000 +000000000000100011100000011001111010101000110100100100 +000000000000000000100010000011001000111100110001000000 +110000000000000111100010110111001010011100000100000000 +000000000000000000100111100011101110111100000000000000 +000000000000000011100000000011001010011100000100000000 +000000000000000000100000001011111100111100000000000000 .io_tile 13 7 000000000000000010 000100000000000000 +000000000000000000 +000000000000000001 +000000000000100010 000000000000010000 -000011110000000001 -000000000000000010 -000000000000110000 001000000000000000 000000000000000000 -000000000000000000 +000000110000000000 000100000000000000 010000000000000000 000000000000000000 @@ -1888,219 +1888,218 @@ 000000000000000000 000000000000000000 .logic_tile 1 8 -000000000000000000000110000101100000000000001000000000 -000000000000000000000011010000000000000000000000001000 -111000000000011001100110010000000000000000001000000000 -000000000000000001000010000000001011000000000000000000 -010000000000000000000000000000001000001100111100000010 -010000000000000000000010110000001101110011000010000000 -000010000000000000000000000000001000001100111100000000 -000000000000001101000000000000001001110011000010000000 -000000000010000000000000010000001001001100111100000000 -000000000000000000000010000000001000110011000000000001 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001001110011000000000000 -010000000000000000000000000000001001001100111100000000 -100000000000000000000000000000001001110011000000000001 -.logic_tile 2 8 -000000001000000001100110110001001111000100000000000000 -000010000000000000000011100101101000000000000000000000 -111000001010001101100110111001100001000010000000000000 -000000000000000101000010100001101001000000000000000000 -110001000000000111100000000000000000000000000000000000 -110000100000010000100000000000000000000000000000000000 -000000100001010000000000001011001100010000000000000000 -000000001110100000000000000111101000000000000000000010 -000000000000001000000000000000000000000010000010000001 -000001000000000001000010111001000000000000000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000011101101000000000010000001000010 +111000000000000101000000000000000000000000000000000000 +000000000000000000100010110000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000001010000000010100000000000000000000000000000 +000000000000100000000100000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000000000000000000001001000000000010000000100000 000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000001000000000111001000000000000000000100000001 -000000000000001101000100001001000000000010000000000000 -110000000011010000000000001000000000000000000100000001 -010000000000001101000000000001000000000010000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000001000000000000000000100000010 +010000000000000000000000000001000000000010000000000000 +.logic_tile 2 8 +000000000000001000000000010000000001001100110000000000 +000010000000000001000011100000001001110011000000000000 +111000000000001000000000010001101110101001010100000000 +000000000000001011000011010111001111100101010000000010 +010000000000000000000000000000000000000000000100000000 +010000000100000000000000000011000000000010000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011011011000000000010000000000000 +000000000000000111000010010111100001000011000100000000 +000000000000000111100111011011101101000010010000000000 +000000000000000011000000010000000000000000000100000000 +000000000000000000110011000011000000000010000000000000 +000000000000001111000000010111111101001100110100000000 +000000000000001011000011011011101101101100100000000000 +010000000000000000000000001001001110101001010100000000 +100000000000000000000011101101001111100101010000000000 .ramt_tile 3 8 -000000001110000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000110000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 +000010000000000011000111001000000000000000 +000001010000000000100000001101000000000000 +111000001000000000000000010000000000000000 +000000010000001001000010101101000000000000 +000000000000000000000110111000000000000000 +000000000000010000000010100101000000000000 +000000000000001101100110100000000000000000 +000000000000000101000000000001000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000001101000000000000 +000000100000000000000000001000000000000000 +000000000000000000000000000101000000000000 +000000000000000000000000001000000000000000 +000000000000000000000000001001000000000000 +010000000000000000000000000000000000000000 +010000000000000000000000001001000000000000 .logic_tile 4 8 -000000000000001011000110001001000001000001010000000000 -000000000000000001100010010011101110000010010000000000 -111000000000001001100010011011000000000001000000000000 -000000000000001111000110001101100000000000000000000010 -010000000000101111000010011001111010010000000000000000 -110000000001000011000011001001001000000000000000000010 -000000100001000011100111011101011010111001110000000000 -000000000000000001000011011011011010110101110000000000 -000000000000100111000000000001101011111001110000000000 -000000000001010000100010001111111010110101110000000000 -000100000000001001000110101001101110010100000000000000 -000000000000000001100100000011001100011000000000000000 -000000001100000101100011100111001111010100000000000000 -000000000000001111000010011001011110011000000000000000 -000000001100000111000000000000000000000000000100100110 -000000000000000000100000000001000000000010000000000000 +000000000001001011100111000011000000000000000001000000 +000000000000001111000011110001100000000011000000000000 +111000000110001000000000000001100000000000000000000000 +000000100000001011000000000111001001000000010000000000 +010001000000000000000111001111000000000000010000000000 +010000100000000000000011000001001011000000000000000000 +000000000001001000000000001011000000000000000000000000 +000000000000001011000000000101001001000000010000000000 +000000000000000000000011101001000000000000100000000000 +000000000000000111000000000001101100000000000000000000 +000000000000010000000000010000000000000000000110000000 +000000000000100000000011000001000000000010000000100000 +000000000000000000000000001000000000000000000100000001 +000000000000000111000000001011000000000010000000000000 +010000000000000000000000000000000000000000000100000010 +100000000000000000000000001101000000000010000000000000 .logic_tile 5 8 -000010100000001001100000001001000000000001000000000001 -000001000000001111000000001011000000000000000000000000 -111000100000010111100111101001000000000000000000000000 -000000000000000000100100000001000000000001000000000000 -011000000000001000000010001001000000000001000000000100 -110000000000001111010000001101000000000000000000000000 -000000000000000111100111000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -000000000000000000000000001011100000000001000000100000 -000000000000000000000000001011000000000000000000000000 -000010100000000001100000011001000000000001000000000100 -000001000000000000000010010001000000000000000000100000 -000000000000100000000000001101000001000000100000000000 -000000000001000000000000000101001101000000000001000000 -000000000000000000000000001000000000000000000100000100 -000100000000000000010000001001000000000010000001000000 +000000000000000000000110111101000000000001010000100000 +000000000000001001010010101101101011000010010000000000 +111010000000001001100110011001011000010111110000000000 +000000000000000101100010000001011100100111110000100000 +110000000000000101100011000101000001000001100110000010 +110010000000000111000011111011001000000010100000000000 +000000000000000111000010100101100000000001100100000100 +000000000000000000000000001011001001000010100000000000 +000100000000000101000110011101000000000001100100000000 +000100000000000000100010000011001010000010100000000100 +000000000000001001110000001101100001000001100100000000 +000000000110000001000000000011001111000010100000000000 +000000000000000000000111011101000000000001100100000000 +000000000000000000000010101001001001000010100000000000 +010000000100000000000000011101100000000001100100000001 +100000000001010000000010101001001111000010100000000000 .logic_tile 6 8 -000010100000001000000000001101011001101100000000000000 -000001000100001111000000001011101000001100000000100000 -111000000000000101000111011001111010001100000000000000 -000000000000001111100010010011101100001000000000000000 -010010100000000000000011011111111000101100000000000000 -110001000000000000000111001101001000001100000000000000 -000000000000001101000111001111011110110001010000000000 -000001000010000111100000000101001011110000000000000000 -000000000000000101100000011001111000101100000000000100 -000000000000000000000010100111101101001100000000000000 -001000000000000101100110101001111011101100000000000000 -000001000000000000000010000111101101001100000000000000 -000000000000000101000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000100000101100000000000000000000000000100000000 -000000000000000001000000001111000000000010000000000100 +000000100000011000000111110111100001000010100000000000 +000000000000100011000111011011001000000001100001000000 +111000000000010011000011100011100001000010100000000000 +000000000000000011000000000101001101000001100000000010 +010000000000000000000000000001100000000000100000000000 +010000000000001001000010010111101111000000000000000000 +000000000001000000000011100111000000000000000001100100 +000000000000000011000000001101000000000001000000000000 +000000000000000000000011110001000001000001010010000000 +000000000000000000000110100001101000000010010000000000 +000000000000001000000010011011100001000001010001000000 +000000000000001011000010101111101010000010010000000000 +000000000000000001000011101011000000000000100000000000 +000000000010000000100100001101101110000000000000000000 +010000000100001000000000011000000000000000000100100000 +100000000000000101000010010001000000000010000000000000 .logic_tile 7 8 -000000000000000101000110010000000000000000000000000000 -000000001100001011100011010000000000000000000000000000 -000000000001001001100011100011001111000100000000000000 -000010001110101111000010010001111000001100000000000000 -000000000000000101000111110101000000000010000000000000 -000000000000001011100111000101100000000011000000000000 -000010000001000000000111010001101011010111110000000000 -000000000000001001000010111111111010011011110000000000 -000000000000001111100000011011001011000100000010000000 -000000000000000101000011100001001011000000000000000000 -000000100000000000000111000001000001000000100000000000 -000001000000000000000100000011001001000001000000000000 -000000100001010000000110110011100000000000000000000011 -000000000000000000000011101001100000000001000000000000 -000000000111010000000000000011000001000000010000000000 -000000001000000000000000001001001011000000000000000000 +000000000000000111000111100001100000000011000010000000 +000000000000000111100110111111001000000011010000000000 +111000101110000111100000001001100001000001100110000000 +000001001010001001110010011011001011000010101000000000 +110000000000000101100011101111000001000001100100000000 +010000000000001101000000001101101001000010101000000000 +000000000000000011100110000000000000000000000000000000 +000000000000000000100110010000000000000000000000000000 +000000000000001000000000000101000001000001100100000000 +000000000000001111000011100011001001000010101000000000 +000000000000100000000110100101000001000001100110000000 +000000000001110000000000001001001011000010101000000000 +000000000000000000000111010111100001000001100100000000 +000000000000000000000110111101001001000010101000000000 +010010000000000000000000000001000001000001100110000000 +110000000000001101000000000001101011000010101000000000 .logic_tile 8 8 -000000000000000111000010011011011101000110100000000000 -000000001000000011000011001001101110001111110000000000 -111000100000001111100010010101101111000110100000000000 -010000000000000111100111011101101000001111110000000000 -110010000000010001100010001111001001000110100000000000 -010001000000001001000010111011011110001111110000000000 -000000000001010001000110010101001010010000000000000000 -000000001010101001100010000011101111110000000000000000 -000011101100000101000010011001111110000110100000000000 -000010100000000000000011010011111000001111110000000000 -000010000000000001000000011001001110000110100000000100 -000100000000001001100010001101111010001111110000000000 -000000000000000011100111011101100001000000010000000000 -000000000010000011000110000001001010000000000000000000 -010000000000000111000000000000000000000000000101100000 -100000000000000000100011010001000000000010000000000000 +000000000000000111100011100111100001000000100000000000 +000000000000000000100010100101001000000000000000000100 +111000000001001111000011101111101101010000000000000000 +000000000000110001000000001111101011000000000000000000 +010000000000001011000000000000000000000000000000000000 +010010100000000001100010010000000000000000000000000000 +000010000000110000000111110011100000000000010001000000 +000001000000100000000010001011101100000000000000000000 +000000000000000001000011101001011001000010000000000000 +000000000000000111100000000011011011000000000000000100 +000000001010000011000000010111100000000011010000000010 +000000000000001001000011101001001010000011110000000000 +000000000000100011000111100101011100010000000000000000 +000000000001010000100000001111101110000000000000000000 +000100001010000011100110011000000000000000000100000000 +000000000000000111000010110001000000000010000010000000 .logic_tile 9 8 -000000000000100001000111111011011000000010000000000000 -000000000000010111000011110001101110000000000000000000 -111000000010000111000111111001001001110011000000000000 -000000000000001001000110110111011101000000000000000000 -110000000100001001100000000101111011100000000001100000 -010000000000101111000000000101011001000000000000000000 -000000000000001001100111110101100000000001000000000001 -000000000000010001000110000111000000000000000000000000 -000000000000000111100111110001011101110011000000000000 -000101000000000000000011001111001011000000000000000000 -000010100000000001000110001111111100000010000000000000 -000001000000001001000011001001111100000000000000000000 -000100000010101101100010001001001111110011000000000000 -000000000000010001100010000011111111000000000000000000 -010001000100000000000010100000000000000000000100000010 -100011100000001111000100000101000000000010000000000000 +000000000000000001100000001101100001000010000010000000 +000000000000000000000000001111101110000000000000100000 +000000001110011001100111110001100001000000000000000000 +000000000000000101000010001101001001000000010000000001 +001000000000001111000000000011100000000011000001000000 +000000001000000001000011010101000000000000000000000000 +000000000000000111000000010011011001010000000000000000 +000000000000000111000011111011111000000000000000000000 +000000000000101000000011100101100000000001000010000001 +000000000001000111000111100011000000000000000000000000 +000000100000000001000000001111000000000000100000000000 +000000000000000000100000000101101000000000000000000000 +000010100000000000000010001101000000000000000000000000 +000001000000000000000100000101000000000001000000000000 +000000000000000001000110000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 .ramt_tile 10 8 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -001000000100100000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 -000001000000000000000000000000000000000000 -000010101110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001001110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000110000000000000000000000000000000 +000001000000100000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000001010000000000000000000000000000 .logic_tile 11 8 -000000000010001011000111010001101100110011000000000000 -000000000110000011100011010011111000000000000000000100 -111000101001000101100110001001111001110011000001000000 -000101000000101101100010111111101010000000000000000000 -110000000000001000000000010111000000000000000000000000 -110100001100001011000010001001000000000001000001100000 -000000000000001011000000001101111100000110100001000000 -000100000000001011000000000001001010001111110000000000 -000000000000011000000011000111000000000000000010000000 -000000000000100011000000001001100000000001000001000000 -000000000010101000000000000111100000000000000000000000 -000000000001010101000000001001100000000001000000000100 -000001000000001000000111110001000000000000000000000011 -000010100000000011010111111001000000000001000000000010 -010001000000100000000010000000000000000000000100000000 -100000100001010000000010001101000000000010000001000000 +000000000000000001000111000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +111000001100101000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +010000000000000000000111010101000000000001000000000000 +010000000000000000000010011101100000000000000000000010 +000000000101000000000000000001100000000001000010000000 +000001000000000001000000001001000000000000000000000010 +000000000000000000000000011001000001000000100000000010 +000000000000000000000010000111001100000000000000000000 +000000000000001000000000000111100001000000000000100000 +000000000010011111000000000001001010000000010000000000 +000000000000000000000000000000000000000010000010000000 +000000000000000000000010001011000000000000000000000000 +001000000000000011100000000000000000000000000100000000 +000000000000000000000000001111000000000010000010000000 .logic_tile 12 8 -000100000000000000000000001011000000000000000000100000 -000100001110000000000011100001100000000001000000100000 -111000000000001000000000000011101101000100000000000010 -000000000000001111000011110011001101100000000000000000 -010000000000000000000011101011101110110000000000000010 -110000000000000000000000000011101110000000000000000000 -000000000000000000000000010011101111000100000000000010 -000000000100000000000011010111001101100000000000000000 -000001001000001101100011101011111011010000000000100000 -000000001100000111100000001111011001001000000001100000 -000000000000001111000111000000000000000000000110000000 -000000000000000011100000001011000000000010000000100000 -000000000000001101100000000000000000000000000100000010 -000000000000000111100000001001000000000010000000000000 -010100001100000000000111101000000000000000000100000010 -010000000000000111000100000111000000000010000000000000 +000100000000010000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +111000100000000000000000000000000000000000000000000000 +000000000000010111000000000000000000000000000000000000 +110000000000000000000011000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000101000000000000000000000000 +000000000000000000000000000111100000000001000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000001000000000000000000100000000 +000100000000000000100000000011000000000010000000100000 +000000000001001000000000000000000000000000000000000000 +000000000000100011000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010010000110000000000000000000000000000000000000000000 .io_tile 13 8 -000001111000000010 -000000001000000001 -000000000000000000 -000000000001100001 -000000000010000101 -000000000011000000 -001101111000000000 -000000000000000000 +000000000000000010 +000000000000000001 000000000000000000 +000000000000000001 +000000000010001101 +000000000011001100 +001101010000000000 +000000001000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2108,6 +2107,7 @@ 000000000000000000 000000000000000000 000000000000000000 +000010110000000000 .io_tile 0 9 000001110000000000 000000000000000000 @@ -2126,224 +2126,224 @@ 000000000000000000 000000000000000000 .logic_tile 1 9 -000000000000001000000011100000001000001100110100000001 -000000000000000001000000000000001000110011000001010000 -111000100000001000000000000000000000000000000000000000 -000001000000000001000000000000000000000000000000000000 -010000000000000000000011111101000000000000000100000001 -110000000000000000010110000001100000000011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000001000001001100110100100000 -000000000000000000000000000000101000110011000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000010000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000110000101100000000000001000000000 +000000000000000000000011110000100000000000000000001000 +111000000000001001100110010000000000000000001000000000 +000000000000000001000010000000001111000000000000000000 +010000000000000000000000000000001000001100111100000000 +010000000000000000000000000000001101110011000000000010 +000000000000000000000000000000001000001100111100000000 +000000000000000000000010110000001001110011000010000000 +001000000000000000000000010000001001001100111100000000 +000000000000000000000010000000001000110011000000000010 +000000000000000000000000000000001001001100111100000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111100000000 +000000000000000000000011010000001001110011000000000000 +010000000000000000000000000000001001001100111100000000 +100000000000000000000000000000001001110011000000000000 .logic_tile 2 9 -000001000000001000000000000101100000000000001000000000 -000010100000000011000000000000000000000000000000001000 -111000000000000011100110000000000000000000001000000000 -000000000000000000100000000000001010000000000000000000 -110000000000001000000000010000000001000000001000000000 -110000000000000011000011010000001010000000000000000000 -000000000001000111100000000000000000000000001000000000 -000000000000100101100000000000001011000000000000000000 -000000000000001111000000000000001000111100000010000000 -000000000000000111000000000000000000111100000000000000 -000000000000000101100000001011000001000010100000000100 -000000000000000000000000000011001001000010010000000000 -000000000000000000000111100011000000000000000010000001 -000000000000000000000100000001000000000011000000000000 -010000000000000000000000001000000000000000000100000000 -100000000000000000000000000001000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000001011000000000000000000000000000000001000 +111000000000000001100111000000000000000000001000000000 +000000001010001101000100000000001110000000000000000000 +110000000000001000000010100000001001001100110001000000 +110001000000000011000100000000001100110011000000000000 +000000000000001000000000001011100001000010100001000000 +000000000000000111000000001111101001000001100000000000 +000000000000000001000000001000000000000010000000000000 +000000000000000000100011100001000000000000000000100000 +000000000000001000000000000000000000000010000000100000 +000000000000000001010010000011000000000000000000000010 +000000000000000000000111000000000000000010000000000000 +000000000000001011000000001101000000000000000000000010 +000000000000000000000000010001001101000111110100000000 +000000000000000000000010100101011010000011110000100000 .ramb_tile 3 9 -000010100000000000000000010000000000000001 -000001000000000000000011000000000000000000 -000010000111010000000000000000000000000010 -000001000000100000000000000000000000000000 -010000001110000000000000000000000000000000 -010000001110000000000000000000000000000000 -000000100111010000000000000000000000000010 -000001000100100000000000000000000000000000 -000000000000000000000000000000000000000010 -000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000000100000100000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000010 +000000000000010000000010010101100000010000 +000000000000000001000011001001000000000000 +111010100000000000000011101000000000000000 +000001000000000111000000001001000000000000 +110000000000000000000000001001000000010000 +110000000000001011000010010001100000000000 +000000001100000000000000001000000000000000 +000000000000000001000011011011000000000000 +000000000000000000000111110011000000000010 +000000000000000000000111110001100000000000 +000010100000000000000010000000000000000000 +000001000000000001010100001101000000000000 +000000001100000000000011100101000000000000 +000000000000000000000000001011000000000000 +110000000000000000000000001000000000000000 +010000000000000000010000001101000000000000 .logic_tile 4 9 -000000000000000000000000000000011000001100110000000000 -000000000000000000000000000000011100110011000000100000 -111000000000000001000111010000000000000000000000000000 -000000000000100000000110100000000000000000000000000000 -010000000000000000000111101111100001000010100000100000 -110000000000000000000100001001001010000010010000000100 -000010100001000001100000001000000000000010000000000010 -000001001000000000000000000001000000000000000001000000 -110000000000000000000000001000000000000000000100000000 -100000000000000111000000000111000000000010000000000000 -000010100000100101000000001000000000000000000100000000 -000001000001000000000000000011000000000010000000000000 -000010000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -010000000000010101000000001000000000000000000100000000 -100000000000100111010000000011000000000010000000100000 +000000000000000111000110000101100000000010000001100000 +000000000000001011100011100011000000000000000000000000 +111000000001010111110010000111111001000100000000000001 +000000000000100111100110011101101000000000000000000011 +010000000000000001000010000011101010001001000000000000 +110000000000001011000110010101001111001010000001000000 +000000000000001011100000000000000001001111000000000000 +000000000000000001100011010000001011110000110000000000 +000000000000001011100111101001100001000000100000000000 +000000000000001011000100001111001001000000000000000000 +000101001110000001000000000101100000000010100000000000 +000000000000000000100000000011001000000001100010000010 +000000000000000000000110001111001000100100000000100000 +000000000000000111000000000111011000101000000000000000 +000000000000000111000111000001000001000001010100000000 +000001000000000000000000001011101001000010010000100000 .logic_tile 5 9 -000000000000000001000110000001100000000001000100000000 -000000000000000001000111001001100000000011000001000000 -111000000000000001100111101101000000000001010100000000 -000000000000001001100010010001001101000010010001000000 -110000000000001001100111111101000000000001010110000000 -100000000000001111100110010101101111000001100000000000 -000000000000000001000010001001000001000000010101000000 -000000000000000000100100000111001100000000110000000000 -000000000000001000000011101101011001111110000110000000 -000001000000001111000000001101011001111100000000000000 -000000000001010101100011101101000001000000010110000000 -000000000000010001000100001011101100000000110000000000 -000001000000000000000011101001001100101000110100000000 -000000000001000000000000000111111100000000110000000001 -010000000000000101100000000011111110101000000100000000 -010000000000010001000000000001001101100100000000000100 +001000000000001101100000000111000000000000100000000000 +000000000000000101000010000101101000000000110000000000 +111100000000111001000000000111011110010111110000000000 +000100000001010101100000001101101000100111110000000000 +010000000000001101100011001011100000000010100000000000 +000000000000000101000010000101101101000010010000000000 +000000000000000011100111001101101100101100000100000001 +000000000000001001100010011111001110001100000000000000 +000000000000001001100000011011100000000000100101000000 +000000000000000001000011111101001000000000110000000000 +001000000000001011000010000011101011000100000100100000 +000000001110001101000100000001011000001100000000000000 +000000000000000001010010011101111010111110000100100000 +000000000000000011000010000001101001111100000000000000 +110000000000000101100000000111000000000000100100000000 +000000000000000000100010000011001010000000110000000010 .logic_tile 6 9 -000010000000000011100010011111000000000010000000000100 -000011000000000000100011101011000000000011000000000010 -111000000000001000000010100000000000000000000000000000 -000000000000001111000110010000000000000000000000000000 -010001000000001000000110001001101100000000000000000000 -010010100000000011000000001111101011100000000000000100 -000100000000100111100010100001111010000100000000000000 -000000000000000000010000000101011001000000000000000000 -000000000000001111000011101001011100000100000010000000 -000000000000000101000000001001011100000000000000100000 -000000000000001000000010000011100000000010000000000000 -000000000000000101000110011111000000000000000000000000 -000000001110001000000000001000000000000010000000000000 -000000000000000101000011110101000000000000000000000101 -000000000000000000000110000000000000000000000100000001 -000000000000000000000000001011000000000010000001000000 +000000000000001011100000000001011101110000000000000010 +000000001000001111100000000001011001010100000000000001 +111000000000000001000110010000000000000000000000000000 +000000000000001111100010110000000000000000000000000000 +010000000001000000000010100000000000000000000000000000 +110000000000100000000100000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001100001000010100000000000 +000000000000000000000000001101001000000001100000000010 +000000000011010000000010001111100000000000000000100100 +000000000000000000000100001001100000000001000000000000 +000000000000000000000000001001100000000011000000000010 +000000000000010000000000001111100000000010000011000000 +000000000000000000000011100000000000000000000101000000 +000000000000000000000100000001000000000010000000000000 .logic_tile 7 9 -000000100000000000000011010101100001000011000000000000 -000001000000001001000010000001101011000001000000000000 -111010000010000101000010011111100000000000000000000000 -000000000000000101000111101011001011000000010000000000 -110010000000001000000011110001111000110010100000000000 -110001000000000001000111010111101101110011110000000000 -000000000000001000000110011001011001001010000001000000 -000000001010000001000010000011101010001001000000000000 -000000000000000011000000011111000000000000100000000000 -000000000000000000100011010011001011000000000001000000 -000001000010001001100011100011000001000000100000000000 -000000100000001011000000001001101110000000110000000000 -000110100000000101100011100000000000000000000100000000 -000101000010000111000000000001000000000010000000000000 -000000100000001001000000000001100000000010000110000010 -000000000000000101100000000011101010000011000001000000 +000000100110000111000000001001000001000010100000000000 +000001000000100001100000000001001011000001100000000010 +111001000000000000000000001101000000000000000000000000 +000000100110001011000000001011100000000001000000000010 +110000000000000111000010000011000001000010100000000000 +000000000000000000000100000101101100000001100000000010 +000010100000010000000110100000000000000000000000000000 +000001000000000000000100000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000011000000000000000111001000000000000000000100000000 +000010000000011111000100001111000000000010000000100000 +000000000000000000000000000000000000000000000100000010 +000010000000000000000000000001000000000010000000000000 +110100000001010111100000000000000000000000000000000000 +110101000000000000000010010000000000000000000000000000 .logic_tile 8 9 -000000000000001101100000010111000000000000000001100000 -000000000000001111000010000101100000000001000000000000 -111000100000000101100110001001100000000001000000000000 -000000001111010000100011000001100000000000000000000000 -110000000000000111010110101111000001000000100000000000 -010001000000000000000100000111001111000000000000000000 -000000000000000000000000000011001001000110100001000000 -000000000000000101000000000001011001001111110000000000 -000000101010000111000000010001111010010000000000000000 -000000000000000111000011011111101011110000000000000000 -000000001110000000000000000000000000000000000000000000 -000010000000000000010000000000000000000000000000000000 -000000000000000000000110111111100000000000000000000000 -000000000000000001000010100111001000000000010000100010 -010000000000000011100010000000000000000000000100000000 -100000000000001001100000000011000000000010000000000000 +000000000000100111100010000001111100000001000000000000 +000000000000000000000011100101101110010001000000000000 +111000000110001101100011001001100000000000000010000000 +000000000000001111100011011111000000000001000000000001 +010000000000000001100110010001000001000000000000000000 +010000001110000101100010001111101011000000010000000101 +000000000000001000000110000101001101110000000000000000 +000000000000001011000011011101001000111001010000000000 +000010100000001101100010100101011010011111110000000000 +000010000000010001000111010101001011001111110000000000 +000000000100001101100000000011111000001100000101000001 +000000000000000101100010111111111100001101010000000100 +000000000001010111000010000011011011001100000100000101 +000000000000100000100111011011111100001101010000000010 +000000000000000000010010110111111000001100000100000000 +000000000010000000000110111111111000001101010011000000 .logic_tile 9 9 -000000000000000001000011111011111001010000000000000000 -000000000000000000100011001001111100000000000001000000 -111011100000001011000010000101100000000000000001000000 -000011100001000001100111110101101111000000010000000000 -110000000000010000000000001111000001000000110000100001 -010000000000100111000000001001101000000000000000000001 -000000000000101000000011101011011100000100100000100011 -000000000010010001000000000101001101000000000000000000 -001000000001110111100011110011001000001100110000100000 -000000000001110111000110110000110000110011000000000000 -000000000000000000000011001001011110000000000000000101 -000000000000001001000000000001111000110000000000000000 -000000000000000000000011100000000000000000000100000010 -000000000000000000000010011111000000000010000010000000 -010000000000000000000010000000000000000000000100000010 -100001000000000000000100000011000000000010000001000000 +000000000000000111000010100001100000000000000010000000 +000000000000000000000000001111100000000001000000000000 +000000000001011111010111100001100001000010000000000000 +000000000000101011000000001101001100000011000000000000 +000000000000001111000111111101111000001100000010000000 +000000001000001011000111000101001100001101010000000000 +000000000111111001100000011101011111000010000001000100 +000000000111110001000010100111111101000000000000000100 +000000000000000000000000001001001011001111110000000000 +000000000000000001000011101011101000000110100000000000 +000000000001000001100110001101000000000000000000000000 +000000000000100000000110010111101001000000110000000000 +000000100000000000000111000001000000000000000001000001 +000010000001000000000011101011000000000001000000000000 +000010000000000000000111001111001010001100000010000000 +000100000110001001000000001101101111000100000000000000 .ramb_tile 10 9 +000000000000100000000000000000000000000000 +000000010000010000000000000000000000000000 +000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010101000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 .logic_tile 11 9 -000000000000000101000111011001100000000000100001000000 -000000000000000000100010001101101001000000110000000000 -111000000000000000000010110011111001000110100001000000 -000000000000000000000011110001111011001111110000000000 -110000000000000011000110010101000000000010000000000000 -010000000000000000000011111101101110000011000000000000 -000011000000100101000011001111111100000100000000100001 -000000000001001011000011000101101001100000000001000000 -000001000000010000000011000000000000000000000100000100 -000010000000100000000010010101000000000010000000000000 -000000000000000011100000000000000000000000000110000000 -000100000000000001100010001011000000000010000000000000 -000000000000000101100000001000000000000000000100000101 -000000000000000000000000000101000000000010000000000000 -010001000000000000000011001000000000000000000100000001 -100000000000000000000100000011000000000010000000000001 +000000000000000111100011111001001000000011000000000000 +000000000000000011000111000101011001101011000001000001 +111000000000001000000000000111100000000000100001000000 +000000000000001011000000000011001011000000000000000000 +000000001100000000000111101000000000000010000010000010 +000010100000000000000111011011000000000000000000000000 +000000000000001001000000001000000000000010000001000100 +000000000000000001000000001011000000000000000001000000 +000000000000100111100000000000000000011010010000000000 +000000000001010111000000000000001100100101100000000000 +000000000000000000000010010001011000011100000100000000 +000000000000000000000011010111001001111100000000100000 +000001000000001000000000000101111110101000110110100000 +000010000000001111000000001101001100111100110000000000 +000000000000001111100000000000000000000000000000000000 +000000000000001101100000000000000000000000000000000000 .logic_tile 12 9 -000000000000001000000111100011111111010000000000000110 -000000000000001011000100000001111110001000000000000000 -000000000000000000000000000001100000000001000000000000 -000000000000000000000010101101100000000000000000000000 -000010100000000000000111111001011010010000000000100010 -000001000000000000000110001101101111001000000000000000 -000000000000001000000011001001011111010010000000000100 -000000000000001011000100001111001101000000000000000000 -000000000000000011100011100000000000000000000000000000 +000000000000000000000011101000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +111000000000000000000000000000000000000000000100000000 +000000000010000000000000001001000000000010000010000000 +110000000000010000000011100000000000000000000100000000 +010000000000100000000000001101000000000010000001000000 +000010000001010111100000000000000000000000000101000000 +000000000000000000100000001001000000000010000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000010011111101000000000010000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000111100000000000000010000000000000 -000010101000000000000100000011000000000000000000000000 -000000000000000000000011100011011011000011000000100000 -000000000000000000000000001101101011110000000000000000 -000000000000000111100000001001011111000100100000000010 -000000000000000000100011011111001101000000000000000000 +000010100001010000000000000000000000000000000110000000 +000001000000100000000000001101000000000010000000000000 +010000001010000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .io_tile 13 9 -000000000000000000 +000001110000000000 000100000000000000 000000000000000000 000000000000000000 -000000011000000100 -000000001000000100 +000000000000000100 +000000000000001000 000100000000000000 000000000000000000 000010000000000000 -000101010000000000 -000000000000100010 +000110010000000000 +000000000000000010 000000000000010000 000000000000000000 -000000000001100001 +000000000000000001 000000000000000010 000000000000000000 .io_tile 0 10 @@ -2364,212 +2364,212 @@ 000000000000000000 000000000000000000 .logic_tile 1 10 -000000000000000001100000000001111110010000000001000000 -000000000000000000000011101001011010000000000000000000 -111000000000000011110000000001111011000010000000000000 -000000000000000111100000001011011100000000000000000000 -010000000000000001100010000011011000000000000000000000 -110000000000000000000111101001001010100000000010000000 -001000000000000011100011101000000000000010000000000000 -000000000000000000100010111101000000000000000000000100 -000000000000000101100111001000000000000010000000000000 -000001000000000000000000001011000000000000000000000100 -000010000000000011100000001001000000000000000000000000 -000000000000000000100010010001101000000000010010000000 -000000000000100000000000001000000000000000000101000000 -000000000001010000010000000101000000000010000000000000 -010000000000000000000000010000000000000000000101000000 -100000000000000000000010111101000000000010000000000000 +000000000001001000000000010000001000001100110101100100 +000000000000000001000011000000001000110011000000110010 +111000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000001011010010100000000 +000000000000000000000000000000001001100101100000100000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 2 10 -000000100000000011100011110001000000000010000001000000 -000000000000001111000011000001100000000000000000000000 -111010000001011001000000010001100000000000000001000000 -000000000000000111100011111011100000000001000000000000 -110000000000000011000000010001101010101001010100000000 -110000000000001001100011000011001010100101010000000000 -000000000001000000000000010001101111101001010100000000 -000000000000100000000011001011001111100101010000000000 -000000000000000000000111000101011010001100110100000000 -000000000000000111000100000101011001101100100000000000 -000000000000000000000000000000000000000000000100000000 -000000000110000000000000000001000000000010000000000000 -000000000000000011100111001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 -010010100000000000000000001111000000000011000100000000 -100001000000000000000011111111001011000010010000000000 +000000000101000000000110000001100000000000001000000000 +000000000000000000000011100000000000000000000000001000 +111000000001001001100000000101100000000000001000000000 +000000000000100001000000000000101100000000000000000000 +010000000000000000000000010101101000111100001000000000 +010000000000000000000010000000101110111100000000000000 +000000000000000001000010110111101000000011110000000000 +000000000000001101000110000000100000111100000000000000 +000000000000000111100000000101101010000011110000000000 +000000000000000000000000000000110000111100000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010001000000000 +000000000000000111100000001000000000000000000100000000 +000000000010000000000000000001000000000010000000000001 +010000000001010000000110000000000000000000000100000000 +110000001010000000000000001001000000000010001000000001 .ramt_tile 3 10 -000000000100000000000000000000000000000000 -000000010000000000000011001101000000000000 -111000000000001000000110101000000000000000 -000000010000000101000000001101000000000000 -000000000000000000000110110000000000000000 -000000000000000011000010101001000000000000 -000000000000000101100000010000000000000000 -000000000000000000010010100101000000000000 -000000001001110000000000001000000000000000 -000010100000000000000000000101000000000000 -000000000010000000000000001000000000000000 -000000000000000000000000001001000000000000 +000000000000001001000011100011100000000000 +000000010000000111000010011101100000100000 +111000000000000111000111100000000000000000 +000000010110000000100100000111000000000000 +000000000001000011000011110001100000000000 +000000000000100000100111110101100000100000 000000000000000000000000001000000000000000 000000000000000000000000000001000000000000 -110000001110000111100000000000000000000000 -110000000000000000110000001101000000000000 +000000000000000000000000000101000000000000 +000000000000000000000000000001100000000000 +000000000000001000000010000000000000000000 +000000000000001111000100000001000000000000 +000000100000000000000000000111100000000000 +000001001010001001000000001001000000000000 +110000000000000000000000000000000000000000 +010000000000001001000000001001000000000000 .logic_tile 4 10 -000000000001010001100111111000000000000010000000000000 -000000000000100001000111011101000000000000000000000100 -111000100000000001100000010111011011101000000000000000 -000001001000000101000011000011001110011000000000000000 -110001000000000101000111000000000000001100110000000000 -110010100000000101100010110000001000110011000001000000 -000000000000001011100110000011100000000010100000000000 -000000000000000111000000001111001001000010010000000010 -000000000001000001000000001101100000000010100000000000 -000000000000100111100000001001001011000010010000000110 -000000100000110000000000000101011110001001000000000000 -000000000000001101000011101101001010000101000000000000 -000000000000000001000011110111100001000010100000000000 -000000000000000000100010011001101000000010010000000110 -000000000000000001000000001011011100000111110100000000 -000000000000000011100010110001011000000011110000000000 +000000000000001001000111110000000000000000000000000000 +000000000000001011100111110000000000000000000000000000 +111000000000001000000010010000000000000000000000000000 +000000000000000001000110100000000000000000000000000000 +010000000000000011100000001111000000000010100000000000 +000000000000000000100011101001101111000001100010100000 +000000000000001111000011001001000001000010100000000000 +000000000000000101000000000101001100000001100000100010 +000000000000000000000000000011101000000001110000000000 +000000000000000000000000000101111110000000110000000000 +000000000000000101100010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000001000110101001000000000011000000000000 +000000000000000000000011011101001001000011010001000001 +110010000000000000000000000001111011111111000100000000 +000010001010000000000000001101001001111110000000100000 .logic_tile 5 10 -000000000000001000000111100001100001000000100000000000 -000000001000000001000111010111001101000000000000000000 -111000000000000111000011010111011010000010110000000100 -000000000000000000000011010111001001000000110000000000 -110100001000001001100000001101100000000000000000000000 -110100100000000001000000001011101100000000010000000001 -000000000000001111000011000001101001110011110000000000 -000010100000000001000011011011111101110010100000000000 -001000001010001111100010001011001001000010110000000000 -000000000000000111000100001111011010000000110000000000 -000000000000010000000010010101011011010000000000000000 -000010001110000000000010000101011010001000000001000000 -000000001000001111100111100001100000000001000100000000 -000000000000000111000000000001100000000000000000000000 -010000000000000000000110001101100000000010000100100000 -100000000000100000000000000101100000000011001000000000 +000000000000001001100111011001011101101100000000000000 +000000000000000001000110000001111100001100000000000000 +111000000000001000000010101101011100000110100000000000 +000000000000001011000110111001101101100101010000000000 +110000000010001000000111010001011011010000000010000000 +110000000000000001000110000001011101001000000000000000 +000000000000000000000000000000000000000000000100000000 +000000001110000000000010111101000000000010000000000000 +000000000000000000010111101101100000000010000100000100 +000000000000000000000000001011100000000011001001000000 +000000000000001000000110010000000000000000000100000000 +000000000000000101000010101101000000000010000000000000 +000000000001000000000010000000000000000000000100000000 +000000000000000000000100001001000000000010000001000000 +010000000000010000000000000001100000000001000100000010 +100000000000100000000000000101100000000000000000000000 .logic_tile 6 10 -000000001110001101010110111101000000000000010000000000 -000000000000001101110010000111101000000000000000000000 -111000000001011111100111110101101001000100000000000000 -000001100000100001010111100101011011001100000000000100 -010000000000001000000110111001011001110011110000000000 -110000000000000001000111111001001000010011110000000000 -000000000000000001100010010001100001000000100010000000 -000000000000000111000110001011101001000000000000000000 -000000000000000111100111000011011011000011100000000000 -000000000000000000000010001111001111000011110000000000 -000010000000000000000111001101101110111100110000000000 -000000000000000000000100001011111001010100110000000000 -000000000000000111100111000001111000000100000000100000 -000000000000000000000000000111101101000000000000000000 -000000100000000011000111011000000000000000000100000010 -000001000000000000000111001111000000000010000000000000 +000000000000001001000010001111011000000100000000000000 +000000000000001111000110000011101110001100000000000010 +111000000000001101000000000001100000000011000000000000 +000000000000000101100011101001100000000010000001000110 +010000100000100111100111011011100001000010000000000000 +110000000000000000110010000101101000000011000000000000 +000000000000001011000000001001000000000000110000000000 +000000000000000001100010101101101000000001110000000100 +000000000000000001000010000011011100001000000000000000 +000000000000000000000110110001111010001100000000000000 +000000100010001000000010010011000001000000110000000000 +000000000000001111000010100011101000000000100010000000 +000000000000000011100010101101011011100101010000000000 +000000000000000000100010111011101111101001010000000000 +110000000100000000000110101111000000000000000100000001 +000000000000000000000011001111100000000001001000000000 .logic_tile 7 10 -000000000000001000000110011111011101001100000000000000 -000000000000000001000011011011011110000100000000000000 -000000100000001001100011000001001100010000000000000000 -000000000000000001000100001101001111000000000000000000 -000000000000000101000010011001000001000000010000000000 -000000000000000000100111011101101111000000000010100000 -000000000000000011000110011011101111110000000000000000 -000000000000000000100010001001011111100000000000000000 -000000000000001001000010100011000000000001000000000000 -000000000000001111100010100101000000000000000000000000 -000010100000000000000111010101101010000000000000000000 -000000001100001111000011101011011111100000000000000000 -000000000000000000000111011111101111110011110000000000 -000000000000000001000011100001011010010010100000000000 -000011100000100001000110111011001001010111000000000000 -000011100001001111000010101101111000010110000000000000 +000010000000001000000111001011001001010000000000000000 +000001000000001111000010011011011100110000000000000000 +000000000000001001100000011011000000000000100000000000 +000000000100001011000011111101001010000000110000000000 +000000000000001000000010010011000000000000110000000000 +000000001100000001000110001101101111000000010000000000 +000001000000101001000110001001000000000001000000100000 +000000100001001011000110000101100000000000000000000001 +000000000000000111000011100101011001010000000000000000 +000000000000001011000000000001101001000000000000000001 +000111100100101000000010011101111111010000000000000000 +000001100001001011000011111101011110000000000000000000 +000100000000000000000010101011100000000001000000000000 +000100000000000000000000000001100000000000000000000000 +000001000000001000000010001101100000000001000010000000 +000000000000011011000100000101100000000000000000000000 .logic_tile 8 10 -000001000000000101000110110101000000000011000000000000 -000010000000000000100110001101001001000001000001000000 -000000000000001101100011001101101011000011000010000000 -000000000000000001100111000101011011000011010000000000 -000000000000101111000110110001001000000011000000000000 -000000000000001001000110000111011010000011010010000000 -000000000000001001100000010011100001000010000001000000 -000000000001010001000011010011101001000000000000000000 -000001000000000111000000001001100000000000000000000000 -000010000000000000100000001111000000000001000000000000 -000101000000000101000011110111000000000000000000000000 -000000100000000000000111110011100000000001000000000000 -000000000010000111000010001011100000000001000001000000 -000000000000000000100100001001000000000000000000000000 -000000000000000000000111001001100000000000110000000100 -000000000000000000000000001011001011000000100010000000 +000001000000000000000111001111111101110011110000000000 +000010001100001001000011001011001010111011110000000000 +111000001011010001100011100101001101100000000001000000 +000000000000000101000010111101001010000000000010000000 +010010000000001101100110110001101001010011110000000000 +010001001100101011000110000111111000110011110000000000 +000001000000001001100011100001001100010000000000000000 +000010101110001011000100000011011110000000000000000000 +000001001110001011000010001001100000000000000000100100 +000010100010000001100110001111000000000001000000000000 +000100000000000001000011110101100000000001000000000000 +000000000000000000100010000101100000000000000000000000 +000000001000000111010110001011100001000010000000000000 +000000000000000101000000001001101011000000000000000000 +000000000000010000000011111101001110111100010100000001 +000000000000011111000011011111111101111100000001000000 .logic_tile 9 10 -000000000000001000000000001101011110000110100000000000 -000000000000001111000011000001001101001111110000000000 -111001001000001000000111101101100001000011000001000000 -000000100000001101000100000101001100000011010000000000 -010000100001000111100000010011000000000001000010000000 -010000000000000101100010101111100000000000000000000000 -000000000000011101100000000101100001000011000010000000 -000000000000100001000000001101001100000011010000000000 -000000100000000000000011110011100000000011000001000000 -000000000000000000000010000001100000000000000000000100 -000010000000000001000110001011000000000011000010100000 -000000000000000000000000000111100000000010000001000100 -000000000000001000000010000111000000000000000000000000 -000000000110000001000000000101000000000001000000000100 -010000000000001000000000001000000000000000000101000000 -100000000000000111000000001001000000000010000000000000 +000000000000001000000111100111100000000001000000000000 +000000000010001111000100000011100000000000000000000000 +111000100000000011100111001000000000000010000000000010 +000000000000001001100100000001000000000000000001000000 +010000000000001000000110011101101000000000000000000000 +110000000000000001000010000011011000100000000000000000 +000000000000001000000000000111100000000001000000000000 +000000000000010001000000001101000000000000000000000000 +000000000000001111000000000101011001001000010000000000 +000000000000100011000010111011011000000100010000000000 +000010100010000000000000001000000000000000000100000010 +000001000000000111000000000111000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000001110000000000000001111000000000010000010000000 +000000001010001011100011110000000000000000000100000000 +000000000000001101100010010011000000000010000000000010 .ramt_tile 10 10 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000100000010000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 11 10 -000000000000001000000000011001100001000000100001000000 -000000000000001111000011011001001000000000000000000000 -111000000000001111100000001011000001000010000000000000 -010000000000101101100011010111001010000000000001000000 -010000000000000111100000000111000000000000000010000001 -010000000000000000100000000101000000000001000000000001 -000000000000001000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000001000000111110111101110001100110010000100 -000000000000001101000110100000111101110011000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000010011100000000000000000100000 -000000001110001111000010100001000000000001000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000001011000011011011000000000010000000000000 +000000000000000000000000010001100001000000000001000000 +000000000000000001000011111001101110000000010001000000 +111000000000001000000011000001101110000011110100000000 +000000000000001011000100000000010000111100000000100000 +110000000000000111100011100101111110000011110110000000 +010000000000000000000000000000000000111100000000000000 +000000000000001000000111100111001010000011110100000001 +000000000000000111000010100000000000111100000000000000 +000000000000000000000000000101100000001111000110000000 +000000000000000000000010010000100000110000110000000000 +000000000000000000000000010111111100000011110100000001 +000000000000000000000010100000110000111100000000000000 +000000000000000001000110100000000000000000000100000000 +000000000000000000100000000001000000000010000000000010 +010000000000001011000111000101011010000011110100000000 +100000001000000101100000000000110000111100000000000001 .logic_tile 12 10 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000100000000000000010100000000000000010000000000110 -000001000000000000000000000000000000000000000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000001000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 +000000001111011000000000000111100000000000001000000000 +000000000000001011000000000000100000000000000000001000 +111000000000000000000111000101100000000000001000000000 +000000001000000000000000000000001110000000000000000000 +110000000000000000000000000011101000111100001010000000 +010000000000000000000000000000101100111100000000000000 +000000000000100011100000000111101000111100001000000000 +000000000000000000000000000000001110111100000000000000 +000010100000001000000011110001101000111100001000000000 +000001000000001011000011000000101100111100000000000000 +000000000000000000000011000001001000111100001010000000 +000000000000000000000000000000001110111100000000000000 +000000000000001011000000000011001000111100001000000000 +000000000000001011100000000000101100111100000000000000 +010000000000000011000000010111001000000011110100000000 +100000000000000000000010010000000000111100000000000100 .io_tile 13 10 000000000000000000 -000100000000000000 +000100000001100000 000000000000000000 000000000000000000 000000000000000000 @@ -2585,9 +2585,9 @@ 000000000000000000 000000000000000000 .io_tile 0 11 -000000000000100000 000000000000000000 -000000000000100000 +000000000000000000 +000000000000010000 000000000000000000 000000000000000000 000000000000000000 @@ -2602,233 +2602,233 @@ 000000000000000000 000000000000000000 .logic_tile 1 11 -000000001110001111000000010001100000000000001000000000 -000000000000000011100011000000100000000000000000001000 -111000000000000000000111000111100000000000001000000000 -000000000000001111000100000000001101000000000000000000 -010000001110000011100000000001001000001100111110000001 -010000000000000000100000000000001111110011000000000000 -000000000000000000000000010001001000001100111100000000 -000000000000000000000011010000001101110011000000100000 -000000000000000000000011100101101000001100111100000000 -000000000000000000000000000000101111110011000000000000 -000000000000000000000000000101001000001100111100000001 -000000000000000000000000000000001101110011000000000000 -000000000000001000000011100111001000001100111100000000 -000000000000000011000000000000001111110011000000000000 -010000000000000101100000000001101000001100110101000010 -100000000000000000100000000000001101110011000000000000 +000000000000000011100000011011100000000000000000000100 +000000000000000111000010111111000000000001000000000000 +111000000000000011100110000011011100000100000000000000 +000000000000000000000000000101111011000000000000000010 +010000000000001111000000000000000000000000000000000000 +010000000000000001000010110000000000000000000000000000 +000000000000000000000000001101000000000010000000100000 +000000000000000000000010001101000000000011000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000011000000011001011100000011110001000000 +000000000000000000000011110001011000100011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000110101000000000000010000100000010 +100000000000000000000100001001000000000000000000000000 .logic_tile 2 11 -000000000000100000010000001111100001000010100000000001 -000000000000000000000011011101101111000010010000000000 -111000001000001000000000001101101011000010000000000001 -000000001010000101000000000101001011000000000000000000 -010100000000100011000010001000000000000010000000000000 -110000000000000101000100000001000000000000000000000000 -000000000000001101100010011000000000000000000101000000 -000000000100000101000010100011000000000010000000000000 -000000100000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000001 -000010000110000011100000001000000000000000000100000000 -000000001110000000100000000011000000000010000010000000 -000000000000000000000000000101000000000010000100000000 -000000000000000000000000001001000000000011001000100011 -010000000000000011100110001000000000000000000100000000 -100000000000000000100010100011000000000010000000000000 +000000000000000001100010001000000000000010000001000000 +000000000000000000000100001001000000000000000000000000 +111000000000010101000110011101111110000100000000000000 +000000000000000000000011110001101001000000000000000000 +010000000000000000000111000001000000000000000000000000 +110000000010001001000000001011000000000001000000000000 +000000100000000101000110011000000000000010000000000000 +000001000000000000000010000011000000000000000000000001 +000000000010000000000000000001100000001111000010000000 +000000000000000000000010010000000000110000110000000010 +000010100011010101000000000000000000000010000000100000 +000001000000101001100000000101000000000000000000000000 +000000000000000000000000001001100000000000000000000010 +000000000000100000000000000101100000000011000000000010 +110000000000000101000000001000000000000010000100000000 +010000000000010000100000001101000000000000000000000000 .ramb_tile 3 11 -000000000000000111100010010001000000100000 -000000000000000001000011101101100000000000 -111000000000000000000011100000000000000000 -000000000000000000000100000001000000000000 -010000000000000000000010001111000000001000 -010000000000000000000011110101100000000000 -000000000000000111100000001000000000000000 -000000000000000000000000001001000000000000 -000000000000000111100111100011000000000000 -000000000000001111000000000001100000000000 -000010100000000000000000000000000000000000 -000001000000000000000000001101000000000000 -000000000000101000000000010111000000000000 -001000000001010011000011000011000000000000 -110000000000000001000000000000000000000000 -110000000000000000100000000011000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 .logic_tile 4 11 -000001000100001011100010100111000001000010100000000100 -000000100000000011100011100101001010000010010000000000 -111000000001001000000000011011000001000001010000000000 -000000000000001011000011110101101011000001100000000000 -010000000000001011100110001111100001000001010000000000 -010001000000000001000000001101101001000001100000000000 -000000100000001111100110010111100000000010100000100000 -000001000000000001100011110001001111000010010000000000 -000000000000000111000010010011100000000001100100000000 -000000000000000000100011111101001110000001011000000000 -001000000000000011100000010011100000000001100100000000 -000000000000001001100010111001101100000001011001000000 -000000000000100111000000011011000000000001100100000000 -000000000001001101100011100001101110000001011000000000 -110000000000000111100000010001000000000001100100000000 -010000000000000000100010000101001100000001011000000000 +000010100001000000000000000101100000000000001000000000 +000001000000100000000000000000100000000000000000001000 +111000000000000001100000000000000001000000001000000000 +000000000000000000000011010000001010000000000000000000 +010000000000000000000011100011000001000000001000000000 +110000000000000000000011100000101001000000000000000000 +000000000000000000000000010000000001000000001000000000 +000000000000000011000010000000001000000000000000000000 +000010100001000000000110100000001000111100000001000000 +000001001100000000000100000000000000111100000000000000 +000001000000001000000000001000000000000010000000000000 +000000100000000111000000001111000000000000000000000000 +000001000000000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +010010100000000000000000000000000000000000000100000000 +100001000000000000000010011101000000000010000000000010 .logic_tile 5 11 -000000000000000000000011110101100000000010000000000000 -000000000000000011000111000011000000000000000010100000 -111001000000001011100010110101111000110011110000000000 -000010000000000001100110110011011010010010100000000000 -010000100000000111000011111001101001111100000000000000 -010000000000001101000110001101111100011100000000000000 -000000000000001111000110011011111011101100000000000000 -000000000000000011100010111011001001111100000001000000 -000000000000000011000010100011011110000011010000000000 -000000000000101111000100001101111000000011110000000000 -000000000000001000000110001101011000000100000000100000 -000100000000001111000011101101001011001100000000000000 -000000000000000000000000000001101110001100110100000100 -000000000000000000000011100000010000110011000001000000 -010001000000000000000000011011100000000011000100000000 -100010000000010000000011100001100000000000000000000010 +000000000000000101000010011111000000000000000000000001 +000000001100000000000111011101000000000001000000000000 +111000000110001000000110011111000000000000000000000001 +000000000000001111000010001101100000000001000000000010 +010000000000001001100000001101000000000000000001000001 +010000001110000111000000000011001010000000010000000000 +000000000000000011100110110111000000000010000010000000 +000000000000000000000011100101100000000011000000000110 +000000000000000111000111111011001100000010000010000000 +000000000000000000000011110101101101000000000000000000 +000000000000001011000000001111100001000000000010100010 +000000000000000001000000000011001001000000010000000000 +000100000000000000000111001001000000000010000000000000 +000000000010000001000100000001100000000000000000000000 +010000000010000011100000000001100000000010000100000000 +100000000001010000000000000001100000000011001001000000 .logic_tile 6 11 -000000000000010001100010101101000001000000110000000000 -000000000000100000100010011101101111000000100000000000 -000001001100001111100110010101011000101011000000000000 -000010100000000001000011010001011010000011000000000000 -000001000000001101100010110011001001000110100000000000 -000010100000001111000010001011011010001111110000000000 -000010000110000001100110010011011111111000000001000000 -000001000000000000010010001011111001110000000000000000 -000000000000000111000111110011101100000010000000000000 -000000000000000000100011011001001111000000000000000000 -000000000000000011000000000011111001010000000000000000 -000000000000000111000000000111001000000000000000000100 -000010100100000000000111100001100001000000010000000000 -000000000000001111000000001101001000000000000000000000 -000000000000000000000111000011100001000000000000000000 -000000000010000000000000000111001011000000110000000000 +000000000000001011000111010101100001000000100010000010 +000000000000000011100010111011001111000000110000000000 +000000000001110111000011110111011010000000000000000100 +000010000000110000000010001111101001100000000000000000 +000000000000100101100010111101000000000001000000000000 +000000000011011001000010110111100000000000000000100000 +000100000000001111000111010101100001000011000000000000 +000100001110001111100010000001101000000001000000000000 +000001000000000000000000000111000001000010000001000000 +000010100000000000000010010101001001000011000010000000 +000000000000000011000000000101100001000011000000000000 +000000000000000000000000000001101001000001000000000000 +000000000111001000000110010011100000000000110000000000 +000000000000000101000010001001001000000000010000000000 +000000000000000011000110000101001111000100000000000000 +000000000000000000000000000101111011000000000000000000 .logic_tile 7 11 -000000000000000011000010110111111111010000000000000000 -000000000000000000100110000111101001000000000001000000 -111000000000100011100110000101100001000000000001000000 -000010000001010000100011010011101111000000010001100000 -110001000000001000000010111001100000000001000000000000 -110010100000000101000110001001100000000000000000000000 -000010100000000000000110001111000000000000100000000000 -000001000000000000000011010111001011000000000000000100 -000010000000000011000000000001100001000000000000000010 -000000000000000000100000000111001101000000010000000000 -000000001110000000000000010011111011010000000000000000 -000000000000000000000010000011111011000000000000000001 -000000000000000011000010000111101011111100010100000001 -000000000000000000100000001101101001111100000000000000 -000001001100000000000000010001000000000001110100000010 -000000100000000001000010001001101000000000110000000000 +000010000001111000000111101001000000000000000000000000 +000001000011110011000111111001100000000001000001100100 +111000100000000001100110011011100001000011000000000000 +000001000000101101000011010001101011000001000000000010 +010000000000000000000111101001100000000000110000000010 +010000000000001011000100000101101000000000000000000000 +000000000000000011100110011101100000000000000010000100 +000000000000000111100010101001000000000001000001000000 +000000000000000001100000000101111011000011100000000000 +000000001010000000000000001101011011000001110000100000 +000000000000000000000000011101100000000000000000100000 +000000000001000000010011110101100000000001000000000000 +000000000000000000000000001101001101000100000000000000 +000000000000000000000000000001001111000000000000000000 +010000000000100000000011001000000000000000000100000000 +100000000000000000000000000101000000000010000000000000 .logic_tile 8 11 -000000000000000111000000001000000000000010000000000000 -000000000000000000110000001011000000000000000000000000 -111000100000010000000110100001100000000000000000100000 -000000001100000000000010010001100000000001000000000000 -111000000111010000000000001011100000000000000010000000 -010000000000100000000000000101000000000001000000000001 -000001000000000001100110111011111111000100000000000010 -000000100000001111110010101001101101000000000001000000 -000000000000010000000000011011111011010000000000000000 -000100000000000000000010011101111101000000000001000000 -000000001100000101100010101000000000000010000000000000 -000000001110000000000110111101000000000000000000000000 -000000000000010000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -000000000000000011100000001000000000000000000101000000 -000000000000000000000000000101000000000010000000000000 +000010000000000001000010010001000001000000100000000000 +000000000110000111000010000111101010000000110000000000 +000000001011001001000000000111011101000100000000000000 +000000000000101011100000000111111100000000000000000000 +000000000110000001100010011101011001000100000000000000 +000000000000000011000111001101101010000000000000000000 +000010100000001001000010111001100000000000000000000010 +000000000010001011000010001011000000000001000000000000 +000000000000000000000110100001011010011100000000000000 +000000000100000000000000001101011000111100000000000000 +000000000000000001000111101101000001000011000000000000 +000000000000000000100110000101001110000001000000100000 +000000000000011101100111001101011001010000000000000000 +000000000000100001100000001101101010000000000000000000 +000000100000001000000000000001000000000000100000000000 +000101000000000001000000001011101010000000000000000000 .logic_tile 9 11 -000000000000000000000000000101000000000000001000000000 -000000000000000001000000000000000000000000000000001000 -111000000000000101000000000000000001000000001000000000 -000000000000000000100000000000001011000000000000000000 -110000000000001000000000010011000001000000001000000000 -110000000000000101000011100000101010000000000000000000 -000000000000000101100000010000000000000000001000000000 -000000000000000101000010100000001011000000000000000000 -000000000000000011100011100000001000111100000000100000 -000000000000000000100000000000000000111100000000000000 -000001000000000000000000001001000001000000010000000000 -000010100000000000000000000001001010000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000001000000000000000000000000000000000000000101000000 -000000100000000000000000000011000000000010000000000000 +000000000000000001100000011001011111000100000000000000 +000000000000000101000010100101011100000000000001000000 +111000000000001111100110101111001000111100000000000000 +000000000000001101000011000001111010011100000000000000 +110000000000001111100110110001111010101100000000000000 +010000000000001111100011111111011010111100000001000000 +000001000000001001100111111001100000000000000000000000 +000000000000000001000110101011001011000000010000000000 +000000000000000011000011111001111100010000000000000000 +000000000000000000000011001101011111000000000000000000 +000000000000001000000011100101001011111100000000000000 +000000000000000111010100001011011000011100000000000000 +000000000000000000000011101101111000000011010000000000 +000000000000000000000000001001011000000011000000000000 +010001000010000000000000010000000000000000000101000000 +100010100000000000000010000011000000000010001000000000 .ramb_tile 10 11 -000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -001000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 .logic_tile 11 11 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000100000000011000000000000000000000010000000000000 -000000000000000000000000000001000000000000000001000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000011000000000000000000000000000000 -000000000000000000000010101000000000000000000101000000 -000000000000000000000000000001000000000010001000000000 -000000000000000000010000001000000000000000000110000000 -000000000000000000000000001101000000000010001000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001101000000000010000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000101000010100101100001000000100001000000 +000000000000000000010000001101001001000000000000000000 +000000000000000101000000000000000000000010000000000000 +000000000000000000000010100001000000000000000000000000 +000000000000000001000010100000000000000010000000000000 +000000000000000000100010101011000000000000000000000000 +000000000000000001100111000000000000000010000000000000 +000000000000000101000010101001000000000000000000000000 +000000000000000000000000001001000001000000000000000000 +000000000000000000000010011101101010000000010001000000 +000000000100000000000000000011001111000010000000100000 +000000001010000000000000001101111000000000000001000000 +000000000000000000000010000000000000000010000000000000 +000000000000000000000000000101000000000000000000000100 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 .logic_tile 12 11 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010001000000000 +111001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -111000000010000000000000001001000000000000000100000000 -000000000000001011000000000111100000000001000000000000 -010000000000000000000000001101100000000000000100000000 -000000000000000000000000000001000000000001000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000001101100010110000000000000000000000000000 -000000000000000000000000001101100000000000000100000000 -000000000000000000000000000001100000000001000000000000 +010011000000000000000011100000000000000000000000000000 +110010100000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000001000000000001101100000000000000100000000 -100000000000001111000000000111000000000001000000000000 +010000000100001000000000000000000000000000000000000000 +100000000000001011000000000000000000000000000000000000 .io_tile 13 11 000000000000000010 -000100000000010000 +000100000000000000 000000000000000000 000000000000000001 -000001011000100010 +000000011000110010 000000001000010000 -001100000000100000 +001100000000000000 000000000000000000 -000000110000000000 -000100001000000000 +000000000000000000 +000100000000000000 000000000000010010 000000000000010000 -000000000000000000 +000000110000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 0 12 -000010000000000010 -000110110000000000 -000000000000000000 -000000000000000001 000000000000000010 +000100000000000000 000000000000010000 +000000000000000001 +000010000000010010 +000010010000010000 000100000000000000 000000000000000000 000000000000000000 @@ -2840,165 +2840,165 @@ 000000000000000000 000000000000000000 .logic_tile 1 12 -000000000000001111000000000011100000000000001000000000 -000000000000000011100000000000000000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000010000000001000000001000000000 -001000000000000000000010010000001100000000000000000000 -000000000000000000000000010000000000000000001000000000 -000000000000000000000011010000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000001101100000000000000001000000001000000000 -000000000000000101000000000000001011000000000000000000 -000000000000100000000000000000000001000000001000000000 -001000000001000000000000000000001110000000000000000000 -000000000000000111000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000010 -.logic_tile 2 12 -000000000000000000000000000011100000000000001000000000 -000000000000000111000011000000000000000000000000001000 -111000000000000011100011110000000000000000001000000000 -000000000000000111000111110000001111000000000000000000 -010000000000000000000000000000001000001100110000000000 -011000000000000101000000000000001000110011000000000010 -000000000000000000000111001000000000000010000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000001001000010000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000011101100001000011000000100000 +011000000000000000000010011101101010000010000000000000 +001100000000000001100110000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 000000000000000000000000000001000000000000000000000000 -000000000000100000000011101000000000000010000000000000 -000000000001000000000011101001000000000000000000000000 -000000000000000011100000001000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000001000010010011111000000000001100110000000 -001000000000001011000111001101001101000001011000000000 -010000000000000000000000000111000000000001100101000000 -010000000000000000000000000101101101000001011000000000 +000000000000001000000000000011100000000010000100000010 +000000000000001101000000001101001111000011000001000000 +000000000000000000000000010000000000000000000000000000 +001000000000000000000010000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +.logic_tile 2 12 +000000000000000111000111110001100000000001000000000001 +001000000000000000100010001001100000000000000000000000 +111000000000001000000011100011000000000000000000000000 +000000000000000001000100000000100000111111110000000000 +010000000000000001100110001001011010000011010000000000 +000010101000001111000000000001011111000011000000000000 +000000000000000001100000000101000000000000000000000000 +000000000000000000000011010011000000000001000000000000 +000000000000000011000000000111100000000010000000000000 +000000001100000000000011010111100000000000000000000000 +000000000000000000000000010011100000001100110000000000 +000000001100000000000011010000100000110011000000000000 +000000000000000011100010000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000101101010101101010100000000 +000000000000000000000011111001011010001100000000000010 .ramt_tile 3 12 -000000000000001111000011101011100000000000 -000000010000001011000000001111100000000000 -111000000000000000000011100000000000000000 -000000010000000000000000001101000000000000 -000000000000000000000000000001000000000010 -000000000000000000000010000001000000000000 -000000000000001001000000000000000000000000 -000000000000000111100000001111000000000000 -000001000001010000000000000001000000000000 -000000100000000111000000000011100000000001 -000000000000000000000010001000000000000000 -000000000000000000000011100111000000000000 -000000001100000000000000001101100000000000 -000000000000000000000011101011000000000000 -010000000000000000000010101000000000000000 -110000000000000111000000001001000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 12 -000000000001011000000111111011100000000001010000000001 -000000000000000011000111110011001010000001100000000000 -111000000000001000000110011101111101010000000000000000 -000000000000001011000110011011111011000000000000000010 -010000000000000111000111001000000000000010000000000000 -011000000000000000000011001111000000000000000001000000 -000000000000001001100110010101100000000000000000000000 -001000000000000011000110011011001001000000010000000000 -000000000000000000000010000101000000000001010000000000 -000000000010001111000011101101101010000001100000000010 -000000000000101111100111011001100001000000100000000000 -000000001110001111000111111011101001000000000000000000 -000000000000000000000000000111100000000001100100000000 -001000001110000000000011100001101101000001011001000000 -010000000000000000000111011011000000000001100101000000 -010000000000000000000110110101001111000001011000000000 +000100000000010101000000000011100001000000001000000000 +001100000010000001100010110000001011000000000000000000 +111000000000000001000000000001001000001100111000000000 +000000000000000000100000000000001101110011000000000000 +010000000000001001100000010101001000001100111000000000 +001010100000001111000010000000000000110011000000000000 +000100000000001001100010000000001000001100110000000000 +000000000000000001000100000000000000110011000000000100 +000000100000000000000010100101011111101011110000000000 +001000001100001111000000001001111100100111110000000000 +000000000000000001000000000101011101101011110000000000 +000000000000000111000010000001011110100111110000000000 +000001100000000000000000000001111011110000000100000000 +001010000000001111010000000011001101111000000000100000 +000000000000000011000000000111111011110000000100000100 +000000000000000111100010000111001111111000000000000000 .logic_tile 5 12 -000000000000000001100111011101000000000000100000000000 -000010100000001011000011000001101010000000000000000000 -000000000000001001000110011011011011000100000000000000 -000000000000000001100010000001101011000000000000000000 -000000000000000001100000011001011011010111000000100000 -001000000000001111000011001011101001111111000000000000 -000100000010001011100010100001001100010000000000000100 -000100000000001111000010010011101000000000000000100000 -000000000000001011000000010011000000000000100000000000 -000000000000001011110011100011001000000000110000000000 -000000000000000000000000000101001000000000000000000000 -000000000000000000000011110111011100100000000000000010 -000100000000011011100000000001100001000000000000000000 -001000000000101011110000000111101011000000010000000000 -000000000000000111100000000111100001000000000000000000 -001000000000000000100011110101001111000000010000000000 +000000000000000111000110001101000000000011000000000000 +001000000000010101000011101011100000000000000000000010 +111000000000100001100011101111101111010000000000000000 +000000000000000000000110010101001101110000000000000010 +010000000000100000000010011001001110000011110001000000 +111000000000011111000011001101101100100011110000000000 +000010000000000001100110001001100000000000000000000000 +000001000000000001000000001001101100000000010000000000 +000000000000001011000000001001000000000010000010000000 +001000000000000001000000000101000000000000000000000000 +000000000000000101100111110011101000110011110000000000 +000000000000000000000111101101111010110111110000000000 +000000000000000101100000010011101111001100000000000000 +001000001000000001000011110001011111000100000010000000 +010000000000000101100011101000000000000000000100100000 +100000000000000000000100000001000000000010000000000000 .logic_tile 6 12 -000010000000001001000010001011111011010010100000000000 -000001000000000111000110011101011111110011110000000000 -111000000010000000000011111111100000000001000000000000 -000010000000000000000011100111000000000000000000000000 -110000000000001111000110110001000000000000000001000001 -010000000000000011000111010101101011000000010000000001 -000000000000001101100011101111000000000000010000000000 -000000000000000001100010101001101010000000000000000000 -000000000000000000000010010011000000000001000000000100 -000000000000010000000011001001100000000000000000000000 -000000000011011001000000000101111001110000000010100000 -000000000000100111000000001111011010100000000001000000 -000000000000000001100010110101101010000000000010000000 -001010000000000000000110000111011000100000000000000000 -110000000000000101100111100000000000000000000100000000 -010000000000001101100100000001000000000010001000000001 +000000001110000101000110001101101011000100000000000000 +000000000000000000000010010101111101000000000000000001 +111001000100001111110111001011100001000010000000000000 +000000100000000101000000001111101010000000000000000000 +010000000000001001100000011011001011000100000000000000 +011000000000001111000011011101101111000000000000000000 +000000000000000001110111011111000000000000000010000001 +000000000000001011000011011001000000000001000001000000 +000000000000000000000011111001000000000000000000000000 +001000000000000000000110000101001010000000010000000001 +000010101100000000000000000001100000000001000000000100 +000000000000000000000010001001000000000000000000000000 +000100001110000101100000000111100000000000010000100000 +001100000000010000000000000111001101000000000000000000 +010000000100000000000110011000000000000000000100000000 +100000000000010101010010100001000000000010000000000000 .logic_tile 7 12 -000000000000001000000110010111111011010000000000100000 -000000000000000101000010100011101110000000000000000000 -111000000010001101100000011101011000000011110001000000 -000000000000001111100010001111001111100011110000000000 -110000000000001001000110100101101111001100000000000000 -010000000000010001000011000011101111001000000000000000 -000000000000001101100011110101100000000010000000000000 -000010000000001111100010000011000000000000000000000000 -000000000000000101000111100101001011010000000000100000 -000000000000000111100110001001011011000000000000000000 -000010100001000000000000000001100000000001000000000000 -000001000000000000000000001011100000000000000000000000 -000000000010100000000000011001011000101101010100100000 -001000000001010001000010000111101000001100000001000001 -000010100000000001110110010111101010111100010100000010 -000001000110000000000011001001111101111100000000000000 +000000000000000111100010110101100000000000010000000000 +000000000000001011000010001111001101000000000000000001 +111000000000001001100111110111001100000000000000100000 +000000000000000111000111000001111010100000000000100000 +010010000000000101000000001011111010010000000000000000 +011000000000000111100010101101011000000000000000000000 +000000000000101011100011101011101101010000000000000000 +000000000001010111000110101001001000000000000000000000 +000011100000001000000110000011000001000000000000000000 +000011000000000011000011110111101001000000010000000000 +000000101110001011100000001111000000000000000000000000 +001000000000001111100000000101100000000001000000000000 +000001000110000001000011001101001111100000000000000010 +001010100000000000100111110101111000000000000000000000 +000000000000000000000000000101000000000000110100100000 +000000000000000000000000001001001010000000100000000000 .logic_tile 8 12 -000001000000001011000110000011100000000000001000000000 -000000100000001111000010100000000000000000000000001000 -111000000000001001100000010101100000000000001000000000 -000000000000001011000010000000000000000000000000000000 -010010100000000011000111000101001000001100110000000100 -111000000001001011000110010000101110110011000000000010 -000010000000000111000010101011001001000011000000000000 -000000000000110000100111100001111101000001000001000000 -000000000000010101100000011001000000000001000000000000 -000000000000100000000011111001100000000000000010000000 -000010100000000001000010000101000000000000000000000000 -000011000001010000000000001111001100000000010000000000 -000010000000010011100000011101011011111100000000000000 -001001001110100000100011001101011000011100000000000000 -010000000000100000000000000001000000000011000100000100 -100000000010010000000000000001000000000010000010000000 +000000000000100011000111101111100001000011000000000000 +000000000001000111100110010101101001000011010000000001 +000000000001011101100110110111011011111100000011000000 +000000000000000001110010100001011111011100000000000000 +000000000000001000000000000011100000000010000000000000 +000000000000000001000000000001100000000000000000000000 +000000001110000001000111100011001001010000000000100000 +000000000000000000100100001001111000000000000000000000 +000000000000001011100111111011000000000000000000000000 +000000000000001001100010001011100000000001000010000000 +000010100000100000000010111001011000110000000000000000 +000000000001000000000111110111001010100000000000100000 +000000000001010101100000000011000000000000000000000001 +001100000000000000100000000111001100000000010000000010 +000000000000000000000000001000000000000010000000000010 +000000000000000111000011011001000000000000000000000100 .logic_tile 9 12 -000000000000001111000000010101100000000000000001000001 -000000000000000011000011001001101000000000010000000000 -111000000000000001100000000111111001000000000000000000 -000000000000000000000011111111011011100000000000000000 -010000100010000011000010000111100001001100110001000000 -111001000000000111000000000000101101110011000000000000 -000000000000000111100110010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000001000000000001100000000001001101000100000010000000 -000010100000000000000000001001001111100000000000000000 -000000000011010000000000000101101110000010000000000001 -000000000000100000000011110101011110000000000000000000 -000000000000000000000111100001100000000000000000000000 -001000000000000011000010000101000000000001000000000000 -010000000000000111000010110101100000000010000100000000 -100000001010000000100111001111100000000000000010000000 +000000000000000001000010000000000000000010000000000001 +000000000000000000010000001101000000000000000000000000 +111001000000000000000011100000000000000010000001000000 +000010100000000000000000000011000000000000000000000000 +110000000000000000000010000000000000000010000000000001 +001000000000000000000000000111000000000000000000000000 +000010000000000011000000001000000000000010000010000000 +000000000000000000100000001001000000000000000000000000 +000000000000001001000000010000000000000010000010000000 +000000000000001101100011000011000000000000000000000000 +000000000010000000000000000000000000000000000100000000 +001000000000000000000000001001000000000010000000000010 +000000000000000111000000000000000000000000000100000010 +001000000000000000100000001011000000000010000000000000 +010000000110000000000000000000000000000000000100100000 +110000000000000000000000000001000000000010000000000000 .ramt_tile 10 12 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3010,48 +3010,48 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 12 -000000000000000000000000000000000000000000000000000000 -001100000000001011000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -001000001110000000000000000000000000000000000000000000 -000000000000000000000000000001100000000000000001000000 -000000000000000000000000001111000000000001000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000011100000001001000000000000000110000000 -000010100000000000100000000011000000000001000010000000 -000000000000000000000000001011000000000000000100000000 -001000000000000000000010000111100000000001000000000000 -010000000000000011100110101111100000000000000100000000 -100000000000000000100000000011100000000001000010000000 +000000000000000000000010100001100000000000001000000000 +000000000000000000000010100000100000000000000000001000 +000000000100000000000010100000000000000000001000000000 +000001000000000000000000000000001001000000000000000000 +000000000000000101000010000000000000000000001000000000 +001000000000000000000100000000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000011000000001011000000000000000000 +000000000000000000000110100000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000100000000000000000000000000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +001000000000000000000000000000001011000000000000000000 +000000000000000000000000000000001000111100000000100000 +000000000000000000000000000000000000111100000000000000 .logic_tile 12 12 -000000000000000011100000000001100000000000001000000000 -001000000000000000100010100000000000000000000000001000 -000000000000000101100010100000000000000000001000000000 -000000000000000101000000000000001000000000000000000000 -000000000000000101000000000000001000001100111000000000 -001000000000000000000000000000001010110011000000000000 -000000000000000000000000010000001001001100111000000000 -000000000100000000000010100000001011110011000000000000 -000010100000000000000011100000001000001100111000000000 -000001000000000000000100000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -001000000000000000000000000000001001110011000000000000 -000010100000000000000000000000001001001100111000000000 -001001000000000000000000000000001000110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000000 +000000000001010000000111010000000000000000000000000000 +000000000000100000000010000000000000000000000000000000 +111000000000000000000000010000000000000000000000000000 +000000000000100000000011010000000000000000000000000000 +010000000000000000010010101101100001000011000000000000 +011000000000000000000110110101001001000011010000000000 +000000000000000001100000000000000000000010000000000000 +000000000110001101000000001001000000000000000000000000 +000000000000000000000000000000000000000010000000100000 +000000000000000000000000000000000000000000000000100000 +000000000000000000000000011011101010100000000000000000 +000000000000000000000010000101111011000000000001000000 +000000000000000000000000001000000000000010000100000000 +001000000000000000000000001011000000000000000000000000 +010000100000000000000000000000000000000000000000000000 +100001000000100000000000000000000000000000000000000000 .io_tile 13 12 -000001111000000010 -000000001000000000 +000000110000000010 +000001010000000000 000000000000000000 000000000000000001 -000000000000010010 -000000000000110000 -000110000000000000 -000001010000000000 +000000000000000010 +000000000000010000 +000100000000000000 +000000000000000000 000000000000000000 000100000000000000 000000000000000010 @@ -3062,7 +3062,7 @@ 000000000000000000 .io_tile 0 13 000010000000000010 -000101010000000000 +000101110000000000 000000000000000000 000000000000000001 000000000000000010 @@ -3078,211 +3078,211 @@ 000000000000000000 000000000000000000 .logic_tile 1 13 -000100000000000111000110000001100000000000001000000000 -000100000000001001100100000000100000000000000000001000 -000000000000000011000011000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000011000000000001000000001000000000 -001000000000000000000000000000001101000000000000000000 -001000000000010000000000000000000001000000001000000000 -000000000000100000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000010000000000000000000000000000000000000001000000000 -000001001110000000000010000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -001000000000000000000000000000001011000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000111100000000000000000000000000000 +111000000000000000000100000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +001000001110000000000011001101000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000111100000000010000100000000 +001100000000000000000000001001100000000000000000000100 +010000000000000000000000010000000000000000000000000000 +100000000000000000000010000000000000000000000000000000 .logic_tile 2 13 -000000000000000001000000000101100000000001000000000000 -000000000000000000000011101101000000000000000000000010 -111000000000000111000011111001111010000011010010000000 -000000000000000111100111101001001000000011000000000000 -010000000000000001000110100111000000000000000000000010 -010000000000000000100100001011100000000001000010000000 -001000000000001111000110111001100000000011000000000000 -000000000000000001100011110001101000000001000010000000 -000000000000100011100000000001100000000000110000000000 -000000000001010011000011000101101100000000010001000000 -000000000000001000000010101101111001110000000000000000 -000000000000000011000100001101101101010000000010000000 -000000000000000000000000001000000000000000000100000000 -001001000000000000000011110011000000000010000000000010 -110000000000000111100000000000000000000000000100100000 -110000000000000000110000000011000000000010000000000000 +000000000000000111100110000001000000000000000000000000 +000000000000000000100010101101100000000001000001000000 +000000000000001001100011101101111001101000100001000000 +000000000100001111000100000101001100110100100000000000 +000000000000000001100111100001111101010010000000000001 +001010000000001011000000000011001000001000010000000000 +000000000000001001100000000011000000000000010001000000 +001000000000000001000000000101101100000000000000000000 +000000000000001111000010010011001101000000000000000000 +000000000000001011000111001011011101110000000000000000 +000000000000000001000000000011000001000010000000000000 +000000000001000000000010000011101010000011000000000000 +000000000000000000000000000001100000000011000000000000 +001000000000000000000011100101100000000000000010000000 +000100000000000000000000000001000000000000000010000000 +000100000000000000000000000001101111000000010000000000 .ramb_tile 3 13 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000 -000100000000010000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000001011010000000000000000000000000000 -000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 13 -000000100000000000000011110000000000000010000000000000 -000000001000000111000011110111000000000000000000000010 -111000000000001111100110011011000000000001000000000000 -000000000001011011100011110101100000000000000000000001 -010000000000000011100110000001100000000000010000000000 -011000000000000000100000000101001011000000000000000000 -000000000000000000000110000101100000000001000000000000 -000000000000001111000000001001101111000011000000000000 -000000000000000000000111011000000000000010000000100000 -000000000000000000000011111001000000000000000001000000 -000000000000000000000000000000000000000010000000100000 -000000000000000000000000001011000000000000000000000000 -000000100000000011100000001000000000000010000000100000 -001000000000000000000011100111000000000000000000000010 -010000000001000101100000000001000001001100110100000000 -010000000000000000100000000000001001110011000000000010 +000000000000001111100111110011100001000000001000000000 +001000000000001111100010110000001001000000000000000000 +111000000000000000000000000101001000001100111000000000 +000000000000001101000011000000001001110011000000000000 +010000000000000111100010010011001001001100111000000000 +001000000000100000000010110000101010110011000000000000 +000000000000001001100111110000001000001100110000000000 +000000000000000001000011110000000000110011000000000000 +000000000000000011100111101111001100100101010000000000 +000000000000000000100010010011001101100110100000000000 +000000000000001101100000001101101000101000000000000000 +000000000000000111000000001101111101110000000000000000 +000000000000101000000000000101000000000000000000100000 +001000000000010101000000000001100000000011000001000000 +000000000000000000000000000001101011001100000100000000 +000000000000000000000000000001011010001101010000000100 .logic_tile 5 13 -000000000000000111100010001001000000000000000001000000 -000000000000000000100010001111000000000001000000000000 -111000000100000000000110011011011110101000000001000000 -000000000000000000000010001101111001100100000000000000 -010000000000000001000011001011100000000000110000000000 -011000000000000001100000000111001011000000010000000000 -000000000000000000000000011111000000000000000000000010 -000000000000000000000010001001000000000001000000000010 -000000000000000111100000011011000001000000010000000000 -000000000000000000100010001111001001000000000010000001 -000000000000000111100000000101000000000001000000000000 -000000001100000000100000000101000000000000000001000000 -000000000000000011000000000001100000000011000000000000 -001000000000000000000000000111100000000010000011100000 -000000000000100111100011011000000000000000000100000000 -000000000000000000000111011111000000000010000000000000 +000000001110000001000000000011000000000000000000100000 +001000000000001001000010011111000000000011000000000000 +111000000001000011000010001111100000000001000000000000 +000000000000100000100100000011100000000000000000000000 +110010000000000001100000001111011101010100010010000000 +010000001110000000000000000111111100001100010000000000 +000000001010000000000000000111000000000001000000000000 +000000000000000000000000001011000000000000000000000000 +000000000000000101000010111001100000000000000000000000 +000000000000000000000011101011100000000001000010000000 +000000000000000111000110111101011100101100100000000000 +000000000000000101100011100001001111110101000001000000 +000001000001010101000010100001000000000010000000000010 +001010100000000000000011110001001001000000000000000001 +000000001000000111000110111000000000000000000100100000 +000000000000000000100011011111000000000010000000000000 .logic_tile 6 13 -000000000100000111100010000011111010000011000000000000 -000000000001010001010011010001001011000001000000000000 -111001100000000000000110011011000000000000000000000000 -000001000000001111000010101001100000000001000000000000 -010001000000001001100010000101100000000000000001000001 -011010001100000001010110100101100000000001000000000001 -000000000000001000000000010001100000000011000000000000 -000000000001010101000010100001101011000001000000000100 -000000000110000000000000011101100001000000100000000010 -000000100000000000000011010001101110000000110000000000 -000000000000000111000000000111000001000000000000100000 -000100000000001111000000001001101110000000010000000000 -000110000000000000000110011101101011110000000000000000 -001000001100000000000011010001101100100000000001000000 -010000000000000011100000000000000000000000000110000000 -100000000000001111000000001111000000000010000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +111000000000000101000000000000000000000000001000000000 +010000000000000000100000000000001100000000000000000000 +110000000000000000000000000000001001001100111000000000 +011000000000100000010010110000001011110011000000000000 +000000000000000000000110010000001001001100111000000000 +000000000000001101000010010000001010110011000000000000 +000000000000000111000011110000001000001100110000000000 +000000000000000011000011000000000000110011000000000000 +000000000000100000000000001011000000000000100000000100 +000000000000000000000000001101001101000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000100000000000000000000000000000000101000000 +100000000001010000000000001101000000000010000000000010 .logic_tile 7 13 -000000000000101101100111100001001010000000000000000000 -000000000001010111000111100101101001100000000010000000 -111000000000001001100110011001100000000000110000000000 -000000000000000001000111100101001101000000100000000000 -010010100000001001100111001001100000000000000000000010 -111001000000000111000011101011001000000000010000000000 -000001000000001011100111100101100000000000000000100000 -000010001000000111100000001111101011000000010000100000 -000000000000000111100011101001111101010000000000000000 -000000000000000000100000000101101001000000000000000000 -000000000000000111000000010101100000000001000000000000 -000000001010000111100010000011100000000000000000100000 -000000000000000000000011111011100000000000010000000000 -001000000001010000000010010011001111000000110000000000 -010000000000000000000000000000000000000000000100000000 -101000000000000000000000000001000000000010000000000010 +000000000000001001100010000001100001000000000010100000 +000000000000001011000010001001001000000000010000000000 +111000000000101101000111000111100000000000000001000000 +000000000001010001000000001001100000000001000001000000 +010000000000000000000110000001100001000000010000100000 +110000000000000000000000000001001110000000000000000100 +000000001100000001000010001011000001000000010001000000 +000000000000000000000110101101101101000000000000000000 +000000000000001111100111111011000000000000110000000000 +000000000000000001000011001101101110000000000000000000 +000000000000000000000010000111000000000000010000000000 +000000000000000000000000000001001100000000000000000000 +000000001110000111000000000001100000000011000000000001 +001000000000000000100000000111101100000010000000000000 +010000001000100001100110100000000001011010010110000010 +110000000000000000000011110000001111100101100000100000 .logic_tile 8 13 -000000000000000101000010100001000000000000001000000000 -000000000000000000100100000000101100000000000000000000 -111000000000000101100011100011001000001100111000000000 -000000000000000000100100000000101000110011000000000100 -010000000000001000000010000001101000001100111000000000 -111000000000000011010000000000000000110011000000000100 -000000000010001101100111100000001000001100110000000000 -000000000000001001000000000000000000110011000000000000 -000000000110000000000000011101011101000011100000000000 -000000000000001101000010111101001111000001110000000000 -000000001100000111100000001111011101010000000000000000 -000000000000000000100011110101111001110000000000000010 -000000000000000000000111001111100000000001000000000000 -001000000000000000000100000101000000000000000001000000 -010000000000001000000110000000000000000000000100000000 -101000000000000001000000000101000000000010000010000000 +000000000000000000000000011000000000000010000000000000 +000000000100000000000010001111000000000000000010000000 +111000000001010111000110000000000000000000000000000000 +000000000000100000010011100000000000000000000000000000 +010000000000000111000000001101100000000000000000000000 +011000000000000000000000001011101010000000010000000000 +000000000000100000000000011001101111010000000000000000 +000000000001010000000010100011101000001000000000000100 +000000000000000000000000001001101111110000000000000000 +000000000000000000000000001001011110000000000000000100 +000000000001000101100000011111000000000000000000000011 +001000000000100000100010011001100000000001000000000011 +000000000000000001000111000000000000000000000000000000 +001000000000000000000010000000000000000000000000000000 +010000000000000101100110101000000000000000000100000000 +100000000000000000100111001111000000000010000001000000 .logic_tile 9 13 -000000000001011000000010000101000000000001100000000000 -000000000000100001000011011001001101000001010001000000 -111001000001010101110000010101000000000001000000000010 -000000000000000000000010000111100000000000000000000000 -110010100000011000000111001001100000000000000000000000 -111001000000000111000100001001001000000000010000000001 -000000000001100111100110000000000000000000000000000000 -000000000001010101000000000000000000000000000000000000 -000000100000000000000000011000000000000010000000000001 -000001000000000000000011111101000000000000000000000000 -000000000010000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001011000111110011111011001100000000000000 -001000000000000001100011110111001111000100000000000000 -000000000000000000000110000101100000000000110100000100 -000000000000000000000100001111001001000000010000000000 +000000000000001000000010100001000000000000001000000000 +000000000000001011000010100000100000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000100000000000000010010000000001000000001000000000 +000000000000000101000011100000001100000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000001000000101000000000000001010000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +001000000000000011000000000000000001000000001000000000 +001000000000001111000000000000001100000000000000000000 +000000001110000000000000000000000000000000001000000000 +001000000000000000000000000000001011000000000000000000 +000000000000000000000000011001001000011100000000000000 +000000000000000000000011011111001011111100000000000010 .ramb_tile 10 13 -000001001110000000000000000000000000000000 +000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000100000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 .logic_tile 11 13 -000000000000001011100000001001011000111111110000000000 -001000000000001111100000001101011000001111110001000000 -111000000000000111100011001011000000000000000100000000 -000000000000000000000100000001100000000001000000000000 -010000000000000000000000001011100000000000000100000000 -001000000000000000000000000101000000000001000000000000 -000000000000000111100010001111000000000000000100000000 -000000000000000000000000000001100000000001000000000000 -000000000000000101100000011011000000000000000100000000 -000000000000000000000010100101000000000001000000000010 -000000000000001101100000011011100000000000000100000000 -000000000000000101000010100001000000000001000000000000 -000010100000001000000110101111000000000000000100000000 -001001000000000101000000000101000000000001000000000000 -010000000000000000000000001011100000000000000100000000 -100000000000000000000000000001100000000001000000000000 +000000000000000111100000000111100000000000001000000000 +000000000000000000100000000000100000000000000000001000 +000000000000001000000011100111000001000000001000000000 +000000000000000011000000000000001100000000000000000000 +000000000000000000000000000000000001000000001000000000 +001000000000000000000000000000001000000000000000000000 +000000000100000111100000000000000000000000001000000000 +000000000000000000100000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000011100000000000000001000000001000000000 +000000000000000011100000000000001001000000000000000000 +000000000000000001000000000000000001000000001000000000 +001000000000000000100000000000001100000000000000000000 +000000000000000000000000000000001000111100000000000010 +000000000000000000000010010000000000111100000000000000 .logic_tile 12 13 -000000000000000011110110100000001001001100111000000000 -001000000000000000100000000000001001110011000000010000 -000001000000000000000000010000001000001100111000000000 -000000000000000000000010100000001000110011000000000000 -000000000000000101100000010000001000001100111000000000 -001000000000000000000010100000001010110011000000000000 -000000000000000101110110100000001000001100111000000000 -000000000000001101000000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -001000001110000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -001000000000000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 +000000000000000000000110010101100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +111000000000001000000000000000000001000000001000000000 +000000000110000001000000000000001011000000000000000000 +010001000000000000000011100000001000001100111100000000 +111010000000000000000100000000001001110011000000000000 +000000000000001000000000000000001000001100110100000000 +000000000000000001000010100000001001110011000000000000 +000000000000000000000000000000000001011010010100000000 +000000000000000000000000000000001000100101100000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .io_tile 13 13 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3299,67 +3299,67 @@ 000000000000000000 000000000000000000 .io_tile 0 14 -000000000000000010 -000100000000000000 +000000111000000010 +000100001000000000 000000000000000000 000000000000000001 -000001010000010010 -000000111000010000 +000000000000100010 +000000000000110000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000010010 +000001010000000010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .logic_tile 1 14 -000000000000001001000000000001100000000000001000000000 -000000000000001111000000000000000000000000000000001000 -000000000000000111000000000001000000000000001000000000 -000000000000000000100000000000001111000000000000000000 -000000000000000000000010000000000000000000001000000000 -000000000000000000000000000000001011000000000000000000 -000000000000000101000000000000000000000000001000000000 -000000000000000000100000000000001101000000000000000000 -000000000000000000000011100000000001000000001000000000 -000000000000000111000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000011100000001100000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 +000000000000000001100110000001000000000000010000000000 +000000000000000000000010111101001101000000000000000010 +111000000000000101000010100011111101100000000000000000 +000000000000001101100110111001011100000000000000000000 +010000001110000000000010001101101101100000000000000000 +100000000000000000000100001111111011000000000000000000 +000000000000000000000110000001100000000000000100000000 +000000000000000000000010100011000000000001000000000000 +000000000000000111000010110001100000000000000100000000 +000000000000000000000010000111000000000001000000000100 +000000000000001001100000010001100000000000000100000000 +000000000000000001000010000011100000000001000000000000 +000000000000000111000000000001100000000000000100000000 +000000000000000000000000000111100000000001000000000000 +010000000000000000000010000001000000000000000100000000 +100000000000000000000100000011100000000001000000000000 .logic_tile 2 14 -000000000000000000000010100001100000000000001000000000 -000000000000000000000110110000100000000000000000001000 -000000100000110000010111100000000000000000001000000000 -000001000001100000000010110000001011000000000000000000 -000000100000000000000000000000001001001100111000000000 -000000000000000000010011010000001001110011000000000000 -000000000000000101000000000000001000001100111000000000 -000000000000001101100000000000001001110011000000000000 -000000000001010011000000000000001000001100111000000000 -000000000000100000100000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000001000000000000000000000000001001001100111000000000 -000000100000000000000000000000001010110011000000000001 -000000000000000000000000000000001000001100110000000000 -000000000000000000000000000000001100110011000000000000 +000000000000000111100000010001011001000100100000000000 +000000000000000000100011011001011100000000000010000000 +111000000000000001100110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000110001101100000000000000110000000 +000000000000001011000000000001100000000011000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000001011010010100000010 +100000000000000000000000000000001101100101100010000000 .ramt_tile 3 14 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000001000011000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3367,115 +3367,114 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 14 -000000000000000000000000000000000000000000000000000000 -000000000000000000010010100000000000000000000000000000 -111000000000000111100000001000000000000010000000000000 -000000000110000000100000000011000000000000000001000000 -010000000000010000000000000000000000000000000000000000 -010000000000100000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111000000010000000000000000000000000000 -000000000000000000100010100000000000000000000000000000 -000000000000000000000000001000000000000010000000100000 -000000000000000000000000001011000000000000000000000000 -000000000000000000000000001101100000000010010100100000 -000000000000000000000000000001101100000010100000000100 +000000000000000001000010100101111101101011110000000000 +000000000000000000100110111101111000100111110000000000 +111000000000000101000000001101011010000010110000000000 +000000000000100111100010110101001010000011010000000000 +010000001110000011000010100011111000000011110000000000 +000000000000000011000000000000000000111100000000000010 +000000000000000111100000010101000000000001000000000001 +000000000000000111100011010101000000000000000000000010 +000000000000001001100000000111001000000011110000000000 +000000000000000001000000000000010000111100000000000000 +000000000000000001000010000001011100001100000100000000 +000000000000000000100110010001111100001101010000000100 +000001000000001000000000000000000000000000000000000000 +000000100000001011000000000000000000000000000000000000 +000001000000000000000000000001101101110000000100000010 +000010000000000000000000000001101101111000000000000000 .logic_tile 5 14 -000000000000000101000000010111100000000000001000000000 -000000000000000000100010000000100000000000000000001000 -111000000000101000000000000000000001000000001000000000 -000000000000001111000000000000001110000000000000000000 -110000000000000000000000000000001001001100111000000000 -010000000000000000000000000000001110110011000000000000 -000010100000000000000000000000001001001100111000000000 -000001000000010000000000000000001101110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000000000000000011100000000000110011000000000000 -000000000000000101100110101001000000000001010000100000 -000000000100000000000000000011101000000001100000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000001101100000010000000000000000000000000000 -000000000000000101000010100000000000000000000000000000 +000000100000000011000011001001000000000000000000000000 +000000000000000001100111000001000000000011000000000000 +111000000000001011000000000001011101010111110000000000 +000000000000001111100000000111111000011011110000000000 +010000001000000001100110001001001000100101100000000000 +000000000000001001000000000001011110001100110000000000 +000010000000001011000000000111111101000001110000000000 +000000000000000001100000000101111100000011100000000000 +000000000000000000000110110011011111010100000000000000 +000000000000000000000010001011111010011000000000000000 +000000000001000011100111111001001011000111110110000000 +000000000000000000000111011011001111000011110000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000111111101000000000010000110000000 +000000000000000000000111010101100000000000000000000000 .logic_tile 6 14 -000000000000001001000110000101000000000000000000000000 -000000000000000001110011101011000000000001000000000010 -111000000000000101110110001001000000000011010000000000 -000000000000010000000000000011001000000011000000100000 -010000000000001000000110100000000000000000000000000000 -010000000000000011000000000000000000000000000000000000 -000000000000100000000010101101000000000001010001000010 -000000001010000000000100001001101100000001100000000000 -001000000000000101000000011000000000000000000100100001 -000000000000000000100010111101000000000010000000000000 -000000000000000101100000001000000000000000000100100000 -000000000000000000100010110001000000000010000000000100 -000000001100000000000000001000000000000000000100000100 -000000000010000000000000001011000000000010000001000000 -010000000000000000000000000000000000000000000101000100 -100000000000000000000000001001000000000010000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +111000000000001000000010100001100001000001010000000000 +000000000000000001000000001001001111000010010000000001 +111001000000000001100110000001100001000001010001000000 +110010001110000000000000001001101101000010010000000000 +000000000000000001100000011101100001000000110000000000 +000000000000000000000010001111001011000001100000000000 +000000000000000000000110100000000000000000000100100100 +000000000000000000000000000101000000000010000000000000 +000000000000000000000000010000000000000000000100100000 +000000001010000000000010101011000000000010000001000010 +000000000000000000000011000000000000000000000100100000 +000000000000000000000100001101000000000010000000000101 +010000000000000000000000010000000000000000000000000000 +100000001000000000000010100000000000000000000000000000 .logic_tile 7 14 -000000000000001111000110011001000000000001000000000001 -000000000000001111000010001001000000000000000000000000 -111000000000001000000010000001111011101000100001000100 -000000000000000001000111011001011011110100100000000000 -110000000000000001000110001001001111100110100000000000 -100000000000000000010000000101011001100101010000000000 -000000000000001001100111111111001101000000000000000000 -000000000000000001000110101011011011110000000000000000 -000000000000000011100000001011000000000010000000000000 -000000000000000000010010011111001011000011000000000000 -000000000000000000000011101001000001000011000000000000 -000000000000000000000100000101001011000000110000000000 -000000000000000011100000000111111000001100000100000000 -000000000000000000000010000101011001001101010000100000 -000000000000000000000011100000000000000000000000000000 -000001000000001001000100000000000000000000000000000000 +000000000000000000000111011101011001110000000000100000 +000000000000000000000110001011101000000000000000000010 +000000000000001001100000001001001101110011000000000000 +000000000000000001000000001011111111000000000000000000 +000000000001010001100011010000000001011010010000000000 +000000000000000000000111000000001010100101100000000000 +000000000000001101100110011101101000110100000001000000 +000000001010000001000010100101111001111100010000000000 +000000000001000000000110011101100000000011000000000000 +000000000000100000000110010011100000000000000000000000 +000000000000000101000010101101101011110011000000000000 +000100000000000101000010101011011100000000000000000000 +000000000000000000000000001001000001000000000000000000 +000000000000000000000000000001101011000000110000000000 +000000000000001101000000001111101010000100000000000000 +000000000000001001000010100111001101100000000000000000 .logic_tile 8 14 -000001000000001000000011100111000000001100110000000000 -000010000010000111000011010000000000110011000000000000 -111000000001011011100111000001000000000001000000000000 -000000000000101011100100001001100000000000000000000000 -110000000000001111000010110011011101101011110000000000 -100000000000000111000010001101111000011011110000000000 -000000000000001000000010000001000000000000000001000000 -000000000000000001000111001001100000000011000000000000 -000000000000001000000010101101111000100101010000000000 -000100000000000001000000000111101010100110100000000000 -000010000000000001000010000101111101001100000100000100 -000001000000000000100110010101111010001101010000000000 -000010000000000101100000000011101011110000000100000000 -000001000000001001000000000001011101111000000000000010 -000001000000000101100000000111001101110000000100000000 -000010000000000000000000000101001001111001010000100000 +000000000000001000000110001001000000000001000000000000 +000010100000001111000000001001100000000000000000000000 +111010000000101001000010011111000000000001010000000000 +000001001010010001100110000001001010000010010000000000 +110000000000101011100110000000000000000000000000000000 +010000000001010101000000000000000000000000000000000000 +000000000000000111000011111101100000000001010000000000 +000000001000000000010010001001101010000010010000000000 +000000000001010000000011110011000000000001010000000000 +000000000000100000000011000001101010000010010000000000 +000001000000000111000110001101000000000000000000000000 +000000000000000000000000001101100000000011000000100000 +000001000000000011100000000011000001000000110000000000 +000010100000000000000000000101101010000001100000000000 +000000000000000000000000001001100001000010010100000100 +000000000000000000000000001011001100000001010000000000 .logic_tile 9 14 -000000000000001101100111101101011001101100100000000000 -000000000000000001100100001001101011110101000000000000 -111000000000001011000110011101000000000000000000000000 -000000000000000001000010001111100000000001000000000000 -110000000000000011000110011001000000000001000000000000 -010000000000000000000010001111000000000000000000000000 -000000000000000111100011000011111010001100110000000000 -000000000000000000000111000000001001110011000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000011101111000000000011000001000000 -000010000011001001000000001001000000000011000000000000 -000001000000100111100000001101000000000000000000000000 -000000000000000000000000001111100001000000010000000000 -000100000000000000000000000001001011000000000000000000 -010100000000001000000010011101000001000001000101000110 -100101000000001101000011011001001100000011000000000000 +000000000000001000000000000101111001000000000000000000 +000000000000001111000000000001111000110000000000000010 +000000000000001000000011111001011001010000000000100000 +000000000000001111000111111001001011001000000000000000 +000000000000001000000000001101011011000100000000000100 +000000001100001111000000001101001001100000000000000001 +000000000000001000000011110000000000000000000000000000 +000000000000001111000111110000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000000000000001001011011000100000001000000 +000000000000000000000000000101011011100000000000000100 +001000000000000000000000001001111010010010000001000000 +000000000000000000000000000001111000000000000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 14 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3484,41 +3483,42 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 14 -000000000000000000000000011000000000000010000000000000 -000000000000000000000011001001000000000000000000000000 -111000000000010000000110011000000000000010000000000000 -000000000000000000000010001001000000000000000000000000 -010000000000000000000010000000000000000000000000000000 -010000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 +000000000000000000000000000000000001011010010000100000 +000000000000000000000011100000001000100101100000000000 +111000000000000000000010100000000000000010000000000000 +000100000000000111000100000011000000000000000000000010 +010000000000000000000000001000000000000000000100000000 +010000000000000000000000000101000000000010000000000000 +000000000000000101000000001000000000000000000100000000 +000000000000010000100010111101000000000010000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000101100001001100110100000000 -000000000000000000000000000000101001110011000010100100 -010000000000000000000000001001100000000000000100000000 -100000000000000000000000001001100000000011000000000100 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 12 14 -000000000000001000000000000000001001001100111000000000 -000000000000000001000000000000001010110011000000010000 -111000000000000011000010110000001001001100111000000000 -000000000000000000000010000000001101110011000000000000 -010000000000000001100000010000001001001100111000000000 -000000000000000000000010000000001001110011000000000000 -000000000000000001100000000000001001001100110001000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000001100000000000000100000000 -000000000000000000000011101011100000000001000000000000 -000001000000100000000110000001000000000000000100000000 -000000000001000000000000001111000000000001000000000000 -000000000000000000000000000101000000000000000100000000 -000000000000000000000011101011100000000001000000000000 -010000000000000000000000000101000000000000000100000000 -100000000000000000000000001111000000000001000000000000 +000000000000000011000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +000000000000000000000000000001000000000010000000000000 +000010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000100000000 +010000000000000000000000001001000000000010000000100000 .io_tile 13 14 000000000000000000 000000000000000000 @@ -3537,7 +3537,7 @@ 000000000000000000 000000000000000000 .io_tile 0 15 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -3554,50 +3554,50 @@ 000000000000000000 000000000000000000 .logic_tile 1 15 -000000000000000011000000000101100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000100000000110010000000000000000001000000000 -000000000000010000000010000000001011000000000000000000 -010000000000000000000111100000001001001100111001000000 -110000000000000000000010110000001001110011000000000000 -000000000000000101100000000000001001001100110001000000 -000000000000000000100010110000001001110011000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001011000000000000000000100000 -000000000000000000000010011000000000000010000000000000 -000000000010000000000110111011000000000000000000000010 -000000000000000000000000001000000000000000000101000000 -000000000000000000000000000101000000000010001000000000 -010000000000000000000000000000000000000000000101000000 -100000000000000000000000000001000000000010001000000000 +000000000000000000000000000011000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000011100000000000000001000000001000000000 +000000000000000000100000000000001010000000000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000001101000010100000001000001100111000000000 +000000000000000011000010100000001101110011000000000000 +000000000000000101100110100000001000001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 .logic_tile 2 15 -000000000010000111000010101101100000000001000000000000 -000000000000000000010010010101100000000000000000000000 -111000000000000101000011101011100000000000110000000000 -000000000000000101000110011001101111000001100000000000 -010000000000001001100000001101011101011001010100000000 -010000000000000001000010100001001100011010100000000010 -000000000000000101000010110101111111011001010100000000 -000000000000000000100010111001001011011010100000000010 -000000000000000011000000001001100001000001010100000000 -000000000000001001000000001001001110000001100000000010 -000000000000000011100000000011000001000001010100000000 -000000001100001001100010110001001100000001100000000010 -000000000000000000000000000101000001000001010100000000 -000000000000000000000010110101101110000001100000000010 -000000000000000011100000000101100001000001010100000011 -000000000000000000100000000011101100000001100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000001101100000000000000101000000 +000000000000000000010000000011000000000001000000000100 +010000000000000000000110100000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000011000000001101000000000000000100000000 +000000000000000000000000000011100000000001000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .ramb_tile 3 15 -001000000000000000000000000000000000000000 -000000010010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +001000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3605,107 +3605,107 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 15 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000011000000000101000001000001010001000001 -000000000000000000000000001001101110000001100000000000 -110000000000000000000011000000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 -000000000000000011000000001101100001000001010001000001 -000000000000000000100000000001001110000001100000000000 -000000000000000000000111000000000000000000000000000000 -000000001110000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001011000000000010001100000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000001000000000000000000000000000000000000000 -100000000000000101000000000000000000000000000000000000 +000000000000000000000010100011100000000000001000000000 +000000000000000000000110110000100000000000000000001000 +000000000000001101000010100001000000000000001000000000 +000000000000000001100110110000101101000000000000000000 +000000000000000000000000000001001000001100111000000000 +000000000000000000000000000000100000110011000000000000 +000000000000000111000011100101101000111100001000000000 +000000000000000000000000000000001101111100000000000000 +000000000000000000000011000001101000111100001000000000 +000000000000000000000100000000001111111100000000000000 +000000000000000000000111000001101000111100001000000000 +000000000000000000000100000000101101111100000000000000 +000000000000000000000011000001101000000011110000000000 +000000000000000000000100000000100000111100000000000000 +000000000000000000000000000101111000000011110000000010 +000000000000000000000000000000100000111100000000000000 .logic_tile 5 15 -000000000000000111000110001101101000000100000000000000 -000000000000000001000010100001111011100000000000000000 -000000000000000001100110001001000000000000000000000000 -000000000000000101010011001001001010000000110000000000 -000000000000001000000010111011001101110011000000000000 -000000000000000001000010000011011001000000000000000000 -000000000000000001100110001101101001110011000000000000 -000000000000000011000011000111011010000000000000000000 -000000000000001001000010000011101011110000000000100001 -000000000000001111100111100011111011000000000000000000 -000000000000001000000010010011000000001100110000000000 -000000000000000111000011010000101100110011000000000000 -000000000000000000000000001101011000110100000011000000 -000000000000000000000000001101001000111100010000000000 -000000000000101000000000000011100000000011000000000000 -000000000000000111000000000001100000000000000000000000 +000000000000001011000000000111101101000100000000000000 +000000000000000001100000001011011101000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000000001111000000000000000000000000 +000000000000000000000000000111101000000000010000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000000000010101000000000000010000000000000 +000000000000000000010110111011000000000000000000000000 +000000000000000111000000000000000000011010010000100000 +000000000000001101000010110000001000100101100000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000010010011000000000000000000000000 +000000000000000111000000000000000000000010000010000000 +000000000000001101000000001011000000000000000000000000 .logic_tile 6 15 -000000000000000101100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000100100000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000001100001000000110010000000 -000000000000000000000000000001101010000001100000000000 +000000000000000101100110100001000000000000001000000000 +000000001110000001000000000000100000000000000000001000 +000000000000001000000010110111000000000000001000000000 +000000000000000101000110100000101000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000111000000000000001000000000 +000000000000001101000000000000101101000000000000000000 +000000000000000000000111100000000001000000001000000000 +000000000000000000000100000000001001000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000100001000000000010000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 .logic_tile 7 15 -000000000000000001100000010001101110010111110000000000 -000000000000000011000010000001011010100111110000000000 -111000000000000001100011111001111101000001110000000000 -000000000000000000000111011011111001000011100000000000 -110000000000000001000000001101101110010100000000000000 -100000001100000000010000001101011010100100000000000000 -000000000000001111000110101011000000000000000000100000 -000000000000000001000000000011100000000001000000100000 -000000000001001000000000010111111111100101100000000000 -000000000000000001000010111111101010001100110000000000 -000000000000000101100010000011100000000000000000000000 -000000000000010111100110000111000000000011000000000000 -000100000000000000000010000001000000000010000100000000 -000100000000000001000010001001000000000000000010000000 -000000000000001000000000010001101101000111110100000010 -000000000000001001000010011111101101000011110000000000 -.logic_tile 8 15 -000000000000000000000010000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000101000111001011001001101011110000000000 -000000000000001101100000000101111001011011110000000000 -110000000000000000000110010000000000000000000000000000 -100000000000000000000011010000000000000000000000000000 -000000000000001000000000000111001001101011110000000000 -000000000000001011000000001101011001011011110000000000 -000010000000000001100000011001101100110000000100000000 -000001000000000000000010101111001001111000000000000010 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000101100000000000000000000000000000000000 +000000000000000001100010001001000000000001000000000000 +000000000000000000100110000001000000000000000000000000 +111000000000001000000010111111000000000001010100000000 +010000000000001001000110011001101001000010010010000000 +010000000000001001100010101011000000000001010100000001 +010000000000000001100110111101001100000010010000000000 +001000000000001111000011101011000000000001010100000001 +000000000000001001000010111101101001000010010000000000 +000000000000000101100000011101001001011001010100000100 +000000000000001001000010100101011100011010100000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000111001001101010110000000100000000 -000000000000000000000100001011011001111000000010000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000110100101101000011001010100000100 +000000000000000000000000001101011010011010100000000000 +000000001010000000000000000001100000000001010100000010 +000000000000000000000000001101101001000010010000000000 +.logic_tile 8 15 +000000000000001000000010100001100000000000001000000000 +000000000000000101000000000000001000000000000000000000 +111000000000000101000000000101001000001100111000000000 +000000000000000000000010100000001010110011000000000000 +010000000000000101000011100001001000001100111000000000 +110000000000000101000000000000100000110011000000000000 +000000000000000000000000000000001000001100110000000000 +000000000000000101000000000000000000110011000000000000 +000001000000000000000000001000000000000000000100000000 +000010100000000000000000001001000000000010001000100000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010001000100000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010001000100000 +010000000000000000000000001000000000000000000100000000 +100000000000000000000000000101000000000010001010000100 .logic_tile 9 15 -000000000000000001010000000101000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000010001101001001100111000000000 -000000000000000000000010000000101010110011000000000000 -000000000000000000000000000011001001001100111000000000 -000000000000000101000000000000101110110011000000000000 -000000000000001101100000000000001000001100110000000000 -000000000000000001100010100000000000110011000000000000 -000000000000000000000011100101100000000000000000000000 -000000000000000000000010001111100000000001000000000000 -000000000000000000000000000011111100101000000000000000 -000000000000000111000011010001011101110000000000000000 -000000000000000000000011100111111110010100010000000000 -000000000000000000000000001011011111001100010000000000 -000000000000000001100000000000000000000000000000000000 -000000000000000111000011010000000000000000000000000000 +000100000000000000000000000000000000011010010001000000 +000100000000001111000000000000001000100101100000000000 +111000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +110000000000000101000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001111000000000010000000000001 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000001101000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000001 +000000000000000000000000000101000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000010010000000000000000000000000000 .ramb_tile 10 15 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 @@ -3719,44 +3719,44 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 -000000000000000000000010100001100001000000000000000000 -000000000000000001000110110101101001000000010000000000 -000000000000000101000010110101100001000000010001000000 -000000000000001101100010000101101000000000000000000000 -000000000000001011100000000000000000000010000000000000 -000000000000000001000010011001000000000000000000000000 -000000000000000000000010100000000000000010000000000000 -000000000000001101000110111101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 -000000001110000000000000001000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000000000000000000101101001000100000000000000 -000000000000000000000000001001111000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 +000000000000000000000000000011100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000101000000000000000001000000001000000000 +000001000000000000000000000000001111000000000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000010100000001110110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001101110011000000000000 +000000001100000000000110100000001001001100111000000000 +000000000000000000000000000000001100110011000000000000 +000000000000001000000110100000001000001100111000100000 +000000000100000101000000000000001011110011000000000000 +000010100000000000000000000000001000001100111001000000 +000001000000000000000000000000001011110011000000000000 +000000000000000101100000010000001001001100111000100000 +000000000000000000000010100000001000110011000000000000 .logic_tile 12 15 -000000000000000000000110110111000000000000001000000000 -000000000000000000000010100000000000000000000000001000 -000000000000001000000110100111000000000000001000000000 -000000000000000101000000000000101100000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000101100000000111000001000000001000000000 -000000000000000000000000000000101110000000000000000000 -000000000000000001100000000000000001000000001000000000 -000000000000000000100000000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000001000000011000000000001000000001000000000 -000000000000001001000000000000001000000000000000000000 -000000000000001000000000000000000000000000001000000000 -000000000000001001000000000000001001000000000000000000 +000000000000001001100110111011100000000000000000000000 +000000000000000001000011111001100000000011000000000000 +111000000000001000000011011101101010000010000000000000 +000000000000000101000010000101111011000000000000000000 +010000000000000000000110110001000000000000000000000000 +010000000000000000000010100001000000000001000000000100 +000000000000001000000011000000000000000000000100000000 +000000000000000101000000001001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000100000000000000000000000001000000000010000000000000 +000000000000000000000110000000000000000000000100000000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +010000000000000000000000001000000000000000000101000000 +100000000000000000000000001001000000000010000000000000 .io_tile 13 15 000000000000000000 000000000000000000 @@ -3792,39 +3792,39 @@ 000000000000000000 000000000000000000 .logic_tile 1 16 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000001100000000000000000000000000100000000 -010000000000000000100000000101000000000010001010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010001000000011 -010000000000000101100000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000001111000000000000001001001100111000000000 +000000000000000001000000000000001011110011000000010000 +111000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001110110011000000000000 +010000000000000000000000000000001001001100111000000000 +100000000000000000000000000000001110110011000000000000 +000000000000000000000110000000001001001100111000000000 +000000000000000000000000000000001101110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001111110011000000000000 +000000000000000000000110110000001000001100111000000000 +000000000000000000000010100000001111110011000000000000 +000000000000000000000110110000001001001100110000000000 +000000000000000000000010100000001101110011000000000000 +010000000000001101100000000001000000000000000100000000 +100000000000000101000000000001000000000001000000000000 .logic_tile 2 16 -000000000000000101000000000101100000000000001000000000 -000000000000000101000000000000001000000000000000000000 -000000000000000000000111110001101001001100111000000001 -000000000000000000000111110000101101110011000000000000 -000000000000000101000000000001101000001100111000100000 -000000000000000000000000000000100000110011000000000000 -000000000000001011100111110000001000001100110000000100 -000000000000000001100110100000000000110011000000000000 -000000000000000111100000000001100000000000000000000001 -000000000000000000000000000101100000000011000000000000 -000000000000000000000110011011100000000001010000000001 -000000000000000000000010001101101111000001100000000000 -000000000000000000000000000011000001001100110000000000 -000000000000000000000011100000001010110011000000000000 -000000000000000000000000000101100000000001000000000000 -000000000000000000000000000001100000000000000000000000 +000000000000000101100000011101111001100000000000000000 +000000000000000000000010101101011010000000000000000000 +111000000000001101100110010111011000100000000000000100 +000000000000000101000010000101111011000000000000000000 +010000000000001000000110110001100000000000000100000000 +100000001000000001000010001011000000000001000000000000 +000000000000001001100110110001100000000000000100000000 +000000000000000001000010101111000000000001000000000000 +000100000000000000000000000001000000000000000100000000 +000100000000000000000011011011000000000001000000000000 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000001111100000000001000000000000 +000000000000000000000110000101100000000000000100000000 +000000000000000000000011011011100000000001000000000000 +010000000000000000000000000101000000000000000100000000 +100000000000000000000000001111100000000001000000000000 .ramt_tile 3 16 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3843,110 +3843,109 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 16 -000000000000000000000000000111100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000000000000110010111100000000000001000000000 -000000000000000000000010000000101100000000000000000000 -110000000000000000000110010001101000001100110000000000 -010000000000000000000010000000101110110011000000000000 -000000000000000000000000011111100001000010000000000000 -000000000000000000000010001111101001000000000000000000 -000000000000000011100000001111000000000000000000000010 -000000000000000000000011111001000000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000100000000001011100000000101000000000001000000000000 -000100100000000101000000001101100000000011000000000000 -010001000000000000000110111000000000000000000100000000 -100010000000000000000010101101000000000010000100000000 +000000000000000000000010010001000000000000001000000000 +000000000000000000000110000000100000000000000000001000 +111000000000001001100110010000000000000000001000000000 +000000000000000001000010000000001111000000000000000000 +010000000000000000000010000000001000001100111101000000 +110000000000000000000100000000001001110011000000000010 +000000000000000000000000000000001000001100111100000000 +000000000000000000000000000000001101110011000000000010 +000000000000000000000110000000001001001100111100000000 +000000000000000000000000000000001000110011000000100000 +000000000000000000000000000000001001001100111100100000 +000000000000000000000000000000001000110011000000100000 +000000000000000000000000010000001001001100111100000000 +000000000000000000000011000000001001110011000000000010 +010000000000000000000000000000001001001100110111000000 +100000000000000000000000000000001001110011000000000000 .logic_tile 5 16 -000000000000100000000110001001011011000100100000000000 -000000000001010000000011110101101110000000000000000000 -111000000000001001100000010000000000000000000000000000 -000000000000001111000010000000000000000000000000000000 -010000000000000000000110100000000000000000000000000000 -010000001100000000000000000000000000000000000000000000 -000000000000000001100110010001111000001100110000000000 -000000000000001001000010000000100000110011000000000000 -000000000000000000000000001101100000000011000000000000 -000000000000000000000000000111001011000011110000100010 -000000000000000000000000001101100000000011000000000000 -000000000000000000000000001101100000000000000000000000 -000000000000000000000000000001000000000001000100000000 -000000000000000000000000001101100000000011000100000000 -010000000100000001100000001000000000000000000100000000 -100000000000010000100000000001000000000010000100000000 +000000000000000001000111010001000000000000001000000000 +000000000000000000100010100000000000000000000000001000 +000000000000001101100111010001000000000000001000000000 +000000000000000101000010100000101001000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000100000000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000111100001100000000000001000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000001100000000000001000000000 +000000000000000000000000000000101001000000000000000000 +000000000000000000000000000011000000000000001000000000 +000000000010000000000000000000100000000000000000000000 +000000000000000000000000000000001000111100000001000010 +000000000000000000000000000000000000111100000000100000 .logic_tile 6 16 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000000011000000000111100001000000001000000000 -000000000000010000000000000000001111000000000000000000 -110000000000000000000110000101101001001100111000000000 -110000000000000000000100000000101101110011000000000000 -000000000000000000000110010101101001001100110000000000 -000000000000000000000010000000101111110011000000000000 -000100000000000000000010000000000000000010000000000000 -000100000000000000000000000111000000000000000000000000 -000000000000000000000000000001000000000010000000000000 -000000000000000000000011110111101001000000000000000000 -000000000000001001000011000011000000000011000100000000 -000000000000000101000000001001100000000010000000000000 -010000000000000101100000000101000000000011000100000000 -100000000000000000000011111001100000000010000000000000 -.logic_tile 7 16 -000000000000000000000110000001011010001100110000000000 -000000000000000000000011100000110000110011000000000000 -111000000000000101100000001111000001000000000000000100 -000000000000000000000000000011101000000000110000000000 -011010100000001000000010100000000000000000000000000000 -010001000000000001000000000000000000000000000000000000 -000000000000000000000000000101000000000011000101000000 -000000000000000000000010010101100000000010000000000000 -000000000000000101100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000001100000001111000000000000110100000000 -000000000000000000000000000011101100000000000000000000 -000000000000000000000110000000000000000000000000000000 +000000000000000000000000000101101000101100000010100001 +000000000000001011000011001001101101111100000000010000 +000000000000000000000110100001000001000000010000000100 +000000000000000000000000001111101011000000000000000010 000000000000000000000000000000000000000000000000000000 -010001000000000000000000000000000000000000000000000000 -100010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000100000000000010000000000000010000000000000 +000000000001000000000010011001000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000010000000000000010000000000000 +000000000000000000000010010001000000000000000000000010 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 +.logic_tile 7 16 +000000000000000101000000000011000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000101000000000000001111000000000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000010100000001011110011000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000010100000001011110011000000000000 +000000000000000011000000000000001001001100111000000000 +000000000000000000000000000000001000110011000000100000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001011110011000000100000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000000000001000001100110000000000 +000000000000000000000010000000001000110011000000000000 .logic_tile 8 16 -000000000000000101100111100001000000000000001000000000 -000000000000000000100100000000100000000000000000001000 -000000000000000011000000000101000001000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000001111000011000101001000001100111000000000 -000000000000001011100000000000000000110011000000100000 -000000000000000000000011000101001001001100111000000000 -000000000000000000000000000000101111110011000000000010 -000000000001000000000000000001101001001100111000000000 -000000100010000000000000000000001101110011000000000000 -000001000000000000000000010101101001001100111000000000 -000000100000000000000011000000101111110011000000000000 -000000000000000000000000000001001001001100110000000000 -000000000000000000000000000000001101110011000000000010 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 +000001000000000000000111000001100000000000001000000000 +000000000000000000010000000000100000000000000000001000 +111000000000000101000010100000000001000000001000000000 +000000000000000000000010100000001010000000000000000000 +110000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001001110011000000000010 +000000000000000000000000000000001001001100110000000000 +000000000000000101000000000000001000110011000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000100000000 +000000000000000011000000001001000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .logic_tile 9 16 -000000000000001000000111100111100000000000001000000000 -000000000000001111000100000000100000000000000000001000 -000000000000001111100000000001100000000000001000000000 -000000000000000111000000000000001001000000000000000000 -000000001110000000000000010101100000000000001000000000 -000000000000000000000011110000100000000000000000000000 -000000000001010000000000010001000000000000001000000000 -000000000000100000010011100000001001000000000000000000 -000000000000000000000000010101000000000000001000000000 -000000000000000000000011110000100000000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000000000000000000000000101001000000000000000000 -000000000000000000010000000001100000000000001000000000 +000000000000000001000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +111000000000000000000000000000000000000000000110000000 +000000000000000000000000000001000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000001000111100000001000000 -000000000000000000000011110000000000111100000000100000 +000000000000000001000000001000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000001001000000000010000000000000 +010000000000000000000000001000000000000000000100000000 +110000000000000000000000000101000000000010000000000000 .ramt_tile 10 16 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3955,46 +3954,47 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 16 -000000000000000000000110010101100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000001001100110010000000001000000001000000000 -000000000000000001000010000000001011000000000000000000 -110000000000000000000000000000001000001100111100000000 -010000000000000000000000000000001001110011000001000100 -000000000000001000000000010000001000001100111100000000 -000000000000000011000011000000001001110011000001000000 -000000000000000000000000010000001001001100111100100000 -000000000000000000000011000000001000110011000001000000 -000001000000000000000000000000001001001100111100100000 -000000000000000000000000000000001000110011000001000000 -000000000000000000000000000000001001001100111100100000 -000000000000000000000000000000001001110011000000000010 -010000000000000000000000000000001001001100110101000000 -100000000000000000000000000000001001110011000000000010 +000000000000000001100000000000001001001100111000000000 +000000000000000000000000000000001011110011000000010000 +111000000000001001100000010000001001001100111000000000 +000000000000000001000010000000001000110011000000000010 +110000000000001000000000000000001001001100111000000000 +010000000000000001000000000000001101110011000000000010 +000000000000000000000110000000001001001100111000000000 +000000001000000000000000000000001101110011000000000010 +000100000000000000000000000000001001001100110000000000 +000100000000000000000011100000001001110011000000000000 +000000000000000000000110110000000000000000000100000000 +000000000000000000000010100001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000000101000000000010000000000000 .logic_tile 12 16 -000000000000000011000000001001101000101100000000000000 -000000000000000000100000000101101100111100000000010100 -111000000000001000000110100000000000000000000100000000 -000000000000000011000000000001000000000010000000000000 -110010000000000000000000000000000000000000000100000000 -000001000000000000000000001111000000000010000000000100 -000000000000000101100000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 -000000000000001111100000010000000000000000000110000000 -000000000000001001100010110111000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -000000000000000101100110100000000000000000000100000000 -000000000000000000100100001011000000000010000000000000 -010000000000001000000000011000000000000000000100000000 -010000000000001101000010111111000000000010000001000000 +000100000000000101100110110000000000000000000000000000 +000100000000000000000011000000000000000000000000000000 +111000000000001000000000000101101101000100000000000000 +000000000000000101000000000101101010000000000000000000 +010000000000001001100111010000000000000000000000000000 +010000000000000101000010100000000000000000000000000000 +000000000000001011100111000101011000100000000000000000 +000000000000000101000000000101101011000000000000000000 +000000000000001011100110000011000001000011100001000000 +000000000000000111000000000111101011000011110000000000 +000000000000000000000000000101101010010000000001000000 +000000001000000000000000000001001100000000000000000000 +000000000000000011100000001000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +010000000000000000000000000000000000000000000100000000 +100000001000000000000000001001000000000010000000000000 .io_tile 13 16 000000000000000000 000000000000000000 @@ -4102,25 +4102,25 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000100 -000000000000001100 +000000011000000100 +000000001000000100 000100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000010010000000000 000000000000000000 000000000000000000 -.io_tile 7 17 000000000000000000 000000000000000000 +.io_tile 7 17 +000001110000000000 +000000000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001100 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 @@ -4128,7 +4128,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000110000000000 +000000000000000000 000000000000000000 000000000000000000 .io_tile 8 17 @@ -4139,84 +4139,84 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000000000 +000000000001100000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000000 -000000000001100000 +000000000000000000 000000000000000000 000000000000000000 .io_tile 9 17 -000010000000000010 -000111110000000000 +000000000000000010 +000100000000000000 000000000000000000 000000000000000001 -000001110010000001 -000000000001000000 -001100000000000000 -000000000000000000 +000000000010000001 +000000000011000000 +001100011000000000 +000000001000000000 000000000000000000 000100000000000000 -000000000000000010 +000000000011000010 000000000001000000 -000000000000000000 -000000000000000001 +000000011000000000 +000000001000000001 000000000000000001 000000000000000000 .io_tile 10 17 -000010000000000010 -000101010000000000 -000001111000000000 -000000001000000001 +000000000000000010 +000100000000000000 +000000000000000000 000000000000000001 +000000000010000001 000000000011000000 -001100000000000000 -000000000000000000 +001100111000000000 +000000001000000000 000000000000000000 000100000000000000 -000000000000000010 +000000000011000010 000000000001000000 -000000000000000000 +000000111000000000 000000000000000001 000000000000000001 000000000000000000 .io_tile 11 17 -000000000000000010 -000100000000000000 +000001111000000010 +000111111000000000 000000000000000000 000000000000000001 -000000000011000001 -000000000011000000 +000000000000000001 +000000000001000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000001010001000010 -000000000011000000 -000000000000000000 -000000000000000001 -000000000000000001 -000001010000000000 -.io_tile 12 17 -000000011000100010 -000100001000000000 +000000000000000010 +000000000001000000 000000000000000000 000000000000000001 000000000000000001 -000000000001000000 -001100000000000000 000000000000000000 +.io_tile 12 17 +000000000000000010 +000100000000000000 +000010000000000000 +000011110000000001 +000000000010000001 +000000000011000000 +001101111000000000 +000000001000000000 000000000000000000 000100000000000000 -000000000011000010 -000000000001000000 -000000011000000000 -000000001000000001 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 000000000000000001 000000000000000000 -.ram_data 3 9 +.ram_data 3 7 000000040000000a00000003000000020000003f000100000000001e00000000 000000000000000000010000000100010004009f000000060000000500000003 0000001500e7002900e0001900070005000100a1000600090001000500000000 @@ -4267,7 +4267,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 11 +.ram_data 3 9 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4284,655 +4284,570 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.sym 1 $abc$56607$n33$2 -.sym 2 $abc$56607$n901$2 -.sym 3 $abc$56607$n35$2 -.sym 4 $abc$56607$n1490$2 -.sym 5 $abc$56607$n31$2 -.sym 6 $abc$56607$n1471$2 +.sym 1 $abc$51270$n33$2 +.sym 2 $abc$51270$n950$2 +.sym 3 $abc$51270$n35$2 +.sym 4 $abc$51270$n871$2 +.sym 5 $abc$51270$n23$2 +.sym 6 $abc$51270$n1404$2 .sym 7 CLK$2$2 -.sym 8 $abc$56607$n1018$2 -.sym 54 $abc$56607$n2583 -.sym 177 $abc$56607$n2528 -.sym 178 $abc$56607$n2624 -.sym 179 $abc$56607$n2626 -.sym 181 $abc$56607$n2625 -.sym 182 $abc$56607$n2628 -.sym 183 $abc$56607$n2623 -.sym 184 $abc$56607$n2627 -.sym 293 $abc$56607$n2212 -.sym 294 $abc$56607$n2213 -.sym 295 $abc$56607$n2214 -.sym 296 $abc$56607$n2215 -.sym 297 $abc$56607$n2216 -.sym 298 $abc$56607$n2217 -.sym 405 $abc$56607$n1524 -.sym 406 $abc$56607$n1526 -.sym 407 $abc$56607$n1530 -.sym 408 I2C.byte_counter[4] -.sym 409 I2C.byte_counter[3] -.sym 410 I2C.byte_counter[7] -.sym 411 I2C.byte_counter[2] -.sym 412 I2C.byte_counter[5] -.sym 519 $abc$56607$n2210 -.sym 520 $abc$56607$n1521 -.sym 521 $abc$56607$n1520 -.sym 522 $abc$56607$n1277 -.sym 523 $abc$56607$n1306 -.sym 524 I2C.byte_counter[0] -.sym 525 I2C.byte_counter[6] -.sym 526 I2C.byte_counter[1] -.sym 633 $abc$56607$n1523 -.sym 634 $abc$56607$n1302_1 -.sym 635 $abc$56607$n1301 -.sym 637 $abc$56607$n1303 -.sym 638 $abc$56607$n1529 -.sym 639 $abc$56607$n1305 -.sym 640 I2C.i2c_start_latency -.sym 748 KEYBOARD.init_ram_cnt[2] -.sym 749 KEYBOARD.init_ram_cnt[3] -.sym 750 KEYBOARD.init_ram_cnt[4] -.sym 751 KEYBOARD.init_ram_cnt[5] -.sym 752 KEYBOARD.init_ram_cnt[6] -.sym 753 KEYBOARD.init_ram_cnt[7] +.sym 8 $abc$51270$n1418$2 +.sym 40 $abc$51270$n2212 +.sym 42 KEYBOARD.row_counter[3] +.sym 43 KEYBOARD.is_pressed +.sym 49 $abc$51270$n2199 +.sym 50 $abc$51270$n30 +.sym 51 $abc$51270$n2210 +.sym 52 KEYBOARD.row_counter[2] +.sym 53 KEYBOARD.row_counter[1] +.sym 54 KEYBOARD.row_counter[0] +.sym 179 $abc$51270$n260 +.sym 182 KEYBOARD.report[3][3] +.sym 184 KEYBOARD.report[3][2] +.sym 407 $auto$alumacc.cc:474:replace_alu$9647.C[2] +.sym 408 $abc$51270$n1518 +.sym 412 $abc$51270$n1517 +.sym 519 $abc$51270$n889 +.sym 521 $abc$51270$n890 +.sym 523 UART.tx_clk_counter[1] +.sym 524 UART.tx_clk_counter[2] +.sym 525 UART.tx_clk_counter[0] +.sym 526 UART.tx_clk_counter[3] +.sym 635 KEYBOARD.ram_adr[1] +.sym 637 KEYBOARD.ram_adr[0] +.sym 638 KEYBOARD.ram_adr[2] +.sym 746 KEYBOARD.ram_adr[7] +.sym 750 KEYBOARD.ram_adr[6] +.sym 753 KEYBOARD.ram_adr[5] .sym 830 CLK$2 .sym 836 CLK$2 -.sym 860 KEYBOARD.init_ram_cnt[8] -.sym 862 KEYBOARD.init_ram_cnt[1] -.sym 864 KEYBOARD.init_ram_cnt[0] -.sym 944 $abc$56607$n1490 -.sym 974 $abc$56607$n673 -.sym 975 $abc$56607$n674 -.sym 976 $abc$56607$n805 -.sym 977 $abc$56607$n2612 -.sym 978 $abc$56607$n2611 -.sym 979 $abc$56607$n807 -.sym 980 I2C_HID_DESC.real_adress[3] -.sym 981 I2C_HID_DESC.real_adress[2] -.sym 1090 I2C_INPUT_LEN[2] -.sym 1091 I2C_INPUT_LEN[3] -.sym 1092 I2C_INPUT_LEN[4] -.sym 1093 I2C_INPUT_LEN[5] -.sym 1094 I2C_INPUT_LEN[6] -.sym 1095 I2C_INPUT_LEN[7] -.sym 1209 $abc$56607$n2569 +.sym 862 KEYBOARD.init_ram_cnt[2] +.sym 863 KEYBOARD.init_ram_cnt[3] +.sym 864 KEYBOARD.init_ram_cnt[4] +.sym 865 KEYBOARD.init_ram_cnt[5] +.sym 866 KEYBOARD.init_ram_cnt[6] +.sym 867 KEYBOARD.init_ram_cnt[7] +.sym 944 $abc$51270$n871 +.sym 974 KEYBOARD.init_ram_cnt[8] +.sym 980 KEYBOARD.init_ram_cnt[0] +.sym 1088 $abc$51270$n888 +.sym 1089 $abc$51270$n1231 +.sym 1091 $abc$51270$n1238 +.sym 1093 $abc$51270$n1387 +.sym 1095 KEYBOARD.init_ram_cnt[1] +.sym 1204 $abc$51270$n893 +.sym 1206 COM_TX$2 +.sym 1207 UART.tx_activity +.sym 1209 UART.TX_sig_last .sym 1289 COM_TX$2 -.sym 1323 $abc$56607$n2580 +.sym 1319 COM_DSR$2 +.sym 1322 int_tmr[1] .sym 1403 I2C.is_read -.sym 1437 $abc$56607$n2570 +.sym 1430 $abc$51270$n748 +.sym 1431 $abc$51270$n751 +.sym 1432 $abc$51270$n752 +.sym 1433 int_tmr[4] +.sym 1434 int_tmr[5] +.sym 1435 int_tmr[6] +.sym 1436 int_tmr[7] +.sym 1437 int_tmr[2] .sym 1517 COM_DSR$2 .sym 1522 COM_DCD$2 -.sym 1546 $abc$56607$n1817 -.sym 1547 $abc$56607$n1820 -.sym 1548 $abc$56607$n2613 -.sym 1549 $abc$56607$n276 -.sym 1550 ring_rd[2] -.sym 1551 ring_rd[3] -.sym 1660 ring_rd[1] -.sym 1664 ring_rd[0] +.sym 1546 $13\int_tmr[14:0][2] +.sym 1547 $13\int_tmr[14:0][3] +.sym 1548 $13\int_tmr[14:0][4] +.sym 1549 $13\int_tmr[14:0][5] +.sym 1550 $13\int_tmr[14:0][6] +.sym 1551 $13\int_tmr[14:0][7] +.sym 1658 $13\int_tmr[14:0][8] +.sym 1659 $13\int_tmr[14:0][9] +.sym 1660 $13\int_tmr[14:0][10] +.sym 1661 $13\int_tmr[14:0][11] +.sym 1662 $13\int_tmr[14:0][12] +.sym 1663 $13\int_tmr[14:0][13] +.sym 1664 $13\int_tmr[14:0][14] +.sym 1665 int_tmr[8] .sym 1851 $false .sym 1853 KEYBOARD.ROWS_EN[13] .sym 1856 $false .sym 1858 KEYBOARD.ROWS_EN[14] -.sym 1880 $abc$56607$n1979 -.sym 1881 $abc$56607$n1981 -.sym 1882 $abc$56607$n1983 -.sym 1883 I2C.FLT_SCL.RESET -.sym 1884 rststate[1] -.sym 1885 rststate[3] -.sym 1886 rststate[2] -.sym 2065 $abc$56607$n360 -.sym 2066 $abc$56607$n361 -.sym 2067 $abc$56607$n2529 -.sym 2068 $abc$56607$n2051 -.sym 2069 KEYBOARD.row_counter[2] +.sym 1879 $abc$51270$n27 +.sym 1880 $abc$51270$n2274 +.sym 1883 $abc$51270$n2265 +.sym 1884 KEYBOARD.kbd_code[0] +.sym 1885 KEYBOARD.kbd_code[6] +.sym 1886 KEYBOARD.kbd_code[7] +.sym 2008 KEYBOARD.row_counter[3] +.sym 2009 $abc$51270$n30 +.sym 2010 $abc$51270$n1001 +.sym 2011 $false +.sym 2020 $abc$51270$n2212 +.sym 2021 $false +.sym 2022 $false +.sym 2023 $false +.sym 2026 $abc$51270$n27 +.sym 2027 $false +.sym 2028 $false +.sym 2029 $false +.sym 2048 $abc$51270$n1418$2 +.sym 2049 CLK$2$2 +.sym 2050 $false +.sym 2065 $abc$51270$n1632 +.sym 2066 KEYBOARD.kbd_code[3] +.sym 2067 KEYBOARD.kbd_code[1] +.sym 2068 KEYBOARD.kbd_code[2] +.sym 2069 KEYBOARD.kbd_code[5] .sym 2070 KEYBOARD.kbd_code[4] .sym 2147 $true -.sym 2184 $abc$56607$n2528$2 +.sym 2184 KEYBOARD.row_counter[0]$2 .sym 2185 $false -.sym 2186 $abc$56607$n2528 +.sym 2186 KEYBOARD.row_counter[0] .sym 2187 $false .sym 2188 $false -.sym 2190 $auto$alumacc.cc:470:replace_alu$12147.C[3] +.sym 2190 $auto$alumacc.cc:474:replace_alu$9644.C[2] .sym 2192 $false -.sym 2193 $abc$56607$n2627 -.sym 2196 $auto$alumacc.cc:470:replace_alu$12147.C[4] +.sym 2193 KEYBOARD.row_counter[1] +.sym 2196 $auto$alumacc.cc:474:replace_alu$9644.C[3] +.sym 2197 $false .sym 2198 $false -.sym 2199 $abc$56607$n2623 -.sym 2202 $auto$alumacc.cc:470:replace_alu$12147.C[5] +.sym 2199 KEYBOARD.row_counter[2] +.sym 2200 $auto$alumacc.cc:474:replace_alu$9644.C[2] +.sym 2203 $false .sym 2204 $false -.sym 2205 $abc$56607$n2628 -.sym 2208 $auto$alumacc.cc:470:replace_alu$12147.C[6] -.sym 2210 $false -.sym 2211 $abc$56607$n2624 -.sym 2214 $auto$alumacc.cc:470:replace_alu$12147.C[7] +.sym 2205 KEYBOARD.row_counter[3] +.sym 2206 $auto$alumacc.cc:474:replace_alu$9644.C[3] +.sym 2209 KEYBOARD.row_counter[2] +.sym 2210 $abc$51270$n2199 +.sym 2211 $abc$51270$n1001 +.sym 2212 $false +.sym 2215 $abc$51270$n2210 .sym 2216 $false -.sym 2217 $abc$56607$n2625 -.sym 2220 $abc$56607$n2583$2 +.sym 2217 $false +.sym 2218 $false +.sym 2221 $abc$51270$n2208 .sym 2222 $false -.sym 2223 $abc$56607$n2626 -.sym 2230 $abc$56607$n2583$2 -.sym 2234 $abc$56607$n2615 -.sym 2235 $abc$56607$n2530 -.sym 2236 $abc$56607$n879 -.sym 2237 $abc$56607$n372 -.sym 2238 $abc$56607$n2617 -.sym 2239 $abc$56607$n1977 -.sym 2240 rststate[0] -.sym 2241 I2C.i2c_bit_counter[3] -.sym 2320 $abc$56607$n1521 -.sym 2321 $false +.sym 2223 $false +.sym 2224 $false +.sym 2227 $abc$51270$n2206 +.sym 2228 $false +.sym 2229 $false +.sym 2230 $false +.sym 2231 $abc$51270$n1418$2 +.sym 2232 CLK$2$2 +.sym 2233 $false +.sym 2234 $abc$51270$n721 +.sym 2235 $abc$51270$n701 +.sym 2236 $abc$51270$n719 +.sym 2237 $abc$51270$n1445_1 +.sym 2238 $abc$51270$n705 +.sym 2239 $abc$51270$n1335 +.sym 2240 $abc$51270$n1447 +.sym 2241 KEYBOARD.temp[2] +.sym 2282 $true +.sym 2319 wr_cnt[0]$3 +.sym 2320 $false +.sym 2321 wr_cnt[0] .sym 2322 $false .sym 2323 $false -.sym 2326 $abc$56607$n1527 -.sym 2327 $false +.sym 2325 $auto$alumacc.cc:474:replace_alu$9603.C[2] +.sym 2327 wr_cnt[1] .sym 2328 $false -.sym 2329 $false -.sym 2332 $abc$56607$n1530 -.sym 2333 $false +.sym 2332 $false +.sym 2333 wr_cnt[2] .sym 2334 $false -.sym 2335 $false -.sym 2344 $abc$56607$n1529 -.sym 2345 $false -.sym 2346 $false -.sym 2347 $false -.sym 2350 $abc$56607$n1526 +.sym 2335 $auto$alumacc.cc:474:replace_alu$9603.C[2] +.sym 2350 $abc$51270$n2314 .sym 2351 $false .sym 2352 $false .sym 2353 $false -.sym 2356 $abc$56607$n1524 -.sym 2357 $false -.sym 2358 $false -.sym 2359 $false -.sym 2362 $abc$56607$n1523 +.sym 2362 $abc$51270$n2313 .sym 2363 $false .sym 2364 $false .sym 2365 $false -.sym 2369 $abc$56607$n873_1 -.sym 2370 $abc$56607$n2047 -.sym 2371 $abc$56607$n2248 -.sym 2372 $abc$56607$n2045 -.sym 2373 $abc$56607$n358 -.sym 2374 $abc$56607$n880 -.sym 2375 I2C.i2c_bit_counter[1] -.sym 2376 I2C.i2c_bit_counter[0] -.sym 2417 $true -.sym 2454 $abc$56607$n1520$2 -.sym 2455 $false -.sym 2456 $abc$56607$n1520 -.sym 2457 $false -.sym 2458 $false -.sym 2460 $auto$alumacc.cc:470:replace_alu$12152.C[2] -.sym 2462 $false -.sym 2463 $abc$56607$n1521 -.sym 2466 $auto$alumacc.cc:470:replace_alu$12152.C[3] -.sym 2467 $false -.sym 2468 $false -.sym 2469 $abc$56607$n1523 -.sym 2470 $auto$alumacc.cc:470:replace_alu$12152.C[2] -.sym 2472 $auto$alumacc.cc:470:replace_alu$12152.C[4] -.sym 2473 $false -.sym 2474 $false -.sym 2475 $abc$56607$n1524 -.sym 2476 $auto$alumacc.cc:470:replace_alu$12152.C[3] -.sym 2478 $auto$alumacc.cc:470:replace_alu$12152.C[5] -.sym 2479 $false -.sym 2480 $false -.sym 2481 $abc$56607$n1526 -.sym 2482 $auto$alumacc.cc:470:replace_alu$12152.C[4] -.sym 2484 $auto$alumacc.cc:470:replace_alu$12152.C[6] -.sym 2485 $false -.sym 2486 $false -.sym 2487 $abc$56607$n1527 -.sym 2488 $auto$alumacc.cc:470:replace_alu$12152.C[5] -.sym 2490 $auto$alumacc.cc:470:replace_alu$12152.C[7] -.sym 2491 $false -.sym 2492 $false -.sym 2493 $abc$56607$n1529 -.sym 2494 $auto$alumacc.cc:470:replace_alu$12152.C[6] -.sym 2497 $false -.sym 2498 $false -.sym 2499 $abc$56607$n1530 -.sym 2500 $auto$alumacc.cc:470:replace_alu$12152.C[7] -.sym 2504 $abc$56607$n2043 -.sym 2505 $abc$56607$n1321 -.sym 2506 $abc$56607$n1315_1 -.sym 2507 $abc$56607$n1527 -.sym 2508 $abc$56607$n1492 -.sym 2509 $false$2 -.sym 2510 I2C_HID_DESC.last_rd_request -.sym 2590 $abc$56607$n2043 -.sym 2591 I2C.byte_counter[3] +.sym 2366 $abc$51270$n1354 +.sym 2367 CLK$2$2 +.sym 2368 $abc$51270$n35$2 +.sym 2369 $abc$51270$n2316 +.sym 2370 $abc$51270$n1337 +.sym 2371 KEYBOARD.report[5][4] +.sym 2372 KEYBOARD.report[5][3] +.sym 2373 KEYBOARD.report[5][6] +.sym 2374 KEYBOARD.report[5][5] +.sym 2375 KEYBOARD.report[5][0] +.sym 2376 KEYBOARD.report[5][2] +.sym 2504 $abc$51270$n1119_1 +.sym 2505 $abc$51270$n1164 +.sym 2506 $abc$51270$n963 +.sym 2507 $abc$51270$n2313 +.sym 2508 $abc$51270$n253 +.sym 2509 KEYBOARD.report[4][0] +.sym 2511 KEYBOARD.report[4][4] +.sym 2552 $true +.sym 2589 UART.tx_clk_counter[0]$2 +.sym 2590 $false +.sym 2591 UART.tx_clk_counter[0] .sym 2592 $false .sym 2593 $false -.sym 2596 $abc$56607$n2043 -.sym 2597 I2C.byte_counter[4] -.sym 2598 $false -.sym 2599 $false -.sym 2602 $abc$56607$n2043 -.sym 2603 I2C.byte_counter[7] -.sym 2604 $false -.sym 2605 $false -.sym 2608 $abc$56607$n2214 -.sym 2609 $abc$56607$n1301 -.sym 2610 $abc$56607$n1526 -.sym 2611 $abc$56607$n1304 -.sym 2614 $abc$56607$n2213 -.sym 2615 $abc$56607$n1524 -.sym 2616 $abc$56607$n1306 -.sym 2617 $false -.sym 2620 $abc$56607$n2217 -.sym 2621 $abc$56607$n1301 -.sym 2622 $abc$56607$n1530 -.sym 2623 $abc$56607$n1304 -.sym 2626 $abc$56607$n2212 -.sym 2627 $abc$56607$n1301 -.sym 2628 $abc$56607$n1523 -.sym 2629 $abc$56607$n1304 -.sym 2632 $abc$56607$n2215 -.sym 2633 $abc$56607$n1527 -.sym 2634 $abc$56607$n1301 -.sym 2635 $abc$56607$n1304 -.sym 2636 $true -.sym 2637 CLK$2$2 -.sym 2638 $false -.sym 2639 $abc$56607$n874 -.sym 2640 $abc$56607$n1487 -.sym 2641 $abc$56607$n1304 -.sym 2642 $abc$56607$n877 -.sym 2643 $abc$56607$n1276 -.sym 2644 $abc$56607$n1248 -.sym 2645 $abc$56607$n1300 -.sym 2646 I2C.received_byte[0] -.sym 2725 $false -.sym 2726 $true$2 -.sym 2727 $abc$56607$n1520 +.sym 2595 $auto$alumacc.cc:474:replace_alu$9647.C[2]$2 +.sym 2597 UART.tx_clk_counter[1] +.sym 2598 $true$2 +.sym 2601 $auto$alumacc.cc:474:replace_alu$9647.C[3] +.sym 2603 UART.tx_clk_counter[2] +.sym 2604 $true$2 +.sym 2605 $auto$alumacc.cc:474:replace_alu$9647.C[2]$2 +.sym 2608 $false +.sym 2609 UART.tx_clk_counter[3] +.sym 2610 $false +.sym 2611 $auto$alumacc.cc:474:replace_alu$9647.C[3] +.sym 2632 $false +.sym 2633 UART.tx_clk_counter[2] +.sym 2634 $false +.sym 2635 $auto$alumacc.cc:474:replace_alu$9647.C[2] +.sym 2641 $abc$51270$n2330 +.sym 2642 $abc$51270$n1118 +.sym 2643 $abc$51270$n2206 +.sym 2644 KEYBOARD.report[1][6] +.sym 2645 KEYBOARD.report[1][4] +.sym 2646 KEYBOARD.report[1][2] +.sym 2725 UART.tx_clk_counter[1] +.sym 2726 UART.tx_clk_counter[0] +.sym 2727 $abc$51270$n890 .sym 2728 $false -.sym 2731 $abc$56607$n2043 -.sym 2732 I2C.byte_counter[1] -.sym 2733 $false -.sym 2734 $false -.sym 2737 $abc$56607$n2043 -.sym 2738 I2C.byte_counter[0] -.sym 2739 $false +.sym 2737 $abc$51270$n1514 +.sym 2738 $abc$51270$n1517 +.sym 2739 $abc$51270$n1518 .sym 2740 $false -.sym 2743 I2C.byte_counter[2] -.sym 2744 I2C.byte_counter[3] -.sym 2745 I2C.byte_counter[0] -.sym 2746 I2C.byte_counter[1] -.sym 2749 $abc$56607$n1301 -.sym 2750 $abc$56607$n1304 -.sym 2751 $false +.sym 2749 UART.tx_clk_counter[1] +.sym 2750 UART.tx_clk_counter[0] +.sym 2751 UART.tx_activity .sym 2752 $false -.sym 2755 $abc$56607$n2210 -.sym 2756 $abc$56607$n1520 -.sym 2757 $abc$56607$n1301 -.sym 2758 $abc$56607$n1304 -.sym 2761 $abc$56607$n2216 -.sym 2762 $abc$56607$n1301 -.sym 2763 $abc$56607$n1529 -.sym 2764 $abc$56607$n1304 -.sym 2767 $abc$56607$n1306 -.sym 2768 I2C.byte_counter[0] -.sym 2769 I2C.byte_counter[1] -.sym 2770 $abc$56607$n2043 -.sym 2771 $true +.sym 2755 $abc$51270$n1517 +.sym 2756 $abc$51270$n888 +.sym 2757 $false +.sym 2758 $false +.sym 2761 $abc$51270$n1514 +.sym 2762 $abc$51270$n888 +.sym 2763 $false +.sym 2764 $false +.sym 2767 $abc$51270$n1518 +.sym 2768 $abc$51270$n888 +.sym 2769 $false +.sym 2770 $false +.sym 2771 $abc$51270$n1238 .sym 2772 CLK$2$2 -.sym 2773 $false -.sym 2775 $abc$56607$n2606 -.sym 2776 $abc$56607$n1283 -.sym 2777 I2C_TRANS -.sym 2778 $abc$56607$n2518 -.sym 2779 $abc$56607$n875 -.sym 2781 I2C.received_byte[4] -.sym 2860 $abc$56607$n2043 -.sym 2861 I2C.byte_counter[2] -.sym 2862 $false -.sym 2863 $false -.sym 2866 $abc$56607$n1523 -.sym 2867 $abc$56607$n1303 -.sym 2868 I2C.byte_counter[0] -.sym 2869 I2C.byte_counter[1] -.sym 2872 $abc$56607$n1302_1 -.sym 2873 $abc$56607$n1529 -.sym 2874 $false -.sym 2875 $false -.sym 2884 I2C.byte_counter[3] -.sym 2885 I2C.byte_counter[4] -.sym 2886 I2C.byte_counter[5] -.sym 2887 I2C.byte_counter[7] -.sym 2890 $abc$56607$n2043 -.sym 2891 I2C.byte_counter[6] -.sym 2892 $false +.sym 2773 $abc$51270$n35$2 +.sym 2778 $abc$51270$n2192 +.sym 2779 $false$2 +.sym 2780 KEYBOARD.ram_adr[8] +.sym 2781 KEYBOARD.ram_adr[4] +.sym 2872 KEYBOARD.init_ram_cnt[1] +.sym 2873 KEYBOARD.row_counter[0] +.sym 2874 KEYBOARD.row_counter[1] +.sym 2875 KEYBOARD.init_ram_cnt[8] +.sym 2884 KEYBOARD.init_ram_cnt[0] +.sym 2885 $abc$51270$n2193 +.sym 2886 KEYBOARD.init_ram_cnt[8] +.sym 2887 $false +.sym 2890 KEYBOARD.init_ram_cnt[2] +.sym 2891 $abc$51270$n2199 +.sym 2892 KEYBOARD.init_ram_cnt[8] .sym 2893 $false -.sym 2896 I2C.i2c_start_latency -.sym 2897 I2C.i2c_state_machine -.sym 2898 $abc$56607$n2043 -.sym 2899 $false -.sym 2902 $abc$56607$n2043 -.sym 2903 $false -.sym 2904 $false -.sym 2905 $false -.sym 2906 $abc$56607$n1305 +.sym 2906 $abc$51270$n1398 .sym 2907 CLK$2$2 .sym 2908 $false -.sym 2909 $abc$56607$n925 -.sym 2910 $abc$56607$n924 -.sym 2912 $abc$56607$n923 -.sym 2913 $abc$56607$n2621 -.sym 2915 KEYBOARD.ram_adr[6] -.sym 2916 KEYBOARD.ram_adr[5] -.sym 2957 $true -.sym 2994 KEYBOARD.init_ram_cnt[0]$2 -.sym 2995 $false -.sym 2996 KEYBOARD.init_ram_cnt[0] +.sym 2909 $abc$51270$n2324 +.sym 2910 I2C_HID_DESC.real_adress[7] +.sym 2911 I2C_HID_DESC.real_adress[1] +.sym 2912 I2C_HID_DESC.real_adress[4] +.sym 2913 I2C_HID_DESC.real_adress[5] +.sym 2914 I2C_HID_DESC.real_adress[2] +.sym 2915 I2C_HID_DESC.real_adress[6] +.sym 2916 I2C_HID_DESC.real_adress[0] +.sym 2995 KEYBOARD.init_ram_cnt[7] +.sym 2996 $false .sym 2997 $false .sym 2998 $false -.sym 3000 $auto$alumacc.cc:470:replace_alu$12188.C[2] -.sym 3002 $false -.sym 3003 KEYBOARD.init_ram_cnt[1] -.sym 3006 $auto$alumacc.cc:470:replace_alu$12188.C[3] -.sym 3007 $false -.sym 3008 $false -.sym 3009 KEYBOARD.init_ram_cnt[2] -.sym 3010 $auto$alumacc.cc:470:replace_alu$12188.C[2] -.sym 3012 $auto$alumacc.cc:470:replace_alu$12188.C[4] -.sym 3013 $false -.sym 3014 $false -.sym 3015 KEYBOARD.init_ram_cnt[3] -.sym 3016 $auto$alumacc.cc:470:replace_alu$12188.C[3] -.sym 3018 $auto$alumacc.cc:470:replace_alu$12188.C[5] -.sym 3019 $false +.sym 3019 KEYBOARD.init_ram_cnt[6] .sym 3020 $false -.sym 3021 KEYBOARD.init_ram_cnt[4] -.sym 3022 $auto$alumacc.cc:470:replace_alu$12188.C[4] -.sym 3024 $auto$alumacc.cc:470:replace_alu$12188.C[6] -.sym 3025 $false -.sym 3026 $false -.sym 3027 KEYBOARD.init_ram_cnt[5] -.sym 3028 $auto$alumacc.cc:470:replace_alu$12188.C[5] -.sym 3030 $auto$alumacc.cc:470:replace_alu$12188.C[7] -.sym 3031 $false -.sym 3032 $false -.sym 3033 KEYBOARD.init_ram_cnt[6] -.sym 3034 $auto$alumacc.cc:470:replace_alu$12188.C[6] -.sym 3036 $auto$alumacc.cc:470:replace_alu$12188.C[8] -.sym 3037 $false +.sym 3021 $false +.sym 3022 $false +.sym 3037 KEYBOARD.init_ram_cnt[5] .sym 3038 $false -.sym 3039 KEYBOARD.init_ram_cnt[7] -.sym 3040 $auto$alumacc.cc:470:replace_alu$12188.C[7] -.sym 3041 $abc$56607$n1459 +.sym 3039 $false +.sym 3040 $false +.sym 3041 $abc$51270$n1398 .sym 3042 CLK$2$2 -.sym 3043 $abc$56607$n35$2 -.sym 3048 $abc$56607$n2229 -.sym 3049 $abc$56607$n1052 -.sym 3050 $abc$56607$n660 -.sym 3051 I2C_OUT_DESC_MASK[5] +.sym 3043 KEYBOARD.init_ram_cnt[8] +.sym 3046 $abc$51270$n2348 +.sym 3047 $abc$51270$n1050 +.sym 3048 $abc$51270$n2466 +.sym 3049 $abc$51270$n2451 +.sym 3050 $abc$51270$n2468 +.sym 3051 $abc$51270$n10 +.sym 3092 $true +.sym 3129 KEYBOARD.init_ram_cnt[0]$2 .sym 3130 $false -.sym 3131 $false -.sym 3132 KEYBOARD.init_ram_cnt[8] -.sym 3133 $auto$alumacc.cc:470:replace_alu$12188.C[8] -.sym 3142 KEYBOARD.init_ram_cnt[0] -.sym 3143 KEYBOARD.init_ram_cnt[1] -.sym 3144 $false -.sym 3145 $false +.sym 3131 KEYBOARD.init_ram_cnt[0] +.sym 3132 $false +.sym 3133 $false +.sym 3135 $auto$alumacc.cc:474:replace_alu$9638.C[2] +.sym 3137 $false +.sym 3138 KEYBOARD.init_ram_cnt[1] +.sym 3141 $auto$alumacc.cc:474:replace_alu$9638.C[3] +.sym 3142 $false +.sym 3143 $false +.sym 3144 KEYBOARD.init_ram_cnt[2] +.sym 3145 $auto$alumacc.cc:474:replace_alu$9638.C[2] +.sym 3147 $auto$alumacc.cc:474:replace_alu$9638.C[4] +.sym 3148 $false +.sym 3149 $false +.sym 3150 KEYBOARD.init_ram_cnt[3] +.sym 3151 $auto$alumacc.cc:474:replace_alu$9638.C[3] +.sym 3153 $auto$alumacc.cc:474:replace_alu$9638.C[5] .sym 3154 $false -.sym 3155 $true$2 -.sym 3156 KEYBOARD.init_ram_cnt[0] -.sym 3157 $false -.sym 3176 $abc$56607$n1459 +.sym 3155 $false +.sym 3156 KEYBOARD.init_ram_cnt[4] +.sym 3157 $auto$alumacc.cc:474:replace_alu$9638.C[4] +.sym 3159 $auto$alumacc.cc:474:replace_alu$9638.C[6] +.sym 3160 $false +.sym 3161 $false +.sym 3162 KEYBOARD.init_ram_cnt[5] +.sym 3163 $auto$alumacc.cc:474:replace_alu$9638.C[5] +.sym 3165 $auto$alumacc.cc:474:replace_alu$9638.C[7] +.sym 3166 $false +.sym 3167 $false +.sym 3168 KEYBOARD.init_ram_cnt[6] +.sym 3169 $auto$alumacc.cc:474:replace_alu$9638.C[6] +.sym 3171 $auto$alumacc.cc:474:replace_alu$9638.C[8] +.sym 3172 $false +.sym 3173 $false +.sym 3174 KEYBOARD.init_ram_cnt[7] +.sym 3175 $auto$alumacc.cc:474:replace_alu$9638.C[7] +.sym 3176 $abc$51270$n1388 .sym 3177 CLK$2$2 -.sym 3178 $abc$56607$n35$2 -.sym 3179 $abc$56607$n1099_1 -.sym 3180 $abc$56607$n806 -.sym 3181 I2C_HID_DESC.real_adress[7] -.sym 3182 I2C_HID_DESC.real_adress[0] -.sym 3183 I2C_HID_DESC.real_adress[6] -.sym 3184 I2C_HID_DESC.real_adress[4] -.sym 3185 I2C_HID_DESC.real_adress[1] -.sym 3186 I2C_HID_DESC.real_adress[5] -.sym 3265 I2C.byte_counter[2] -.sym 3266 I2C.byte_counter[3] -.sym 3267 $abc$56607$n674 -.sym 3268 I2C.byte_counter[1] -.sym 3271 I2C.byte_counter[4] -.sym 3272 I2C.byte_counter[5] -.sym 3273 I2C.byte_counter[6] -.sym 3274 I2C.byte_counter[7] -.sym 3277 I2C.byte_counter[2] -.sym 3278 $abc$56607$n806 -.sym 3279 $abc$56607$n674 -.sym 3280 I2C.byte_counter[3] -.sym 3283 I2C_INPUT_LEN[6] -.sym 3284 $false -.sym 3285 $false -.sym 3286 $false -.sym 3289 I2C_INPUT_LEN[5] -.sym 3290 $false -.sym 3291 $false -.sym 3292 $false -.sym 3295 I2C.byte_counter[3] -.sym 3296 I2C.byte_counter[2] -.sym 3297 $abc$56607$n674 -.sym 3298 $false -.sym 3301 I2C.byte_counter[3] +.sym 3178 $abc$51270$n35$2 +.sym 3181 $auto$alumacc.cc:474:replace_alu$9650.C[2] +.sym 3182 $abc$51270$n2467 +.sym 3183 $abc$51270$n2465 +.sym 3184 UART.tx_bit_counter[0] +.sym 3185 UART.tx_bit_counter[2] +.sym 3186 UART.tx_bit_counter[3] +.sym 3265 $false +.sym 3266 $false +.sym 3267 KEYBOARD.init_ram_cnt[8] +.sym 3268 $auto$alumacc.cc:474:replace_alu$9638.C[8] +.sym 3301 $false .sym 3302 $false -.sym 3303 $false +.sym 3303 KEYBOARD.init_ram_cnt[0] .sym 3304 $false -.sym 3307 I2C.byte_counter[2] -.sym 3308 $false -.sym 3309 $false -.sym 3310 $false -.sym 3311 $abc$56607$n1492 +.sym 3311 $abc$51270$n1388 .sym 3312 CLK$2$2 -.sym 3313 $abc$56607$n35$2 -.sym 3314 $abc$56607$n1056 -.sym 3315 $abc$56607$n855 -.sym 3316 $abc$56607$n2610 -.sym 3317 I2C_OUT_DESC_MASK[4] -.sym 3318 I2C_OUT_DESC_MASK[2] -.sym 3319 I2C_OUT_DESC_MASK[0] -.sym 3320 I2C_OUTPUT_TYPE[0] -.sym 3321 I2C_OUT_DESC_MASK[7] -.sym 3362 $true -.sym 3399 I2C.byte_counter[0]$2 -.sym 3400 $false -.sym 3401 I2C.byte_counter[0] +.sym 3313 $abc$51270$n35$2 +.sym 3314 $abc$51270$n217 +.sym 3315 $abc$51270$n892 +.sym 3316 $abc$51270$n891 +.sym 3317 $abc$51270$n25 +.sym 3318 $abc$51270$n2384 +.sym 3319 $abc$51270$n2463 +.sym 3320 $abc$51270$n2383 +.sym 3321 UART.tx_bit_counter[1] +.sym 3400 $abc$51270$n889 +.sym 3401 UART.tx_activity .sym 3402 $false .sym 3403 $false -.sym 3405 $auto$alumacc.cc:470:replace_alu$12141.C[2] -.sym 3407 I2C.byte_counter[1] -.sym 3408 $true$2 -.sym 3411 $auto$alumacc.cc:470:replace_alu$12141.C[3] -.sym 3412 $false -.sym 3413 I2C.byte_counter[2] -.sym 3414 $true$2 -.sym 3415 $auto$alumacc.cc:470:replace_alu$12141.C[2] -.sym 3417 $auto$alumacc.cc:470:replace_alu$12141.C[4] -.sym 3418 $false -.sym 3419 I2C.byte_counter[3] -.sym 3420 $true$2 -.sym 3421 $auto$alumacc.cc:470:replace_alu$12141.C[3] -.sym 3423 $auto$alumacc.cc:470:replace_alu$12141.C[5] -.sym 3424 $false -.sym 3425 I2C.byte_counter[4] -.sym 3426 $true$2 -.sym 3427 $auto$alumacc.cc:470:replace_alu$12141.C[4] -.sym 3429 $auto$alumacc.cc:470:replace_alu$12141.C[6] -.sym 3430 $false -.sym 3431 I2C.byte_counter[5] -.sym 3432 $true$2 -.sym 3433 $auto$alumacc.cc:470:replace_alu$12141.C[5] -.sym 3435 $auto$alumacc.cc:470:replace_alu$12141.C[7] -.sym 3436 $false -.sym 3437 I2C.byte_counter[6] -.sym 3438 $true$2 -.sym 3439 $auto$alumacc.cc:470:replace_alu$12141.C[6] -.sym 3442 $false -.sym 3443 I2C.byte_counter[7] -.sym 3444 $true$2 -.sym 3445 $auto$alumacc.cc:470:replace_alu$12141.C[7] -.sym 3446 $abc$56607$n1180 +.sym 3406 $abc$51270$n888 +.sym 3407 $abc$51270$n891 +.sym 3408 $abc$51270$n893 +.sym 3409 I2C.FLT_SCL.RESET +.sym 3418 I2C.FLT_SCL.RESET +.sym 3419 $abc$51270$n893 +.sym 3420 $false +.sym 3421 $false +.sym 3430 KEYBOARD.init_ram_cnt[8] +.sym 3431 $abc$51270$n896_1 +.sym 3432 KEYBOARD.init_ram_cnt[0] +.sym 3433 I2C.FLT_SCL.RESET +.sym 3442 KEYBOARD.init_ram_cnt[1] +.sym 3443 $false +.sym 3444 $false +.sym 3445 $false +.sym 3446 $abc$51270$n1387 .sym 3447 CLK$2$2 -.sym 3448 $abc$56607$n35$2 -.sym 3451 $abc$56607$n2477 -.sym 3452 $abc$56607$n274 -.sym 3453 $abc$56607$n2609 -.sym 3454 $abc$56607$n2607 -.sym 3455 UART_TX_DATA[5] -.sym 3456 UART_TX_DATA[7] -.sym 3497 $true -.sym 3534 $abc$56607$n2607$2 -.sym 3535 $false -.sym 3536 $abc$56607$n2607 -.sym 3537 $false -.sym 3538 $false -.sym 3540 $auto$alumacc.cc:470:replace_alu$12031.C[3] -.sym 3542 $false -.sym 3543 $abc$56607$n2608 -.sym 3546 $auto$alumacc.cc:470:replace_alu$12031.C[4] -.sym 3548 $false -.sym 3549 $abc$56607$n2609 -.sym 3552 $auto$alumacc.cc:470:replace_alu$12031.C[5] -.sym 3554 $false -.sym 3555 $abc$56607$n2610 -.sym 3558 $auto$alumacc.cc:470:replace_alu$12031.C[6] +.sym 3448 $abc$51270$n35$2 +.sym 3449 $abc$51270$n871 +.sym 3450 $abc$51270$n1916 +.sym 3451 $abc$51270$n782 +.sym 3452 $abc$51270$n1198 +.sym 3453 $abc$51270$n783 +.sym 3454 $6\report_data_wadr[7:0][0] +.sym 3456 report_data_wadr[0] +.sym 3547 UART.TX_sig_last +.sym 3548 UART_WR +.sym 3549 UART.tx_activity +.sym 3550 $false +.sym 3559 $abc$51270$n10 .sym 3560 $false -.sym 3561 $abc$56607$n2611 -.sym 3564 $auto$alumacc.cc:470:replace_alu$12031.C[7] -.sym 3566 $false -.sym 3567 $abc$56607$n2612 -.sym 3570 $abc$56607$n2569$2 -.sym 3572 $false -.sym 3573 $abc$56607$n2613 -.sym 3580 $abc$56607$n2569$2 -.sym 3584 $abc$56607$n1050 -.sym 3585 $abc$56607$n787 -.sym 3586 $abc$56607$n667 -.sym 3587 $abc$56607$n753 -.sym 3588 $abc$56607$n795 -.sym 3589 $abc$56607$n1073 -.sym 3590 KEYBOARD.ram_adr[4] -.sym 3591 KEYBOARD.ram_adr[7] -.sym 3632 $true -.sym 3669 $abc$56607$n282$3 -.sym 3670 $false -.sym 3671 $abc$56607$n282 -.sym 3672 $false -.sym 3673 $false -.sym 3675 $auto$alumacc.cc:470:replace_alu$12098.C[3] -.sym 3677 $false -.sym 3678 $abc$56607$n280 -.sym 3681 $auto$alumacc.cc:470:replace_alu$12098.C[4] -.sym 3683 $false -.sym 3684 $abc$56607$n279 -.sym 3687 $auto$alumacc.cc:470:replace_alu$12098.C[5] +.sym 3561 $false +.sym 3562 $false +.sym 3565 $abc$51270$n891 +.sym 3566 $abc$51270$n889 +.sym 3567 $abc$51270$n893 +.sym 3568 $false +.sym 3577 UART_WR +.sym 3578 $false +.sym 3579 $false +.sym 3580 $false +.sym 3581 I2C.FLT_SCL.RESET +.sym 3582 CLK$2$2 +.sym 3583 $false +.sym 3584 $abc$51270$n2521 +.sym 3585 $abc$51270$n2416 +.sym 3586 $abc$51270$n1208 +.sym 3587 $abc$51270$n1219 +.sym 3588 $abc$51270$n1210_1 +.sym 3589 $abc$51270$n1209 +.sym 3590 $abc$51270$n1216 +.sym 3591 $abc$51270$n867 +.sym 3688 $abc$51270$n8 .sym 3689 $false -.sym 3690 $abc$56607$n277 -.sym 3693 $auto$alumacc.cc:470:replace_alu$12098.C[6] -.sym 3695 $false -.sym 3696 $abc$56607$n276 -.sym 3699 $auto$alumacc.cc:470:replace_alu$12098.C[7] -.sym 3701 $false -.sym 3702 $abc$56607$n274 -.sym 3705 $abc$56607$n2580$2 -.sym 3707 $false -.sym 3708 $abc$56607$n273 -.sym 3715 $abc$56607$n2580$2 -.sym 3721 $abc$56607$n1824 -.sym 3722 $abc$56607$n1827 -.sym 3723 $abc$56607$n1830 -.sym 3724 $abc$56607$n1833 -.sym 3725 $abc$56607$n1836 -.sym 3726 $abc$56607$n1839 -.sym 3767 $true -.sym 3804 $abc$56607$n282$2 -.sym 3805 $false -.sym 3806 $abc$56607$n282 -.sym 3807 $false +.sym 3690 $false +.sym 3691 $false +.sym 3706 $abc$51270$n687 +.sym 3707 int_tmr[1] +.sym 3708 $false +.sym 3709 $false +.sym 3716 $abc$51270$n867 +.sym 3717 CLK$2$2 +.sym 3718 $abc$51270$n35$2 +.sym 3719 $abc$51270$n2577 +.sym 3724 init_ram_cnt[1] +.sym 3726 init_ram_cnt[0] +.sym 3805 $abc$51270$n749 +.sym 3806 $abc$51270$n751 +.sym 3807 $abc$51270$n752 .sym 3808 $false -.sym 3810 $auto$alumacc.cc:470:replace_alu$12036.C[3] -.sym 3812 $true$2 -.sym 3813 $abc$56607$n280 -.sym 3816 $auto$alumacc.cc:470:replace_alu$12036.C[4] -.sym 3818 $false -.sym 3819 $abc$56607$n279 -.sym 3822 $auto$alumacc.cc:470:replace_alu$12036.C[5] -.sym 3824 $false -.sym 3825 $abc$56607$n277 -.sym 3828 $auto$alumacc.cc:470:replace_alu$12036.C[6] -.sym 3830 $false -.sym 3831 $abc$56607$n276 -.sym 3834 $auto$alumacc.cc:470:replace_alu$12036.C[7] -.sym 3836 $false -.sym 3837 $abc$56607$n274 -.sym 3840 $abc$56607$n2570$2 -.sym 3842 $false -.sym 3843 $abc$56607$n273 -.sym 3850 $abc$56607$n2570$2 -.sym 3854 $abc$56607$n2545 -.sym 3855 $10\ring_rd[3:0][1] -.sym 3856 report_data_radr[4] -.sym 3857 report_data_radr[3] -.sym 3858 report_data_radr[5] -.sym 3859 report_data_radr[6] -.sym 3860 report_data_radr[2] -.sym 3861 report_data_radr[7] +.sym 3811 int_tmr[4] +.sym 3812 int_tmr[5] +.sym 3813 int_tmr[6] +.sym 3814 int_tmr[7] +.sym 3817 int_tmr[0] +.sym 3818 int_tmr[1] +.sym 3819 int_tmr[2] +.sym 3820 int_tmr[3] +.sym 3823 $abc$51270$n687 +.sym 3824 $13\int_tmr[14:0][4] +.sym 3825 $false +.sym 3826 $false +.sym 3829 $abc$51270$n687 +.sym 3830 $13\int_tmr[14:0][5] +.sym 3831 $false +.sym 3832 $false +.sym 3835 $abc$51270$n687 +.sym 3836 $13\int_tmr[14:0][6] +.sym 3837 $false +.sym 3838 $false +.sym 3841 $abc$51270$n687 +.sym 3842 $13\int_tmr[14:0][7] +.sym 3843 $false +.sym 3844 $false +.sym 3847 $abc$51270$n687 +.sym 3848 $13\int_tmr[14:0][2] +.sym 3849 $false +.sym 3850 $false +.sym 3851 $abc$51270$n871$2 +.sym 3852 CLK$2$2 +.sym 3853 $abc$51270$n35$2 +.sym 3855 int_tmr[0] +.sym 3857 int_tmr[3] .sym 3902 $true -.sym 3939 ring_rd[0]$2 +.sym 3939 int_tmr[0]$2 .sym 3940 $false -.sym 3941 ring_rd[0] +.sym 3941 int_tmr[0] .sym 3942 $false .sym 3943 $false -.sym 3945 $auto$alumacc.cc:470:replace_alu$12117.C[2] +.sym 3945 $auto$alumacc.cc:474:replace_alu$9591.C[2] .sym 3947 $false -.sym 3948 ring_rd[1] -.sym 3951 $auto$alumacc.cc:470:replace_alu$12117.C[3] +.sym 3948 int_tmr[1] +.sym 3951 $auto$alumacc.cc:474:replace_alu$9591.C[3] .sym 3952 $false .sym 3953 $false -.sym 3954 ring_rd[2] -.sym 3955 $auto$alumacc.cc:470:replace_alu$12117.C[2] +.sym 3954 int_tmr[2] +.sym 3955 $auto$alumacc.cc:474:replace_alu$9591.C[2] +.sym 3957 $auto$alumacc.cc:474:replace_alu$9591.C[4] .sym 3958 $false .sym 3959 $false -.sym 3960 ring_rd[3] -.sym 3961 $auto$alumacc.cc:470:replace_alu$12117.C[3] -.sym 3964 I2C_INPUT_LEN[7] +.sym 3960 int_tmr[3] +.sym 3961 $auto$alumacc.cc:474:replace_alu$9591.C[3] +.sym 3963 $auto$alumacc.cc:474:replace_alu$9591.C[5] +.sym 3964 $false .sym 3965 $false -.sym 3966 $false -.sym 3967 $false -.sym 3970 I2C.received_byte[5] +.sym 3966 int_tmr[4] +.sym 3967 $auto$alumacc.cc:474:replace_alu$9591.C[4] +.sym 3969 $auto$alumacc.cc:474:replace_alu$9591.C[6] +.sym 3970 $false .sym 3971 $false -.sym 3972 $false -.sym 3973 $false -.sym 3976 $10\ring_rd[3:0][2] +.sym 3972 int_tmr[5] +.sym 3973 $auto$alumacc.cc:474:replace_alu$9591.C[5] +.sym 3975 $auto$alumacc.cc:474:replace_alu$9591.C[7] +.sym 3976 $false .sym 3977 $false -.sym 3978 $false -.sym 3979 $false -.sym 3982 $10\ring_rd[3:0][3] +.sym 3978 int_tmr[6] +.sym 3979 $auto$alumacc.cc:474:replace_alu$9591.C[6] +.sym 3981 $auto$alumacc.cc:474:replace_alu$9591.C[8] +.sym 3982 $false .sym 3983 $false -.sym 3984 $false -.sym 3985 $false -.sym 3986 $abc$56607$n999 -.sym 3987 CLK$2$2 -.sym 3988 $abc$56607$n35$2 -.sym 3990 $abc$56607$n1832 -.sym 3991 $abc$56607$n1835 -.sym 3992 $abc$56607$n1838 -.sym 3993 $abc$56607$n2543 -.sym 3994 $10\ring_rd[3:0][0] -.sym 3995 $abc$56607$n1811 -.sym 3996 $abc$56607$n2691 -.sym 4087 $10\ring_rd[3:0][1] +.sym 3984 int_tmr[7] +.sym 3985 $auto$alumacc.cc:474:replace_alu$9591.C[7] +.sym 3989 $abc$51270$n750 +.sym 3990 $abc$51270$n749 +.sym 3991 int_tmr[13] +.sym 3992 int_tmr[12] +.sym 3993 int_tmr[9] +.sym 3994 int_tmr[10] +.sym 3995 int_tmr[14] +.sym 3996 int_tmr[11] +.sym 4037 $auto$alumacc.cc:474:replace_alu$9591.C[8] +.sym 4074 $auto$alumacc.cc:474:replace_alu$9591.C[9] +.sym 4075 $false +.sym 4076 $false +.sym 4077 int_tmr[8] +.sym 4078 $auto$alumacc.cc:474:replace_alu$9591.C[8] +.sym 4080 $auto$alumacc.cc:474:replace_alu$9591.C[10] +.sym 4081 $false +.sym 4082 $false +.sym 4083 int_tmr[9] +.sym 4084 $auto$alumacc.cc:474:replace_alu$9591.C[9] +.sym 4086 $auto$alumacc.cc:474:replace_alu$9591.C[11] +.sym 4087 $false .sym 4088 $false -.sym 4089 $false -.sym 4090 $false -.sym 4111 $10\ring_rd[3:0][0] +.sym 4089 int_tmr[10] +.sym 4090 $auto$alumacc.cc:474:replace_alu$9591.C[10] +.sym 4092 $auto$alumacc.cc:474:replace_alu$9591.C[12] +.sym 4093 $false +.sym 4094 $false +.sym 4095 int_tmr[11] +.sym 4096 $auto$alumacc.cc:474:replace_alu$9591.C[11] +.sym 4098 $auto$alumacc.cc:474:replace_alu$9591.C[13] +.sym 4099 $false +.sym 4100 $false +.sym 4101 int_tmr[12] +.sym 4102 $auto$alumacc.cc:474:replace_alu$9591.C[12] +.sym 4104 $auto$alumacc.cc:474:replace_alu$9591.C[14] +.sym 4105 $false +.sym 4106 $false +.sym 4107 int_tmr[13] +.sym 4108 $auto$alumacc.cc:474:replace_alu$9591.C[13] +.sym 4111 $false .sym 4112 $false -.sym 4113 $false -.sym 4114 $false -.sym 4121 $abc$56607$n999 +.sym 4113 int_tmr[14] +.sym 4114 $auto$alumacc.cc:474:replace_alu$9591.C[14] +.sym 4117 $abc$51270$n687 +.sym 4118 $13\int_tmr[14:0][8] +.sym 4119 $false +.sym 4120 $false +.sym 4121 $abc$51270$n871$2 .sym 4122 CLK$2$2 -.sym 4123 $abc$56607$n35$2 +.sym 4123 $abc$51270$n35$2 .sym 4212 $false .sym 4214 KEYBOARD.ROWS_EN[15] .sym 4237 KEYBOARD.kbd_code_hid[0] @@ -4943,588 +4858,556 @@ .sym 4242 KEYBOARD.kbd_code_hid[5] .sym 4243 KEYBOARD.kbd_code_hid[6] .sym 4244 KEYBOARD.kbd_code_hid[7] -.sym 4274 $false -.sym 4311 $auto$alumacc.cc:470:replace_alu$12126.C[1] -.sym 4313 $abc$56607$n35$2 -.sym 4314 rststate[0] -.sym 4317 $auto$alumacc.cc:470:replace_alu$12126.C[2] -.sym 4318 $false -.sym 4319 $false -.sym 4320 rststate[1] -.sym 4321 $auto$alumacc.cc:470:replace_alu$12126.C[1] -.sym 4323 $auto$alumacc.cc:470:replace_alu$12126.C[3] -.sym 4324 $false -.sym 4325 $false -.sym 4326 rststate[2] -.sym 4327 $auto$alumacc.cc:470:replace_alu$12126.C[2] -.sym 4330 $false -.sym 4331 $false -.sym 4332 rststate[3] -.sym 4333 $auto$alumacc.cc:470:replace_alu$12126.C[3] -.sym 4336 rststate[3] -.sym 4337 rststate[2] -.sym 4338 rststate[1] -.sym 4339 rststate[0] -.sym 4342 $abc$56607$n1251 -.sym 4343 $abc$56607$n1979 +.sym 4312 $abc$51270$n697 +.sym 4313 $abc$51270$n29 +.sym 4314 $abc$51270$n1447 +.sym 4315 KEYBOARD.is_pressed +.sym 4318 $abc$51270$n697 +.sym 4319 $abc$51270$n1447 +.sym 4320 $false +.sym 4321 $false +.sym 4336 $false +.sym 4337 $abc$51270$n396 +.sym 4338 $false +.sym 4339 $false +.sym 4342 $abc$51270$n2274 +.sym 4343 $abc$51270$n2265 .sym 4344 $false .sym 4345 $false -.sym 4348 $abc$56607$n1251 -.sym 4349 $abc$56607$n1983 +.sym 4348 $abc$51270$n2274 +.sym 4349 $abc$51270$n2212 .sym 4350 $false .sym 4351 $false -.sym 4354 $abc$56607$n1251 -.sym 4355 $abc$56607$n1981 +.sym 4354 $abc$51270$n2274 +.sym 4355 $false .sym 4356 $false .sym 4357 $false -.sym 4358 $true +.sym 4358 $abc$51270$n1418$2 .sym 4359 CLK$2$2 -.sym 4360 $false +.sym 4360 $abc$51270$n29 .sym 4437 $true -.sym 4474 $abc$56607$n2045$2 +.sym 4474 I2C.FLT_SDA.counter[0]$2 .sym 4475 $false -.sym 4476 $abc$56607$n2045 +.sym 4476 I2C.FLT_SDA.counter[0] .sym 4477 $false .sym 4478 $false -.sym 4480 $auto$alumacc.cc:470:replace_alu$12155.C[2] -.sym 4482 $abc$56607$n2047 +.sym 4480 $auto$alumacc.cc:474:replace_alu$9626.C[2] +.sym 4482 I2C.FLT_SDA.counter[1] .sym 4483 $true$2 -.sym 4486 $auto$alumacc.cc:470:replace_alu$12155.C[3] .sym 4487 $false -.sym 4488 $abc$56607$n2049 -.sym 4489 $true$2 -.sym 4490 $auto$alumacc.cc:470:replace_alu$12155.C[2] -.sym 4492 $abc$56607$n2529$2 -.sym 4493 $false -.sym 4494 $abc$56607$n2051 -.sym 4495 $true$2 -.sym 4496 $auto$alumacc.cc:470:replace_alu$12155.C[3] -.sym 4502 $abc$56607$n2529$2 -.sym 4505 $abc$56607$n2617 -.sym 4506 $false +.sym 4488 I2C.FLT_SDA.counter[2] +.sym 4489 $false +.sym 4490 $auto$alumacc.cc:474:replace_alu$9626.C[2] +.sym 4493 $abc$51270$n2274 +.sym 4494 $abc$51270$n2206 +.sym 4495 $false +.sym 4496 $false +.sym 4499 $abc$51270$n2274 +.sym 4500 $abc$51270$n394 +.sym 4501 $false +.sym 4502 $false +.sym 4505 $abc$51270$n2274 +.sym 4506 $abc$51270$n383 .sym 4507 $false .sym 4508 $false -.sym 4511 $abc$56607$n2248 -.sym 4512 $false +.sym 4511 $abc$51270$n2274 +.sym 4512 $abc$51270$n2210 .sym 4513 $false .sym 4514 $false -.sym 4517 $abc$56607$n2287 -.sym 4518 $abc$56607$n2246 +.sym 4517 $abc$51270$n2274 +.sym 4518 $abc$51270$n2208 .sym 4519 $false .sym 4520 $false -.sym 4521 $abc$56607$n1490$2 +.sym 4521 $abc$51270$n1418$2 .sym 4522 CLK$2$2 -.sym 4523 $false +.sym 4523 $abc$51270$n29 .sym 4524 KEYBOARD.RAM.r_data[0] .sym 4526 KEYBOARD.RAM.r_data[1] .sym 4528 KEYBOARD.RAM.r_data[2] .sym 4530 KEYBOARD.RAM.r_data[3] -.sym 4598 $abc$56607$n2045 -.sym 4599 $false -.sym 4600 $false +.sym 4598 KEYBOARD.temp[3] +.sym 4599 KEYBOARD.RAM.r_data[3] +.sym 4600 $abc$51270$n700 .sym 4601 $false -.sym 4604 $abc$56607$n2047 -.sym 4605 $false -.sym 4606 $false +.sym 4604 $abc$51270$n383 +.sym 4605 $abc$51270$n396 +.sym 4606 $abc$51270$n394 .sym 4607 $false -.sym 4610 $abc$56607$n361 -.sym 4611 $abc$56607$n372 -.sym 4612 $abc$56607$n2529 +.sym 4610 KEYBOARD.temp[2] +.sym 4611 $abc$51270$n701 +.sym 4612 $false .sym 4613 $false -.sym 4616 $false -.sym 4617 $false -.sym 4618 $true$2 -.sym 4619 $abc$56607$n2529 -.sym 4622 I2C.i2c_bit_counter[3] -.sym 4623 $abc$56607$n2043 -.sym 4624 $false +.sym 4616 $abc$51270$n721 +.sym 4617 KEYBOARD.temp[1] +.sym 4618 $abc$51270$n383 +.sym 4619 $abc$51270$n394 +.sym 4622 $abc$51270$n396 +.sym 4623 $abc$51270$n394 +.sym 4624 $abc$51270$n383 .sym 4625 $false -.sym 4628 $false -.sym 4629 $abc$56607$n35$2 -.sym 4630 rststate[0] -.sym 4631 $false -.sym 4634 $abc$56607$n1251 -.sym 4635 $abc$56607$n1977 -.sym 4636 $false +.sym 4628 KEYBOARD.temp[2] +.sym 4629 KEYBOARD.RAM.r_data[2] +.sym 4630 $abc$51270$n701 +.sym 4631 $abc$51270$n700 +.sym 4634 $abc$51270$n1446 +.sym 4635 $abc$51270$n1445_1 +.sym 4636 $abc$51270$n718 .sym 4637 $false -.sym 4640 $abc$56607$n1290_1 -.sym 4641 $abc$56607$n2617 -.sym 4642 $abc$56607$n361 -.sym 4643 $abc$56607$n1293 -.sym 4644 $true +.sym 4640 $abc$51270$n698 +.sym 4641 $abc$51270$n1335 +.sym 4642 $false +.sym 4643 $false +.sym 4644 $abc$51270$n1417 .sym 4645 CLK$2$2 -.sym 4646 $false +.sym 4646 $abc$51270$n33$2 .sym 4647 KEYBOARD.RAM.r_data[4] .sym 4649 KEYBOARD.RAM.r_data[5] .sym 4651 KEYBOARD.RAM.r_data[6] .sym 4653 KEYBOARD.RAM.r_data[7] -.sym 4721 $abc$56607$n874 -.sym 4722 $abc$56607$n878 -.sym 4723 $abc$56607$n879 +.sym 4721 $abc$51270$n27 +.sym 4722 KEYBOARD.kbd_code_hid[5] +.sym 4723 $false .sym 4724 $false -.sym 4727 $abc$56607$n2043 -.sym 4728 I2C.i2c_bit_counter[1] -.sym 4729 $false +.sym 4727 KEYBOARD.temp[7] +.sym 4728 KEYBOARD.RAM.r_data[7] +.sym 4729 $abc$51270$n700 .sym 4730 $false -.sym 4733 $abc$56607$n2237 -.sym 4734 KEYBOARD.row_counter[2] -.sym 4735 $abc$56607$n33$2 +.sym 4733 $abc$51270$n2315 +.sym 4734 $false +.sym 4735 $false .sym 4736 $false -.sym 4739 $abc$56607$n2043 -.sym 4740 I2C.i2c_bit_counter[0] +.sym 4739 $abc$51270$n2314 +.sym 4740 $false .sym 4741 $false .sym 4742 $false -.sym 4745 $false -.sym 4746 $abc$56607$n2045 +.sym 4745 $abc$51270$n2317 +.sym 4746 $false .sym 4747 $false -.sym 4748 $true$2 -.sym 4751 I2C.i2c_bit_counter[0] -.sym 4752 I2C.i2c_bit_counter[1] -.sym 4753 $abc$56607$n2043 +.sym 4748 $false +.sym 4751 $abc$51270$n2316 +.sym 4752 $false +.sym 4753 $false .sym 4754 $false -.sym 4757 $abc$56607$n1290_1 -.sym 4758 $abc$56607$n2047 -.sym 4759 $abc$56607$n1293 -.sym 4760 $abc$56607$n880 -.sym 4763 $abc$56607$n1290_1 -.sym 4764 $abc$56607$n2045 -.sym 4765 $abc$56607$n1293 -.sym 4766 $abc$56607$n358 -.sym 4767 $true +.sym 4757 $abc$51270$n2311 +.sym 4758 $false +.sym 4759 $false +.sym 4760 $false +.sym 4763 $abc$51270$n2313 +.sym 4764 $false +.sym 4765 $false +.sym 4766 $false +.sym 4767 $abc$51270$n1258 .sym 4768 CLK$2$2 -.sym 4769 $false -.sym 4844 I2C.FLT_SDA.out -.sym 4845 $abc$56607$n14 -.sym 4846 I2C.FLT_SCL.out +.sym 4769 $abc$51270$n35$2 +.sym 4844 KEYBOARD.report[4][0] +.sym 4845 $abc$51270$n1121 +.sym 4846 $abc$51270$n1120 .sym 4847 $false -.sym 4850 I2C.FLT_SCL.out -.sym 4851 I2C.FLT_SDA.out -.sym 4852 $abc$56607$n14 -.sym 4853 I2C.wr -.sym 4856 $abc$56607$n1268_1 -.sym 4857 I2C.i2c_state_machine -.sym 4858 $false -.sym 4859 $false -.sym 4862 $abc$56607$n2043 -.sym 4863 I2C.byte_counter[5] +.sym 4850 KEYBOARD.report[1][4] +.sym 4851 KEYBOARD.report[5][4] +.sym 4852 $abc$51270$n260 +.sym 4853 $abc$51270$n1114 +.sym 4856 KEYBOARD.kbd_code_hid[0] +.sym 4857 KEYBOARD.report[4][0] +.sym 4858 KEYBOARD.kbd_code_hid[2] +.sym 4859 KEYBOARD.report[4][2] +.sym 4862 $abc$51270$n27 +.sym 4863 KEYBOARD.kbd_code_hid[2] .sym 4864 $false .sym 4865 $false -.sym 4868 I2C_HID_DESC.last_rd_request -.sym 4869 I2C.wr -.sym 4870 I2C.FLT_SCL.RESET +.sym 4868 $false +.sym 4869 wr_cnt[0] +.sym 4870 $false .sym 4871 $false -.sym 4874 $false +.sym 4874 $abc$51270$n2311 .sym 4875 $false .sym 4876 $false .sym 4877 $false -.sym 4880 I2C.wr -.sym 4881 $false -.sym 4882 $false -.sym 4883 $false -.sym 4890 $true +.sym 4886 $abc$51270$n2315 +.sym 4887 $false +.sym 4888 $false +.sym 4889 $false +.sym 4890 $abc$51270$n1325 .sym 4891 CLK$2$2 -.sym 4892 $abc$56607$n35$2 -.sym 4967 $abc$56607$n875 -.sym 4968 $abc$56607$n877 +.sym 4892 $abc$51270$n35$2 +.sym 4929 $true +.sym 4966 I2C.byte_counter[5]$2 +.sym 4967 $false +.sym 4968 I2C.byte_counter[5] .sym 4969 $false .sym 4970 $false -.sym 4973 I2C.byte_counter[7] -.sym 4974 $abc$56607$n1276 -.sym 4975 $false -.sym 4976 $false -.sym 4979 $abc$56607$n1300 -.sym 4980 $abc$56607$n877 -.sym 4981 $false -.sym 4982 $false -.sym 4985 I2C.FLT_SDA.out -.sym 4986 $abc$56607$n14 -.sym 4987 I2C.FLT_SCL.out -.sym 4988 I2C.i2c_state_machine -.sym 4991 I2C.byte_counter[4] -.sym 4992 I2C.byte_counter[5] -.sym 4993 I2C.byte_counter[6] -.sym 4994 $abc$56607$n1277 -.sym 4997 $abc$56607$n358 -.sym 4998 $abc$56607$n880 -.sym 4999 $abc$56607$n872 +.sym 4972 $auto$alumacc.cc:474:replace_alu$9629.C[7] +.sym 4974 $false +.sym 4975 I2C.byte_counter[6] +.sym 4979 $false +.sym 4980 $false +.sym 4981 I2C.byte_counter[7] +.sym 4982 $auto$alumacc.cc:474:replace_alu$9629.C[7] +.sym 4985 $abc$51270$n253 +.sym 4986 $abc$51270$n260 +.sym 4987 wr_cnt[0] +.sym 4988 wr_cnt[1] +.sym 4991 KEYBOARD.row_counter[0] +.sym 4992 $abc$51270$n2193 +.sym 4993 $abc$51270$n1001 +.sym 4994 $false +.sym 4997 $abc$51270$n2317 +.sym 4998 $false +.sym 4999 $false .sym 5000 $false -.sym 5003 $abc$56607$n1280 -.sym 5004 $abc$56607$n1285 -.sym 5005 $abc$56607$n875 +.sym 5003 $abc$51270$n2315 +.sym 5004 $false +.sym 5005 $false .sym 5006 $false -.sym 5009 I2C.FLT_SDA.out +.sym 5009 $abc$51270$n2313 .sym 5010 $false .sym 5011 $false .sym 5012 $false -.sym 5013 $abc$56607$n1248 +.sym 5013 $abc$51270$n1374 .sym 5014 CLK$2$2 -.sym 5015 $false -.sym 5096 $abc$56607$n2605 +.sym 5015 $abc$51270$n35$2 +.sym 5016 I2C_HID_DESC.VAL[0] +.sym 5017 I2C_HID_DESC.VAL[1] +.sym 5018 I2C_HID_DESC.VAL[2] +.sym 5019 I2C_TX_DESC[3] +.sym 5020 I2C_TX_DESC[4] +.sym 5021 I2C_TX_DESC[5] +.sym 5022 I2C_TX_DESC[6] +.sym 5023 I2C_TX_DESC[7] +.sym 5052 $true +.sym 5089 $abc$51270$n2451$2 +.sym 5090 $false +.sym 5091 $abc$51270$n2451 +.sym 5092 $false +.sym 5093 $false +.sym 5095 $auto$alumacc.cc:474:replace_alu$9509.C[2] .sym 5097 $false -.sym 5098 $false -.sym 5099 $false -.sym 5102 $abc$56607$n358 -.sym 5103 $abc$56607$n880 -.sym 5104 $abc$56607$n887 -.sym 5105 $false -.sym 5108 I2C.i2c_state_machine -.sym 5109 I2C.i2c_start_latency -.sym 5110 $false -.sym 5111 $false -.sym 5114 UART.tx_bit_counter[0] -.sym 5115 UART.tx_bit_counter[1] -.sym 5116 $false -.sym 5117 $false -.sym 5120 I2C.i2c_start_latency -.sym 5121 $abc$56607$n2043 -.sym 5122 I2C.i2c_state_machine -.sym 5123 I2C.is_read -.sym 5132 I2C.FLT_SDA.out +.sym 5098 $abc$51270$n2383 +.sym 5101 $auto$alumacc.cc:474:replace_alu$9509.C[3] +.sym 5103 $false +.sym 5104 $abc$51270$n2466 +.sym 5107 $abc$51270$n2192$2 +.sym 5109 $false +.sym 5110 $abc$51270$n2468 +.sym 5117 $abc$51270$n2192$2 +.sym 5120 $false +.sym 5121 $false +.sym 5122 $false +.sym 5123 $false +.sym 5126 KEYBOARD.init_ram_cnt[8] +.sym 5127 $false +.sym 5128 $false +.sym 5129 $false +.sym 5132 KEYBOARD.init_ram_cnt[4] .sym 5133 $false .sym 5134 $false .sym 5135 $false -.sym 5136 $abc$56607$n1283 +.sym 5136 $abc$51270$n1398 .sym 5137 CLK$2$2 -.sym 5138 $false -.sym 5213 KEYBOARD.init_ram_cnt[2] -.sym 5214 KEYBOARD.init_ram_cnt[3] -.sym 5215 KEYBOARD.init_ram_cnt[4] -.sym 5216 KEYBOARD.init_ram_cnt[8] -.sym 5219 KEYBOARD.init_ram_cnt[5] -.sym 5220 KEYBOARD.init_ram_cnt[6] -.sym 5221 KEYBOARD.init_ram_cnt[7] -.sym 5222 $false -.sym 5231 KEYBOARD.init_ram_cnt[0] -.sym 5232 KEYBOARD.init_ram_cnt[1] -.sym 5233 $abc$56607$n924 -.sym 5234 $abc$56607$n925 -.sym 5237 $abc$56607$n2519 -.sym 5238 $false -.sym 5239 $false +.sym 5138 KEYBOARD.init_ram_cnt[8] +.sym 5213 $false +.sym 5214 $false +.sym 5215 I2C.byte_counter[0] +.sym 5216 $false +.sym 5219 I2C.byte_counter[7] +.sym 5220 $abc$51270$n2330 +.sym 5221 I2C_OUTPUT_TYPE[1] +.sym 5222 I2C_OUTPUT_TYPE[0] +.sym 5225 I2C.byte_counter[1] +.sym 5226 $false +.sym 5227 $false +.sym 5228 $false +.sym 5231 I2C.byte_counter[4] +.sym 5232 $false +.sym 5233 $false +.sym 5234 $false +.sym 5237 I2C_OUTPUT_TYPE[1] +.sym 5238 I2C_OUTPUT_TYPE[0] +.sym 5239 I2C.byte_counter[5] .sym 5240 $false -.sym 5249 KEYBOARD.init_ram_cnt[6] -.sym 5250 $false -.sym 5251 $false -.sym 5252 $false -.sym 5255 KEYBOARD.init_ram_cnt[5] -.sym 5256 $false -.sym 5257 $false -.sym 5258 $false -.sym 5259 $abc$56607$n1462 +.sym 5243 I2C.byte_counter[2] +.sym 5244 $false +.sym 5245 $false +.sym 5246 $false +.sym 5249 I2C_OUTPUT_TYPE[1] +.sym 5250 I2C_OUTPUT_TYPE[0] +.sym 5251 I2C.byte_counter[5] +.sym 5252 I2C.byte_counter[6] +.sym 5255 I2C.byte_counter[0] +.sym 5256 $abc$51270$n2324 +.sym 5257 I2C_OUTPUT_TYPE[1] +.sym 5258 I2C_OUTPUT_TYPE[0] +.sym 5259 $abc$51270$n1420 .sym 5260 CLK$2$2 -.sym 5261 KEYBOARD.init_ram_cnt[8] -.sym 5262 I2C_HID_DESC.VAL[0] -.sym 5263 I2C_HID_DESC.VAL[1] -.sym 5264 I2C_HID_DESC.VAL[2] -.sym 5265 I2C_HID_DESC.VAL[3] -.sym 5266 I2C_HID_DESC.VAL[4] -.sym 5267 I2C_HID_DESC.VAL[5] -.sym 5268 I2C_HID_DESC.VAL[6] -.sym 5269 I2C_HID_DESC.VAL[7] +.sym 5261 $abc$51270$n35$2 +.sym 5262 REPORT_DATA.r_data[0] +.sym 5264 REPORT_DATA.r_data[1] +.sym 5266 REPORT_DATA.r_data[2] +.sym 5268 REPORT_DATA.r_data[3] .sym 5298 $true -.sym 5335 $abc$56607$n2621$2 +.sym 5335 $abc$51270$n2451$3 .sym 5336 $false -.sym 5337 $abc$56607$n2621 +.sym 5337 $abc$51270$n2451 .sym 5338 $false .sym 5339 $false -.sym 5341 $auto$alumacc.cc:470:replace_alu$12026.C[2] +.sym 5341 $auto$alumacc.cc:474:replace_alu$9576.C[2] .sym 5343 $false -.sym 5344 $abc$56607$n2518 -.sym 5347 $auto$alumacc.cc:470:replace_alu$12026.C[3] +.sym 5344 $abc$51270$n2383 +.sym 5348 $false .sym 5349 $false -.sym 5350 $abc$56607$n2604 -.sym 5353 $abc$56607$n2229$2 -.sym 5355 $false -.sym 5356 $abc$56607$n2606 -.sym 5363 $abc$56607$n2229$2 -.sym 5366 I2C_HID_DESC.VAL[5] -.sym 5367 REPORT_DATA.r_data[5] -.sym 5368 I2C_OUT_DESC_MASK[5] +.sym 5350 $abc$51270$n2466 +.sym 5351 $auto$alumacc.cc:474:replace_alu$9576.C[2] +.sym 5354 REPORT_DATA.r_data[3] +.sym 5355 I2C_TX_DESC[3] +.sym 5356 I2C_OUT_DESC_MASK[3] +.sym 5357 $false +.sym 5360 $abc$51270$n2465 +.sym 5361 $false +.sym 5362 $false +.sym 5363 $false +.sym 5366 $abc$51270$n2384 +.sym 5367 $false +.sym 5368 $false .sym 5369 $false -.sym 5372 $abc$56607$n2518 -.sym 5373 $abc$56607$n2519 +.sym 5372 $abc$51270$n2467 +.sym 5373 $false .sym 5374 $false .sym 5375 $false -.sym 5378 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5379 $false -.sym 5380 $false -.sym 5381 $false -.sym 5382 $abc$56607$n1191 +.sym 5378 $abc$51270$n2192 +.sym 5379 $abc$51270$n683 +.sym 5380 $abc$51270$n678 +.sym 5381 UART.tx_activity +.sym 5382 $abc$51270$n1231 .sym 5383 CLK$2$2 -.sym 5384 $abc$56607$n35$2 -.sym 5459 $abc$56607$n670 -.sym 5460 I2C.is_read +.sym 5384 $false +.sym 5385 REPORT_DATA.r_data[4] +.sym 5387 REPORT_DATA.r_data[5] +.sym 5389 REPORT_DATA.r_data[6] +.sym 5391 REPORT_DATA.r_data[7] +.sym 5421 $true +.sym 5458 UART.tx_bit_counter[0]$2 +.sym 5459 $false +.sym 5460 UART.tx_bit_counter[0] .sym 5461 $false .sym 5462 $false -.sym 5465 I2C.byte_counter[0] -.sym 5466 I2C.byte_counter[1] -.sym 5467 $false -.sym 5468 $false -.sym 5471 I2C.byte_counter[7] -.sym 5472 $abc$56607$n2477 -.sym 5473 I2C_OUTPUT_TYPE[1] -.sym 5474 I2C_OUTPUT_TYPE[0] -.sym 5477 I2C.byte_counter[0] -.sym 5478 $abc$56607$n2471 -.sym 5479 I2C_OUTPUT_TYPE[1] -.sym 5480 I2C_OUTPUT_TYPE[0] -.sym 5483 I2C_OUTPUT_TYPE[1] -.sym 5484 I2C_OUTPUT_TYPE[0] -.sym 5485 I2C.byte_counter[5] -.sym 5486 I2C.byte_counter[6] -.sym 5489 I2C.byte_counter[4] +.sym 5464 $auto$alumacc.cc:474:replace_alu$9650.C[2]$2 +.sym 5466 UART.tx_bit_counter[1] +.sym 5467 $true$2 +.sym 5470 $auto$alumacc.cc:474:replace_alu$9650.C[3] +.sym 5472 UART.tx_bit_counter[2] +.sym 5473 $true$2 +.sym 5474 $auto$alumacc.cc:474:replace_alu$9650.C[2]$2 +.sym 5477 $false +.sym 5478 UART.tx_bit_counter[3] +.sym 5479 $false +.sym 5480 $auto$alumacc.cc:474:replace_alu$9650.C[3] +.sym 5483 $false +.sym 5484 UART.tx_bit_counter[2] +.sym 5485 $false +.sym 5486 $auto$alumacc.cc:474:replace_alu$9650.C[2] +.sym 5489 $abc$51270$n2384 .sym 5490 $false .sym 5491 $false .sym 5492 $false -.sym 5495 I2C.byte_counter[1] +.sym 5495 $abc$51270$n2465 .sym 5496 $false .sym 5497 $false .sym 5498 $false -.sym 5501 I2C_OUTPUT_TYPE[1] -.sym 5502 I2C_OUTPUT_TYPE[0] -.sym 5503 I2C.byte_counter[5] +.sym 5501 $abc$51270$n2467 +.sym 5502 $false +.sym 5503 $false .sym 5504 $false -.sym 5505 $abc$56607$n1492 +.sym 5505 $abc$51270$n1231 .sym 5506 CLK$2$2 -.sym 5507 $abc$56607$n35$2 -.sym 5508 REPORT_DATA.r_data[0] -.sym 5510 REPORT_DATA.r_data[1] -.sym 5512 REPORT_DATA.r_data[2] -.sym 5514 REPORT_DATA.r_data[3] -.sym 5582 I2C_HID_DESC.VAL[7] -.sym 5583 REPORT_DATA.r_data[7] -.sym 5584 I2C_OUT_DESC_MASK[7] +.sym 5507 $abc$51270$n25 +.sym 5582 i2c_input_data_type[1] +.sym 5583 $false +.sym 5584 $false .sym 5585 $false -.sym 5588 I2C_INPUT_LEN[4] -.sym 5589 I2C_INPUT_LEN[5] -.sym 5590 I2C_INPUT_LEN[6] -.sym 5591 I2C_INPUT_LEN[7] -.sym 5594 I2C_INPUT_LEN[4] -.sym 5595 $false +.sym 5588 UART.tx_bit_counter[0] +.sym 5589 UART.tx_bit_counter[1] +.sym 5590 UART.tx_bit_counter[2] +.sym 5591 UART.tx_activity +.sym 5594 UART.tx_bit_counter[3] +.sym 5595 $abc$51270$n892 .sym 5596 $false .sym 5597 $false -.sym 5600 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5600 UART.tx_activity .sym 5601 $false .sym 5602 $false .sym 5603 $false -.sym 5606 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5607 $false +.sym 5606 $false +.sym 5607 UART.tx_bit_counter[0] .sym 5608 $false .sym 5609 $false -.sym 5612 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5612 i2c_input_data_type[2] .sym 5613 $false .sym 5614 $false .sym 5615 $false -.sym 5618 $abc$56607$n1093 -.sym 5619 $abc$56607$n1099_1 +.sym 5618 UART.tx_bit_counter[0] +.sym 5619 UART.tx_bit_counter[1] .sym 5620 $false .sym 5621 $false -.sym 5624 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 5624 $abc$51270$n2383 .sym 5625 $false .sym 5626 $false .sym 5627 $false -.sym 5628 $abc$56607$n1191 +.sym 5628 $abc$51270$n1231 .sym 5629 CLK$2$2 -.sym 5630 $abc$56607$n35$2 -.sym 5631 REPORT_DATA.r_data[4] -.sym 5633 REPORT_DATA.r_data[5] -.sym 5635 REPORT_DATA.r_data[6] -.sym 5637 REPORT_DATA.r_data[7] -.sym 5667 $true -.sym 5704 I2C.byte_counter[5]$2 -.sym 5705 $false -.sym 5706 I2C.byte_counter[5] +.sym 5630 $abc$51270$n25 +.sym 5705 $abc$51270$n782 +.sym 5706 $abc$51270$n785 .sym 5707 $false .sym 5708 $false -.sym 5710 $auto$alumacc.cc:470:replace_alu$12164.C[7] -.sym 5712 $false -.sym 5713 I2C.byte_counter[6] -.sym 5717 $false -.sym 5718 $false -.sym 5719 I2C.byte_counter[7] -.sym 5720 $auto$alumacc.cc:470:replace_alu$12164.C[7] -.sym 5723 I2C.received_byte[6] -.sym 5724 $false +.sym 5711 $false +.sym 5712 init_ram_cnt[0] +.sym 5713 $false +.sym 5714 $false +.sym 5717 $abc$51270$n783 +.sym 5718 $abc$51270$n763 +.sym 5719 $abc$51270$n761 +.sym 5720 $abc$51270$n765 +.sym 5723 $abc$51270$n22 +.sym 5724 $abc$51270$n1916 .sym 5725 $false .sym 5726 $false -.sym 5729 I2C_INPUT_LEN[3] -.sym 5730 $false +.sym 5729 $abc$51270$n748 +.sym 5730 UART_WR .sym 5731 $false .sym 5732 $false -.sym 5735 I2C_INPUT_LEN[1] -.sym 5736 $false +.sym 5735 $false +.sym 5736 wr_cnt[0] .sym 5737 $false .sym 5738 $false -.sym 5741 $abc$56607$n1052 -.sym 5742 I2C.received_byte[5] -.sym 5743 I2C.is_read -.sym 5744 $false -.sym 5747 $abc$56607$n1056 -.sym 5748 I2C.received_byte[7] -.sym 5749 I2C.is_read -.sym 5750 $false -.sym 5751 $abc$56607$n888 +.sym 5747 $abc$51270$n758 +.sym 5748 $6\report_data_wadr[7:0][0] +.sym 5749 $abc$51270$n1197 +.sym 5750 $abc$51270$n1198 +.sym 5751 $abc$51270$n950$2 .sym 5752 CLK$2$2 -.sym 5753 $abc$56607$n19 -.sym 5828 $abc$56607$n1180 -.sym 5829 $abc$56607$n795 +.sym 5753 $false +.sym 5828 ring_wr[3] +.sym 5829 $abc$51270$n1219 .sym 5830 $false .sym 5831 $false -.sym 5834 $abc$56607$n788 -.sym 5835 $abc$56607$n21 -.sym 5836 $abc$56607$n754 -.sym 5837 $abc$56607$n742 -.sym 5840 I2C_TRANS -.sym 5841 last_trans -.sym 5842 $false -.sym 5843 $false -.sym 5846 $abc$56607$n742 -.sym 5847 $abc$56607$n747 -.sym 5848 $abc$56607$n754 +.sym 5834 $abc$51270$n1210_1 +.sym 5835 $abc$51270$n1209 +.sym 5836 ring_wr[0] +.sym 5837 $abc$51270$n1211 +.sym 5840 $abc$51270$n690 +.sym 5841 $abc$51270$n1209 +.sym 5842 $abc$51270$n1211 +.sym 5843 ring_wr[0] +.sym 5846 $abc$51270$n1211 +.sym 5847 wr_cnt[2] +.sym 5848 ring_wr[1] .sym 5849 $false -.sym 5852 $abc$56607$n754 -.sym 5853 $abc$56607$n788 -.sym 5854 I2C.FLT_SCL.RESET -.sym 5855 $false -.sym 5858 $abc$56607$n826 -.sym 5859 $abc$56607$n2580 -.sym 5860 $abc$56607$n2570 -.sym 5861 $abc$56607$n21 -.sym 5864 KEYBOARD.init_ram_cnt[4] -.sym 5865 $false +.sym 5852 wr_cnt[2] +.sym 5853 ring_wr[1] +.sym 5854 ring_wr[0] +.sym 5855 wr_cnt[1] +.sym 5858 ring_wr[1] +.sym 5859 wr_cnt[2] +.sym 5860 $abc$51270$n1210_1 +.sym 5861 $false +.sym 5864 $abc$51270$n2416 +.sym 5865 $abc$51270$n2414 .sym 5866 $false .sym 5867 $false -.sym 5870 KEYBOARD.init_ram_cnt[7] -.sym 5871 $false -.sym 5872 $false +.sym 5870 $abc$51270$n784 +.sym 5871 $abc$51270$n782 +.sym 5872 $abc$51270$n785 .sym 5873 $false -.sym 5874 $abc$56607$n1462 -.sym 5875 CLK$2$2 -.sym 5876 KEYBOARD.init_ram_cnt[8] -.sym 5913 $true -.sym 5950 report_data_radr[0]$2 -.sym 5951 $false -.sym 5952 report_data_radr[0] -.sym 5953 $false -.sym 5954 $false -.sym 5956 $auto$alumacc.cc:470:replace_alu$12120.C[2] -.sym 5958 $false -.sym 5959 report_data_radr[1] -.sym 5962 $auto$alumacc.cc:470:replace_alu$12120.C[3] -.sym 5963 $false -.sym 5964 $false -.sym 5965 report_data_radr[2] -.sym 5966 $auto$alumacc.cc:470:replace_alu$12120.C[2] -.sym 5968 $auto$alumacc.cc:470:replace_alu$12120.C[4] -.sym 5969 $false -.sym 5970 $false -.sym 5971 report_data_radr[3] -.sym 5972 $auto$alumacc.cc:470:replace_alu$12120.C[3] -.sym 5974 $auto$alumacc.cc:470:replace_alu$12120.C[5] -.sym 5975 $false -.sym 5976 $false -.sym 5977 report_data_radr[4] -.sym 5978 $auto$alumacc.cc:470:replace_alu$12120.C[4] -.sym 5980 $auto$alumacc.cc:470:replace_alu$12120.C[6] -.sym 5981 $false -.sym 5982 $false -.sym 5983 report_data_radr[5] -.sym 5984 $auto$alumacc.cc:470:replace_alu$12120.C[5] -.sym 5986 $auto$alumacc.cc:470:replace_alu$12120.C[7] -.sym 5987 $false -.sym 5988 $false -.sym 5989 report_data_radr[6] -.sym 5990 $auto$alumacc.cc:470:replace_alu$12120.C[6] +.sym 5951 $abc$51270$n2193 +.sym 5952 $abc$51270$n2199 +.sym 5953 KEYBOARD.row_counter[0] +.sym 5954 KEYBOARD.row_counter[1] +.sym 5981 init_ram_cnt[1] +.sym 5982 init_ram_cnt[0] +.sym 5983 $false +.sym 5984 $false .sym 5993 $false .sym 5994 $false -.sym 5995 report_data_radr[7] -.sym 5996 $auto$alumacc.cc:470:replace_alu$12120.C[7] -.sym 6074 $10\ring_rd[3:0][0] -.sym 6075 $10\ring_rd[3:0][2] -.sym 6076 $false -.sym 6077 $false -.sym 6080 $abc$56607$n763 -.sym 6081 ring_rd[0] -.sym 6082 ring_rd[1] +.sym 5995 init_ram_cnt[0] +.sym 5996 $false +.sym 5997 $abc$51270$n764 +.sym 5998 CLK$2$2 +.sym 5999 $abc$51270$n35$2 +.sym 6080 $abc$51270$n687 +.sym 6081 $13\int_tmr[14:0][0] +.sym 6082 $false .sym 6083 $false -.sym 6086 $abc$56607$n1830 -.sym 6087 $abc$56607$n2545 -.sym 6088 $abc$56607$n2543 -.sym 6089 $abc$56607$n21 -.sym 6092 $abc$56607$n1827 -.sym 6093 $10\ring_rd[3:0][0] -.sym 6094 $10\ring_rd[3:0][2] -.sym 6095 $abc$56607$n21 -.sym 6098 $abc$56607$n1832 -.sym 6099 $abc$56607$n1833 -.sym 6100 $abc$56607$n21 -.sym 6101 $false -.sym 6104 $abc$56607$n1835 -.sym 6105 $abc$56607$n1836 -.sym 6106 $abc$56607$n21 -.sym 6107 $false -.sym 6110 $10\ring_rd[3:0][1] -.sym 6111 $abc$56607$n1824 -.sym 6112 $abc$56607$n21 -.sym 6113 $false -.sym 6116 $abc$56607$n1838 -.sym 6117 $abc$56607$n1839 -.sym 6118 $abc$56607$n21 -.sym 6119 $false -.sym 6120 $abc$56607$n1050 +.sym 6092 $abc$51270$n687 +.sym 6093 $13\int_tmr[14:0][3] +.sym 6094 $false +.sym 6095 $false +.sym 6120 $abc$51270$n871$2 .sym 6121 CLK$2$2 -.sym 6122 $false -.sym 6159 $false -.sym 6196 $auto$maccmap.cc:240:synth$12778.C[5] -.sym 6198 $abc$56607$n2543 -.sym 6199 $abc$56607$n2545 -.sym 6202 $auto$maccmap.cc:240:synth$12778.C[6] -.sym 6203 $false -.sym 6204 $10\ring_rd[3:0][2] -.sym 6205 $abc$56607$n2691 -.sym 6206 $auto$maccmap.cc:240:synth$12778.C[5] -.sym 6208 $auto$maccmap.cc:240:synth$12778.C[7] -.sym 6209 $false -.sym 6210 $10\ring_rd[3:0][3] +.sym 6122 $abc$51270$n35$2 +.sym 6197 int_tmr[8] +.sym 6198 int_tmr[9] +.sym 6199 int_tmr[10] +.sym 6200 int_tmr[11] +.sym 6203 $abc$51270$n750 +.sym 6204 int_tmr[12] +.sym 6205 int_tmr[13] +.sym 6206 int_tmr[14] +.sym 6209 $abc$51270$n687 +.sym 6210 $13\int_tmr[14:0][13] .sym 6211 $false -.sym 6212 $auto$maccmap.cc:240:synth$12778.C[6] -.sym 6215 $false -.sym 6216 $false +.sym 6212 $false +.sym 6215 $abc$51270$n687 +.sym 6216 $13\int_tmr[14:0][12] .sym 6217 $false -.sym 6218 $auto$maccmap.cc:240:synth$12778.C[7] -.sym 6221 $10\ring_rd[3:0][1] -.sym 6222 $10\ring_rd[3:0][3] +.sym 6218 $false +.sym 6221 $abc$51270$n687 +.sym 6222 $13\int_tmr[14:0][9] .sym 6223 $false .sym 6224 $false -.sym 6227 ring_rd[0] -.sym 6228 $abc$56607$n1811 -.sym 6229 $abc$56607$n763 +.sym 6227 $abc$51270$n687 +.sym 6228 $13\int_tmr[14:0][10] +.sym 6229 $false .sym 6230 $false -.sym 6233 $false -.sym 6234 $true$2 -.sym 6235 ring_rd[0] +.sym 6233 $abc$51270$n687 +.sym 6234 $13\int_tmr[14:0][14] +.sym 6235 $false .sym 6236 $false -.sym 6239 $10\ring_rd[3:0][1] -.sym 6240 $10\ring_rd[3:0][3] +.sym 6239 $abc$51270$n687 +.sym 6240 $13\int_tmr[14:0][11] .sym 6241 $false .sym 6242 $false +.sym 6243 $abc$51270$n871$2 +.sym 6244 CLK$2$2 +.sym 6245 $abc$51270$n35$2 +.sym 6346 $abc$51270$n713 +.sym 6347 $abc$51270$n702 +.sym 6348 $abc$51270$n697 +.sym 6349 $abc$51270$n712 +.sym 6350 $abc$51270$n703 +.sym 6351 $abc$51270$n707 +.sym 6352 KEYBOARD.report[2][5] +.sym 6353 KEYBOARD.report[2][2] .sym 6418 KBD_COLUMNS[0]$2 .sym 6420 KBD_COLUMNS[1]$2 -.sym 6422 $abc$56607$n2246 -.sym 6423 KEYBOARD.kbd_code[2] -.sym 6425 KEYBOARD.row_counter[1] -.sym 6426 KEYBOARD.kbd_code[3] -.sym 6427 KEYBOARD.kbd_code[7] -.sym 6428 KEYBOARD.kbd_code[5] -.sym 6429 KEYBOARD.kbd_code[6] +.sym 6422 $abc$51270$n1344 +.sym 6423 $abc$51270$n698 +.sym 6424 $abc$51270$n1339 +.sym 6425 $abc$51270$n2208 +.sym 6426 KEYBOARD.temp[1] +.sym 6427 KEYBOARD.temp[3] +.sym 6428 KEYBOARD.temp[6] +.sym 6429 KEYBOARD.temp[0] .sym 6438 $false .sym 6439 $false +.sym 6440 $false .sym 6441 $false .sym 6442 $false .sym 6443 $false .sym 6444 $false .sym 6445 $false .sym 6446 $false +.sym 6447 $false +.sym 6448 $false .sym 6449 $false .sym 6450 $false$2 .sym 6451 $false @@ -5536,29 +5419,44 @@ .sym 6457 $false .sym 6458 $false .sym 6459 $false -.sym 6564 $abc$56607$n1249 -.sym 6565 $abc$56607$n2616 -.sym 6566 $abc$56607$n2049 -.sym 6567 I2C.i2c_bit_counter[2] +.sym 6560 $abc$51270$n718 +.sym 6561 $abc$51270$n720 +.sym 6562 $abc$51270$n1446 +.sym 6563 $abc$51270$n2312 +.sym 6564 $abc$51270$n2317 +.sym 6565 KEYBOARD.report[3][4] +.sym 6566 KEYBOARD.report[3][5] +.sym 6567 KEYBOARD.report[3][1] .sym 6576 KEYBOARD.kbd_code[0] .sym 6577 KEYBOARD.kbd_code[1] +.sym 6578 $false .sym 6579 KEYBOARD.kbd_code[2] .sym 6580 KEYBOARD.kbd_code[3] .sym 6581 KEYBOARD.kbd_code[4] .sym 6582 KEYBOARD.kbd_code[5] .sym 6583 KEYBOARD.kbd_code[6] .sym 6584 KEYBOARD.kbd_code[7] +.sym 6585 $false +.sym 6586 $false .sym 6587 CLK$2$2 .sym 6588 $true .sym 6589 $true$2 -.sym 6662 $abc$56607$n878 -.sym 6663 $abc$56607$n1268_1 -.sym 6664 $abc$56607$n1285 -.sym 6665 $abc$56607$n1291 -.sym 6666 $abc$56607$n1293 -.sym 6667 $abc$56607$n1319 -.sym 6668 $abc$56607$n1290_1 -.sym 6669 $abc$56607$n16 +.sym 6590 $false +.sym 6591 $false +.sym 6592 $false +.sym 6593 $false +.sym 6594 $false +.sym 6595 $false +.sym 6596 $false +.sym 6597 $false +.sym 6662 $abc$51270$n1417 +.sym 6663 $abc$51270$n1342 +.sym 6664 $abc$51270$n933 +.sym 6665 $abc$51270$n1333 +.sym 6666 $abc$51270$n1145 +.sym 6667 KEYBOARD.temp[5] +.sym 6668 KEYBOARD.temp[7] +.sym 6669 KEYBOARD.temp[4] .sym 6670 $undef .sym 6671 $undef .sym 6672 $undef @@ -5576,7 +5474,7 @@ .sym 6684 KEYBOARD.ram_adr[5] .sym 6685 KEYBOARD.ram_adr[6] .sym 6686 KEYBOARD.ram_adr[7] -.sym 6687 $false +.sym 6687 KEYBOARD.ram_adr[8] .sym 6688 $false .sym 6689 CLK$2$2 .sym 6690 KEYBOARD.ram_wr @@ -5589,14 +5487,14 @@ .sym 6697 KEYBOARD.temp[3] .sym 6698 $undef .sym 6699 $true$2 -.sym 6764 $abc$56607$n1314 -.sym 6765 $abc$56607$n1318 -.sym 6766 $abc$56607$n1322 -.sym 6767 $abc$56607$n1320_1 -.sym 6768 $abc$56607$n1267 -.sym 6769 $abc$56607$n1271 -.sym 6770 $abc$56607$n1317 -.sym 6771 I2C.wr +.sym 6764 $abc$51270$n1112_1 +.sym 6765 $abc$51270$n1113 +.sym 6766 $abc$51270$n1173 +.sym 6767 $abc$51270$n922 +.sym 6768 $abc$51270$n1111 +.sym 6769 $abc$51270$n1174 +.sym 6770 KEYBOARD.report[4][5] +.sym 6771 KEYBOARD.report[4][1] .sym 6772 $undef .sym 6773 $undef .sym 6774 $undef @@ -5614,7 +5512,7 @@ .sym 6786 KEYBOARD.ram_adr[5] .sym 6787 KEYBOARD.ram_adr[6] .sym 6788 KEYBOARD.ram_adr[7] -.sym 6789 $false +.sym 6789 KEYBOARD.ram_adr[8] .sym 6790 $false .sym 6791 CLK$2$2 .sym 6792 $true @@ -5627,4961 +5525,4918 @@ .sym 6799 $undef .sym 6800 KEYBOARD.temp[4] .sym 6801 $undef -.sym 6868 $abc$56607$n2495 -.sym 6869 $abc$56607$n872 -.sym 6870 KEYBOARD.ram_adr[1] -.sym 6871 KEYBOARD.ram_adr[0] -.sym 6872 KEYBOARD.ram_adr[2] -.sym 6873 KEYBOARD.ram_adr[3] -.sym 6970 $abc$56607$n2603 -.sym 6971 $abc$56607$n2605 -.sym 6972 $abc$56607$n1268 -.sym 6973 $abc$56607$n2604 -.sym 6974 $abc$56607$n887 -.sym 6975 I2C.received_byte[2] -.sym 7070 $abc$56607$n1264 -.sym 7071 $abc$56607$n1258 -.sym 7072 $abc$56607$n1261 -.sym 7073 $abc$56607$n1266 -.sym 7074 $abc$56607$n1265 -.sym 7075 $abc$56607$n1263 -.sym 7076 $abc$56607$n1262 -.sym 7077 I2C.received_byte[1] -.sym 7172 $abc$56607$n2509 -.sym 7174 $abc$56607$n1054 -.sym 7175 $abc$56607$n1459 -.sym 7176 I2C_OUT_DESC_MASK[3] -.sym 7177 I2C_OUT_DESC_MASK[6] -.sym 7179 I2C_OUT_DESC_MASK[1] -.sym 7274 COM_TX$2 -.sym 7275 $abc$56607$n663 -.sym 7276 $abc$56607$n2471 -.sym 7277 $abc$56607$n1046 -.sym 7278 $abc$56607$n1044 -.sym 7279 $abc$56607$n658 -.sym 7280 $abc$56607$n1048 -.sym 7281 $abc$56607$n10 -.sym 7290 $false -.sym 7291 $false -.sym 7293 $false -.sym 7294 $false -.sym 7295 $false -.sym 7296 $false -.sym 7297 $false -.sym 7298 $false -.sym 7301 $false -.sym 7302 $false$2 -.sym 7303 $false -.sym 7304 $false -.sym 7305 $false -.sym 7306 $false -.sym 7307 $false -.sym 7308 $false -.sym 7309 $false -.sym 7310 $false -.sym 7311 $false -.sym 7376 $abc$56607$n1050_1 -.sym 7377 $abc$56607$n662 -.sym 7378 $abc$56607$n659 -.sym 7379 $abc$56607$n1042 -.sym 7380 UART_TX_DATA[0] -.sym 7381 UART_TX_DATA[2] -.sym 7382 UART_TX_DATA[6] -.sym 7383 UART_TX_DATA[4] -.sym 7392 I2C_HID_DESC.real_adress[0] -.sym 7393 I2C_HID_DESC.real_adress[1] -.sym 7395 I2C_HID_DESC.real_adress[2] -.sym 7396 I2C_HID_DESC.real_adress[3] -.sym 7397 I2C_HID_DESC.real_adress[4] -.sym 7398 I2C_HID_DESC.real_adress[5] -.sym 7399 I2C_HID_DESC.real_adress[6] -.sym 7400 I2C_HID_DESC.real_adress[7] +.sym 6866 $abc$51270$n1117_1 +.sym 6867 $abc$51270$n1121 +.sym 6868 $abc$51270$n1463 +.sym 6869 $abc$51270$n1114 +.sym 6870 $abc$51270$n1514 +.sym 6871 $abc$51270$n1115 +.sym 6872 $abc$51270$n1148 +.sym 6873 KEYBOARD.report[4][2] +.sym 6968 $abc$51270$n1144 +.sym 6969 $abc$51270$n1141 +.sym 6970 $abc$51270$n1146 +.sym 6971 $abc$51270$n1398 +.sym 6972 $abc$51270$n1116 +.sym 6973 $abc$51270$n1125 +.sym 6974 report_data_wr[0] +.sym 6975 report_data_wr[2] +.sym 7070 $abc$51270$n680 +.sym 7071 $abc$51270$n1356 +.sym 7072 $abc$51270$n1360 +.sym 7073 $abc$51270$n1358 +.sym 7074 $abc$51270$n1350 +.sym 7075 KEYBOARD.report[1][5] +.sym 7076 KEYBOARD.report[1][1] +.sym 7077 KEYBOARD.report[1][0] +.sym 7086 $false +.sym 7087 $false +.sym 7088 $false +.sym 7089 $false +.sym 7090 $false +.sym 7091 $false +.sym 7092 $false +.sym 7093 $false +.sym 7094 $false +.sym 7095 $false +.sym 7096 $false +.sym 7097 $false +.sym 7098 $false$2 +.sym 7099 $false +.sym 7100 $false +.sym 7101 $false +.sym 7102 $false +.sym 7103 $false +.sym 7104 $false +.sym 7105 $false +.sym 7106 $false +.sym 7107 $false +.sym 7172 $abc$51270$n1129 +.sym 7173 $abc$51270$n1120 +.sym 7174 $abc$51270$n678 +.sym 7175 $abc$51270$n2362 +.sym 7176 $abc$51270$n1130 +.sym 7177 $abc$51270$n1048 +.sym 7178 $abc$51270$n683 +.sym 7179 KEYBOARD.ram_adr[3] +.sym 7188 I2C_HID_DESC.real_adress[0] +.sym 7189 I2C_HID_DESC.real_adress[1] +.sym 7190 $false +.sym 7191 I2C_HID_DESC.real_adress[2] +.sym 7192 I2C_HID_DESC.real_adress[3] +.sym 7193 I2C_HID_DESC.real_adress[4] +.sym 7194 I2C_HID_DESC.real_adress[5] +.sym 7195 I2C_HID_DESC.real_adress[6] +.sym 7196 I2C_HID_DESC.real_adress[7] +.sym 7197 $false +.sym 7198 $false +.sym 7199 CLK$2$2 +.sym 7200 $true +.sym 7201 $true$2 +.sym 7202 $false +.sym 7203 $false +.sym 7204 $false +.sym 7205 $false +.sym 7206 $false +.sym 7207 $false +.sym 7208 $false +.sym 7209 $false +.sym 7276 $abc$51270$n1058 +.sym 7277 $abc$51270$n1056 +.sym 7278 $abc$51270$n1467 +.sym 7280 $abc$51270$n1388 +.sym 7281 report_data_wr[1] +.sym 7282 $undef +.sym 7283 $undef +.sym 7284 $undef +.sym 7285 $undef +.sym 7286 $undef +.sym 7287 $undef +.sym 7288 $undef +.sym 7289 $undef +.sym 7290 report_data_wadr[0] +.sym 7291 report_data_wadr[1] +.sym 7292 $false +.sym 7293 report_data_wadr[2] +.sym 7294 report_data_wadr[3] +.sym 7295 report_data_wadr[4] +.sym 7296 report_data_wadr[5] +.sym 7297 report_data_wadr[6] +.sym 7298 report_data_wadr[7] +.sym 7299 $false +.sym 7300 $false +.sym 7301 CLK$2$2 +.sym 7302 report_wr_en +.sym 7303 report_data_wr[0] +.sym 7304 $undef +.sym 7305 report_data_wr[1] +.sym 7306 $undef +.sym 7307 report_data_wr[2] +.sym 7308 $undef +.sym 7309 report_data_wr[3] +.sym 7310 $undef +.sym 7311 $true$2 +.sym 7380 $abc$51270$n2425 +.sym 7381 $abc$51270$n214 +.sym 7382 $abc$51270$n218 +.sym 7383 last_uart_active +.sym 7384 $undef +.sym 7385 $undef +.sym 7386 $undef +.sym 7387 $undef +.sym 7388 $undef +.sym 7389 $undef +.sym 7390 $undef +.sym 7391 $undef +.sym 7392 report_data_radr[0] +.sym 7393 report_data_radr[1] +.sym 7394 $false +.sym 7395 report_data_radr[2] +.sym 7396 report_data_radr[3] +.sym 7397 report_data_radr[4] +.sym 7398 report_data_radr[5] +.sym 7399 report_data_radr[6] +.sym 7400 report_data_radr[7] +.sym 7401 $false +.sym 7402 $false .sym 7403 CLK$2$2 .sym 7404 $true .sym 7405 $true$2 -.sym 7478 $abc$56607$n665 -.sym 7479 $abc$56607$n861 -.sym 7480 $abc$56607$n2608 -.sym 7481 $abc$56607$n857 -.sym 7482 $abc$56607$n664 -.sym 7483 $abc$56607$n858 -.sym 7484 UART_TX_DATA[1] -.sym 7485 UART_TX_DATA[3] -.sym 7486 $undef -.sym 7487 $undef -.sym 7488 $undef -.sym 7489 $undef -.sym 7490 $undef -.sym 7491 $undef -.sym 7492 $undef -.sym 7493 $undef -.sym 7494 report_data_wadr[0] -.sym 7495 report_data_wadr[1] -.sym 7496 $false -.sym 7497 report_data_wadr[2] -.sym 7498 report_data_wadr[3] -.sym 7499 report_data_wadr[4] -.sym 7500 report_data_wadr[5] -.sym 7501 report_data_wadr[6] -.sym 7502 report_data_wadr[7] -.sym 7503 $false -.sym 7504 $false -.sym 7505 CLK$2$2 -.sym 7506 report_wr_en -.sym 7507 report_data_wr[0] -.sym 7508 $undef -.sym 7509 report_data_wr[1] -.sym 7510 $undef -.sym 7511 report_data_wr[2] -.sym 7512 $undef -.sym 7513 report_data_wr[3] -.sym 7514 $undef -.sym 7515 $true$2 -.sym 7580 $abc$56607$n280 -.sym 7581 $abc$56607$n999 -.sym 7582 $abc$56607$n1039 -.sym 7583 $abc$56607$n1465 -.sym 7584 $abc$56607$n273 -.sym 7585 $abc$56607$n277 -.sym 7586 $abc$56607$n282 -.sym 7587 report_data_radr[0] -.sym 7588 $undef -.sym 7589 $undef -.sym 7590 $undef -.sym 7591 $undef -.sym 7592 $undef -.sym 7593 $undef -.sym 7594 $undef -.sym 7595 $undef -.sym 7596 report_data_radr[0] -.sym 7597 report_data_radr[1] -.sym 7598 $false -.sym 7599 report_data_radr[2] -.sym 7600 report_data_radr[3] -.sym 7601 report_data_radr[4] -.sym 7602 report_data_radr[5] -.sym 7603 report_data_radr[6] -.sym 7604 report_data_radr[7] -.sym 7605 $false -.sym 7606 $false -.sym 7607 CLK$2$2 -.sym 7608 $true -.sym 7609 $true$2 -.sym 7610 report_data_wr[5] -.sym 7611 $undef -.sym 7612 report_data_wr[6] -.sym 7613 $undef -.sym 7614 report_data_wr[7] -.sym 7615 $undef -.sym 7616 report_data_wr[4] -.sym 7617 $undef -.sym 7683 $abc$56607$n279 -.sym 7688 COM_DSR$2 -.sym 7689 report_data_radr[1] -.sym 7785 $10\ring_rd[3:0][2] -.sym 7787 $10\ring_rd[3:0][3] -.sym 7789 I2C.FLT_SCL.out -.sym 7888 $abc$56607$n1639 -.sym 7889 $abc$56607$n1009 -.sym 7890 $abc$56607$n1511 -.sym 7892 $abc$56607$n2484 -.sym 7893 I2C.FLT_SCL.counter[2] -.sym 8118 $abc$56607$n1343 -.sym 8119 $abc$56607$n1344 -.sym 8120 $abc$56607$n691 -.sym 8122 $abc$56607$n696 -.sym 8123 $abc$56607$n1492_1 -.sym 8124 KEYBOARD.temp[6] -.sym 8125 KEYBOARD.temp[5] +.sym 7406 report_data_wr[5] +.sym 7407 $undef +.sym 7408 report_data_wr[6] +.sym 7409 $undef +.sym 7410 report_data_wr[7] +.sym 7411 $undef +.sym 7412 report_data_wr[4] +.sym 7413 $undef +.sym 7479 $abc$51270$n1906 +.sym 7480 $abc$51270$n1909 +.sym 7481 $abc$51270$n1912 +.sym 7482 $abc$51270$n1224 +.sym 7483 $abc$51270$n1222 +.sym 7484 report_data_wadr[6] +.sym 7485 report_data_wadr[5] +.sym 7581 $6\report_data_wadr[7:0][5] +.sym 7582 $6\report_data_wadr[7:0][6] +.sym 7583 $6\report_data_wadr[7:0][7] +.sym 7584 $abc$51270$n1213 +.sym 7585 $abc$51270$n2522 +.sym 7586 $abc$51270$n1211 +.sym 7587 report_data_wadr[4] +.sym 7682 $abc$51270$n1226 +.sym 7683 $abc$51270$n1207 +.sym 7684 $abc$51270$n1928 +.sym 7685 $abc$51270$n2419 +.sym 7686 $abc$51270$n1924 +.sym 7687 report_data_wadr[3] +.sym 7689 report_data_wadr[7] +.sym 7786 $abc$51270$n1922 +.sym 7787 $auto$alumacc.cc:474:replace_alu$9609.C[4] +.sym 7788 $auto$alumacc.cc:474:replace_alu$9609.C[5] +.sym 7789 $auto$alumacc.cc:474:replace_alu$9609.C[6] +.sym 7790 $abc$51270$n1930 +.sym 7791 $abc$51270$n1926 +.sym 7888 init_ram_cnt[2] +.sym 7889 init_ram_cnt[3] +.sym 7890 init_ram_cnt[4] +.sym 7891 init_ram_cnt[5] +.sym 7892 init_ram_cnt[6] +.sym 7893 init_ram_cnt[7] +.sym 8118 $abc$51270$n708 +.sym 8119 $abc$51270$n704 +.sym 8121 $abc$51270$n2249 +.sym 8122 KEYBOARD.COLS_SHADOW[6] +.sym 8123 KEYBOARD.COLS_SHADOW[3] +.sym 8124 KEYBOARD.COLS_SHADOW[1] +.sym 8125 KEYBOARD.COLS_SHADOW[2] +.sym 8193 $abc$51270$n383 +.sym 8194 $abc$51270$n394 +.sym 8195 $abc$51270$n396 +.sym 8196 $false +.sym 8199 KEYBOARD.COLS_SHADOW[6] +.sym 8200 $abc$51270$n705 +.sym 8201 $abc$51270$n706 +.sym 8202 $abc$51270$n703 +.sym 8205 $abc$51270$n698 +.sym 8206 $abc$51270$n702 +.sym 8207 $abc$51270$n707 +.sym 8208 $false +.sym 8211 KEYBOARD.COLS_SHADOW[1] +.sym 8212 $abc$51270$n713 +.sym 8213 $false +.sym 8214 $false +.sym 8217 $abc$51270$n704 +.sym 8218 KEYBOARD.COLS_SHADOW[7] +.sym 8219 $abc$51270$n700 +.sym 8220 KBD_COLUMNS[0]$2 +.sym 8223 $abc$51270$n708 +.sym 8224 $abc$51270$n710 +.sym 8225 $abc$51270$n712 +.sym 8226 $false +.sym 8229 $abc$51270$n2316 +.sym 8230 $false +.sym 8231 $false +.sym 8232 $false +.sym 8235 $abc$51270$n2313 +.sym 8236 $false +.sym 8237 $false +.sym 8238 $false +.sym 8239 $abc$51270$n1365 +.sym 8240 CLK$2$2 +.sym 8241 $abc$51270$n35$2 .sym 8242 KBD_COLUMNS[2]$2 .sym 8244 KBD_COLUMNS[3]$2 -.sym 8246 $abc$56607$n1490_1 -.sym 8247 $abc$56607$n1347 -.sym 8248 $abc$56607$n1341 -.sym 8249 $abc$56607$n1349 -.sym 8250 $abc$56607$n2273 -.sym 8251 $abc$56607$n2287 -.sym 8252 KEYBOARD.kbd_code[1] -.sym 8253 KEYBOARD.kbd_code[0] -.sym 8356 $abc$56607$n33$2 -.sym 8357 KEYBOARD.row_counter[0] -.sym 8358 KEYBOARD.row_counter[1] +.sym 8246 $abc$51270$n2333 +.sym 8247 $abc$51270$n2334 +.sym 8248 $abc$51270$n709 +.sym 8249 $abc$51270$n1011 +.sym 8250 $abc$51270$n1629 +.sym 8251 I2C.FLT_SDA.counter[1] +.sym 8252 I2C.FLT_SDA.counter[0] +.sym 8253 I2C.FLT_SDA.counter[2] +.sym 8356 KEYBOARD.temp[6] +.sym 8357 KEYBOARD.RAM.r_data[6] +.sym 8358 $abc$51270$n700 .sym 8359 $false -.sym 8362 $abc$56607$n2273 -.sym 8363 $false +.sym 8362 $abc$51270$n2249 +.sym 8363 $abc$51270$n701 .sym 8364 $false .sym 8365 $false -.sym 8374 $abc$56607$n2246 -.sym 8375 $false -.sym 8376 $false +.sym 8368 KEYBOARD.temp[1] +.sym 8369 KEYBOARD.RAM.r_data[1] +.sym 8370 $abc$51270$n713 +.sym 8371 $abc$51270$n700 +.sym 8374 $abc$51270$n1001 +.sym 8375 KEYBOARD.row_counter[0] +.sym 8376 KEYBOARD.row_counter[1] .sym 8377 $false -.sym 8380 $abc$56607$n2287 -.sym 8381 $abc$56607$n2244 +.sym 8380 $abc$51270$n712 +.sym 8381 $abc$51270$n1339 .sym 8382 $false .sym 8383 $false -.sym 8386 $abc$56607$n2287 -.sym 8387 $false -.sym 8388 $false +.sym 8386 $abc$51270$n721 +.sym 8387 $abc$51270$n709 +.sym 8388 $abc$51270$n708 .sym 8389 $false -.sym 8392 $abc$56607$n2287 -.sym 8393 $abc$56607$n2248 -.sym 8394 $false +.sym 8392 $abc$51270$n1344 +.sym 8393 $abc$51270$n697 +.sym 8394 $abc$51270$n705 .sym 8395 $false -.sym 8398 $abc$56607$n2287 -.sym 8399 $abc$56607$n2250 -.sym 8400 $false +.sym 8398 KEYBOARD.temp[0] +.sym 8399 KBD_COLUMNS[0]$2 +.sym 8400 $abc$51270$n700 .sym 8401 $false -.sym 8402 $abc$56607$n1490$2 +.sym 8402 $abc$51270$n1417 .sym 8403 CLK$2$2 -.sym 8404 $false -.sym 8405 $abc$56607$n702 -.sym 8406 $abc$56607$n726 -.sym 8407 $abc$56607$n703 -.sym 8408 $abc$56607$n692 -.sym 8409 $abc$56607$n685 -.sym 8410 $abc$56607$n708 -.sym 8411 KEYBOARD.temp[2] -.sym 8412 KEYBOARD.temp[4] -.sym 8441 $true -.sym 8478 $abc$56607$n2615$2 -.sym 8479 $false -.sym 8480 $abc$56607$n2615 -.sym 8481 $false -.sym 8482 $false -.sym 8484 $auto$alumacc.cc:470:replace_alu$12064.C[2] -.sym 8486 $false -.sym 8487 $abc$56607$n2530 -.sym 8490 $auto$alumacc.cc:470:replace_alu$12064.C[3] -.sym 8492 $false -.sym 8493 $abc$56607$n2616 -.sym 8496 $abc$56607$n1249$2 -.sym 8498 $false -.sym 8499 $abc$56607$n2617 -.sym 8506 $abc$56607$n1249$2 -.sym 8509 $abc$56607$n2049 +.sym 8404 $abc$51270$n33$2 +.sym 8405 $abc$51270$n975 +.sym 8406 $abc$51270$n917_1 +.sym 8407 $abc$51270$n2314 +.sym 8408 $abc$51270$n977 +.sym 8409 $abc$51270$n1147 +.sym 8410 $abc$51270$n1122 +.sym 8411 KEYBOARD.report[3][0] +.sym 8412 KEYBOARD.report[3][7] +.sym 8479 KEYBOARD.temp[7] +.sym 8480 $abc$51270$n704 +.sym 8481 $abc$51270$n719 +.sym 8482 $abc$51270$n720 +.sym 8485 $abc$51270$n705 +.sym 8486 KEYBOARD.temp[6] +.sym 8487 $abc$51270$n700 +.sym 8488 KEYBOARD.RAM.r_data[0] +.sym 8491 KEYBOARD.temp[4] +.sym 8492 KEYBOARD.temp[5] +.sym 8493 $abc$51270$n383 +.sym 8494 $abc$51270$n396 +.sym 8497 $abc$51270$n27 +.sym 8498 KEYBOARD.kbd_code_hid[1] +.sym 8499 $false +.sym 8500 $false +.sym 8503 $abc$51270$n27 +.sym 8504 KEYBOARD.kbd_code_hid[6] +.sym 8505 $false +.sym 8506 $false +.sym 8509 $abc$51270$n2315 .sym 8510 $false .sym 8511 $false .sym 8512 $false -.sym 8515 $abc$56607$n2043 -.sym 8516 I2C.i2c_bit_counter[2] +.sym 8515 $abc$51270$n2316 +.sym 8516 $false .sym 8517 $false .sym 8518 $false -.sym 8521 $abc$56607$n1290_1 -.sym 8522 $abc$56607$n2049 -.sym 8523 $abc$56607$n1293 -.sym 8524 $abc$56607$n360 -.sym 8525 $true +.sym 8521 $abc$51270$n2312 +.sym 8522 $false +.sym 8523 $false +.sym 8524 $false +.sym 8525 $abc$51270$n1354 .sym 8526 CLK$2$2 -.sym 8527 $false -.sym 8528 $abc$56607$n722 -.sym 8529 $abc$56607$n1282 -.sym 8530 $abc$56607$n719 -.sym 8531 $abc$56607$n1470 -.sym 8532 $abc$56607$n717 -.sym 8533 $abc$56607$n1292 -.sym 8534 KEYBOARD.ram_wr -.sym 8602 $abc$56607$n1249 -.sym 8603 $abc$56607$n16 -.sym 8604 I2C.FLT_SCL.out +.sym 8527 $abc$51270$n35$2 +.sym 8528 $abc$51270$n930 +.sym 8529 $abc$51270$n931 +.sym 8530 $abc$51270$n927 +.sym 8531 $abc$51270$n928 +.sym 8532 $abc$51270$n926 +.sym 8533 $abc$51270$n932 +.sym 8534 $abc$51270$n934_1 +.sym 8535 KEYBOARD.ram_wr +.sym 8602 KEYBOARD.init_ram_cnt[8] +.sym 8603 $abc$51270$n29 +.sym 8604 $abc$51270$n1320 .sym 8605 $false -.sym 8608 I2C.FLT_SCL.out -.sym 8609 $abc$56607$n16 -.sym 8610 $false -.sym 8611 $false -.sym 8614 $abc$56607$n1271 -.sym 8615 I2C.FLT_SCL.out -.sym 8616 $abc$56607$n16 -.sym 8617 $abc$56607$n1249 -.sym 8620 $abc$56607$n1292 -.sym 8621 $abc$56607$n878 -.sym 8622 $abc$56607$n875 -.sym 8623 $abc$56607$n877 -.sym 8626 $abc$56607$n1267 -.sym 8627 $abc$56607$n878 -.sym 8628 $abc$56607$n875 -.sym 8629 $abc$56607$n877 -.sym 8632 $abc$56607$n1249 -.sym 8633 I2C.FLT_SCL.out -.sym 8634 $abc$56607$n16 -.sym 8635 $abc$56607$n1271 -.sym 8638 $abc$56607$n1267 -.sym 8639 $abc$56607$n1285 -.sym 8640 $abc$56607$n875 -.sym 8641 $abc$56607$n1291 -.sym 8644 I2C.FLT_SCL.out -.sym 8645 $false -.sym 8646 $false -.sym 8647 $false -.sym 8648 $true +.sym 8608 KEYBOARD.temp[5] +.sym 8609 KEYBOARD.RAM.r_data[5] +.sym 8610 $abc$51270$n711 +.sym 8611 $abc$51270$n700 +.sym 8614 KEYBOARD.kbd_code_hid[4] +.sym 8615 KEYBOARD.report[5][4] +.sym 8616 KEYBOARD.kbd_code_hid[5] +.sym 8617 KEYBOARD.report[5][5] +.sym 8620 $abc$51270$n396 +.sym 8621 $abc$51270$n394 +.sym 8622 KEYBOARD.temp[4] +.sym 8623 $false +.sym 8626 $abc$51270$n1115 +.sym 8627 KEYBOARD.report[2][2] +.sym 8628 $abc$51270$n1113 +.sym 8629 KEYBOARD.report[5][2] +.sym 8632 $abc$51270$n710 +.sym 8633 $abc$51270$n1342 +.sym 8634 $false +.sym 8635 $false +.sym 8638 KEYBOARD.COLS_SHADOW[7] +.sym 8639 $abc$51270$n1337 +.sym 8640 $abc$51270$n704 +.sym 8641 $false +.sym 8644 KEYBOARD.RAM.r_data[4] +.sym 8645 $abc$51270$n700 +.sym 8646 $abc$51270$n1333 +.sym 8647 $abc$51270$n706 +.sym 8648 $abc$51270$n1417 .sym 8649 CLK$2$2 -.sym 8650 $false -.sym 8651 $abc$56607$n1281 -.sym 8652 $abc$56607$n1280 -.sym 8653 $abc$56607$n1270 -.sym 8654 $abc$56607$n1272 -.sym 8655 $abc$56607$n1260 -.sym 8656 I2C.is_ack -.sym 8657 $abc$56607$n14 -.sym 8658 I2C.SDA_DIR -.sym 8725 $abc$56607$n1249 -.sym 8726 $abc$56607$n875 -.sym 8727 $abc$56607$n1315_1 -.sym 8728 $abc$56607$n1271 -.sym 8731 $abc$56607$n875 -.sym 8732 $abc$56607$n1319 -.sym 8733 $abc$56607$n1320_1 -.sym 8734 $abc$56607$n1321 -.sym 8737 $abc$56607$n1286 -.sym 8738 $abc$56607$n2583 -.sym 8739 $abc$56607$n875 -.sym 8740 $abc$56607$n1285 -.sym 8743 $abc$56607$n1271 -.sym 8744 $abc$56607$n875 -.sym 8745 $abc$56607$n1268_1 -.sym 8746 $abc$56607$n1249 -.sym 8749 $abc$56607$n1249 -.sym 8750 $abc$56607$n1268_1 -.sym 8751 $false -.sym 8752 $false -.sym 8755 $abc$56607$n2043 -.sym 8756 I2C.is_ack -.sym 8757 $false -.sym 8758 $false -.sym 8761 $abc$56607$n1318 -.sym 8762 $abc$56607$n1322 -.sym 8763 $abc$56607$n877 +.sym 8650 $abc$51270$n33$2 +.sym 8651 $abc$51270$n1172 +.sym 8652 $abc$51270$n1133 +.sym 8653 $abc$51270$n1176 +.sym 8654 $abc$51270$n1175 +.sym 8655 $abc$51270$n1124 +.sym 8656 $abc$51270$n1123 +.sym 8657 KEYBOARD.report[5][7] +.sym 8658 KEYBOARD.report[5][1] +.sym 8725 $abc$51270$n1115 +.sym 8726 KEYBOARD.report[2][0] +.sym 8727 $abc$51270$n1113 +.sym 8728 KEYBOARD.report[5][0] +.sym 8731 $abc$51270$n1114 +.sym 8732 $abc$51270$n260 +.sym 8733 $false +.sym 8734 $false +.sym 8737 KEYBOARD.report[2][5] +.sym 8738 $abc$51270$n1115 +.sym 8739 $abc$51270$n1174 +.sym 8740 $false +.sym 8743 KEYBOARD.report[4][0] +.sym 8744 KEYBOARD.report[4][1] +.sym 8745 KEYBOARD.report[4][2] +.sym 8746 KEYBOARD.report[4][3] +.sym 8749 $abc$51270$n1112_1 +.sym 8750 $abc$51270$n1116 +.sym 8751 $abc$51270$n1119_1 +.sym 8752 $abc$51270$n1122 +.sym 8755 KEYBOARD.report[1][5] +.sym 8756 KEYBOARD.report[5][5] +.sym 8757 $abc$51270$n260 +.sym 8758 $abc$51270$n1114 +.sym 8761 $abc$51270$n2316 +.sym 8762 $false +.sym 8763 $false .sym 8764 $false -.sym 8767 I2C.i2c_state_machine -.sym 8768 $abc$56607$n1321 -.sym 8769 $abc$56607$n1317 +.sym 8767 $abc$51270$n2312 +.sym 8768 $false +.sym 8769 $false .sym 8770 $false -.sym 8771 $true +.sym 8771 $abc$51270$n1325 .sym 8772 CLK$2$2 -.sym 8773 $false -.sym 8774 $abc$56607$n1288 -.sym 8775 $abc$56607$n1269 -.sym 8776 $abc$56607$n1284 -.sym 8777 $abc$56607$n1274 -.sym 8778 $abc$56607$n1462 -.sym 8779 $abc$56607$n1273 -.sym 8780 $abc$56607$n1286 -.sym 8781 I2C.i2c_state_machine -.sym 8810 $true -.sym 8847 $abc$56607$n2621$3 -.sym 8848 $false -.sym 8849 $abc$56607$n2621 +.sym 8773 $abc$51270$n35$2 +.sym 8774 $abc$51270$n994 +.sym 8775 $abc$51270$n1503_1 +.sym 8776 $abc$51270$n1494_1 +.sym 8777 $abc$51270$n1134 +.sym 8778 $abc$51270$n1492 +.sym 8779 $abc$51270$n905 +.sym 8780 $abc$51270$n1500_1 +.sym 8781 KEYBOARD.report[1][7] +.sym 8848 $abc$51270$n260 +.sym 8849 $abc$51270$n1114 .sym 8850 $false .sym 8851 $false -.sym 8853 $auto$alumacc.cc:470:replace_alu$12111.C[2] -.sym 8855 $false -.sym 8856 $abc$56607$n2518 -.sym 8860 $false -.sym 8861 $false -.sym 8862 $abc$56607$n2604 -.sym 8863 $auto$alumacc.cc:470:replace_alu$12111.C[2] -.sym 8866 $abc$56607$n360 -.sym 8867 $abc$56607$n873_1 -.sym 8868 $false +.sym 8854 $abc$51270$n253 +.sym 8855 wr_cnt[0] +.sym 8856 wr_cnt[1] +.sym 8857 $abc$51270$n260 +.sym 8860 KEYBOARD.kbd_code_hid[5] +.sym 8861 KEYBOARD.report[1][5] +.sym 8862 KEYBOARD.kbd_code_hid[6] +.sym 8863 KEYBOARD.report[1][6] +.sym 8866 wr_cnt[0] +.sym 8867 wr_cnt[1] +.sym 8868 $abc$51270$n253 .sym 8869 $false -.sym 8872 KEYBOARD.init_ram_cnt[1] -.sym 8873 KEYBOARD.row_counter[0] -.sym 8874 KEYBOARD.row_counter[1] -.sym 8875 KEYBOARD.init_ram_cnt[8] -.sym 8878 $abc$56607$n2231 -.sym 8879 KEYBOARD.init_ram_cnt[0] -.sym 8880 KEYBOARD.init_ram_cnt[8] -.sym 8881 $false -.sym 8884 $abc$56607$n2237 -.sym 8885 KEYBOARD.init_ram_cnt[2] -.sym 8886 KEYBOARD.init_ram_cnt[8] -.sym 8887 $false -.sym 8890 $abc$56607$n28 -.sym 8891 KEYBOARD.init_ram_cnt[3] -.sym 8892 KEYBOARD.init_ram_cnt[8] +.sym 8872 $false +.sym 8873 UART.tx_clk_counter[0] +.sym 8874 $false +.sym 8875 $false +.sym 8878 $abc$51270$n253 +.sym 8879 $abc$51270$n260 +.sym 8880 wr_cnt[0] +.sym 8881 wr_cnt[1] +.sym 8884 $abc$51270$n1118 +.sym 8885 KEYBOARD.report[0][2] +.sym 8886 $abc$51270$n1121 +.sym 8887 KEYBOARD.report[4][2] +.sym 8890 $abc$51270$n2313 +.sym 8891 $false +.sym 8892 $false .sym 8893 $false -.sym 8894 $abc$56607$n1462 +.sym 8894 $abc$51270$n1325 .sym 8895 CLK$2$2 -.sym 8896 $false -.sym 8897 $abc$56607$n898 -.sym 8898 $abc$56607$n899 -.sym 8899 $abc$56607$n25 -.sym 8900 $abc$56607$n2519 -.sym 8901 UART.tx_bit_counter[2] -.sym 8902 UART.tx_bit_counter[3] -.sym 8903 UART.tx_bit_counter[0] -.sym 8904 UART.tx_bit_counter[1] -.sym 8933 $true -.sym 8970 UART.tx_bit_counter[0]$2 -.sym 8971 $false -.sym 8972 UART.tx_bit_counter[0] -.sym 8973 $false -.sym 8974 $false -.sym 8976 $auto$alumacc.cc:470:replace_alu$12200.C[2] -.sym 8978 UART.tx_bit_counter[1] -.sym 8979 $true$2 -.sym 8982 $auto$alumacc.cc:470:replace_alu$12200.C[3] -.sym 8983 $false -.sym 8984 UART.tx_bit_counter[2] -.sym 8985 $true$2 -.sym 8986 $auto$alumacc.cc:470:replace_alu$12200.C[2] -.sym 8989 $false -.sym 8990 UART.tx_bit_counter[3] -.sym 8991 $true$2 -.sym 8992 $auto$alumacc.cc:470:replace_alu$12200.C[3] -.sym 8995 $abc$56607$n880 -.sym 8996 $abc$56607$n358 -.sym 8997 $abc$56607$n872 -.sym 8998 $false -.sym 9001 $abc$56607$n2603 -.sym 9002 $false -.sym 9003 $false +.sym 8896 $abc$51270$n35$2 +.sym 8897 $abc$51270$n904 +.sym 8898 $abc$51270$n1493_1 +.sym 8899 $abc$51270$n906 +.sym 8900 $abc$51270$n1132 +.sym 8901 $abc$51270$n1159_1 +.sym 8902 $abc$51270$n1466 +.sym 8903 UART_TX_DATA[2] +.sym 8904 UART_TX_DATA[6] +.sym 8971 $abc$51270$n1145 +.sym 8972 $abc$51270$n1146 +.sym 8973 $abc$51270$n1147 +.sym 8974 $abc$51270$n1148 +.sym 8977 KEYBOARD.report[0][2] +.sym 8978 KEYBOARD.report[1][2] +.sym 8979 $abc$51270$n1128 +.sym 8980 $false +.sym 8983 KEYBOARD.report[1][2] +.sym 8984 $abc$51270$n1117_1 +.sym 8985 $abc$51270$n1120 +.sym 8986 $false +.sym 8989 KEYBOARD.init_ram_cnt[8] +.sym 8990 $abc$51270$n1001 +.sym 8991 $abc$51270$n1320 +.sym 8992 $false +.sym 8995 $abc$51270$n1118 +.sym 8996 KEYBOARD.report[0][0] +.sym 8997 $abc$51270$n1117_1 +.sym 8998 KEYBOARD.report[1][0] +.sym 9001 KEYBOARD.report[0][0] +.sym 9002 KEYBOARD.report[1][0] +.sym 9003 $abc$51270$n1128 .sym 9004 $false -.sym 9007 $abc$56607$n873_1 -.sym 9008 $abc$56607$n360 -.sym 9009 $false -.sym 9010 $false -.sym 9013 I2C.FLT_SDA.out -.sym 9014 $false -.sym 9015 $false -.sym 9016 $false -.sym 9017 $abc$56607$n1268 +.sym 9007 $abc$51270$n1120 +.sym 9008 $abc$51270$n1125 +.sym 9009 $abc$51270$n1111 +.sym 9010 $abc$51270$n1129 +.sym 9013 $abc$51270$n1120 +.sym 9014 $abc$51270$n1141 +.sym 9015 $abc$51270$n1144 +.sym 9016 $abc$51270$n1129 +.sym 9017 $abc$51270$n950$2 .sym 9018 CLK$2$2 -.sym 9019 $false -.sym 9020 $abc$56607$n1302 -.sym 9021 $abc$56607$n885 -.sym 9022 $abc$56607$n1278 -.sym 9024 $abc$56607$n1290 -.sym 9025 $abc$56607$n882 -.sym 9026 $abc$56607$n1297 -.sym 9027 I2C.received_byte[6] -.sym 9094 $abc$56607$n1265 -.sym 9095 $abc$56607$n1266 -.sym 9096 $abc$56607$n360 +.sym 9019 $abc$51270$n23$2 +.sym 9020 $abc$51270$n679 +.sym 9021 $abc$51270$n1475_1 +.sym 9022 KEYBOARD.report[0][2] +.sym 9023 KEYBOARD.report[0][6] +.sym 9024 KEYBOARD.report[0][5] +.sym 9025 KEYBOARD.report[0][1] +.sym 9026 KEYBOARD.report[0][7] +.sym 9027 KEYBOARD.report[0][4] +.sym 9094 $abc$51270$n2383 +.sym 9095 $abc$51270$n2384 +.sym 9096 $false .sym 9097 $false -.sym 9100 $abc$56607$n872 -.sym 9101 $abc$56607$n882 -.sym 9102 $false +.sym 9100 KEYBOARD.kbd_code_hid[1] +.sym 9101 KEYBOARD.kbd_code_hid[0] +.sym 9102 KEYBOARD.kbd_code_hid[2] .sym 9103 $false -.sym 9106 $abc$56607$n1262 -.sym 9107 $abc$56607$n1263 -.sym 9108 $abc$56607$n1264 -.sym 9109 $abc$56607$n1267 -.sym 9112 $abc$56607$n1050_1 -.sym 9113 $abc$56607$n1054 -.sym 9114 $abc$56607$n358 -.sym 9115 $abc$56607$n880 -.sym 9118 $abc$56607$n1042 -.sym 9119 $abc$56607$n1046 -.sym 9120 $abc$56607$n358 -.sym 9121 $abc$56607$n880 -.sym 9124 $abc$56607$n1048 -.sym 9125 $abc$56607$n1056 -.sym 9126 $abc$56607$n360 -.sym 9127 $abc$56607$n885 -.sym 9130 $abc$56607$n1044 -.sym 9131 $abc$56607$n1052 -.sym 9132 $abc$56607$n360 -.sym 9133 $abc$56607$n882 -.sym 9136 I2C.FLT_SDA.out +.sym 9106 KEYBOARD.kbd_code_hid[0] +.sym 9107 KEYBOARD.kbd_code_hid[1] +.sym 9108 KEYBOARD.kbd_code_hid[2] +.sym 9109 $false +.sym 9112 KEYBOARD.kbd_code_hid[0] +.sym 9113 KEYBOARD.kbd_code_hid[1] +.sym 9114 KEYBOARD.kbd_code_hid[2] +.sym 9115 $false +.sym 9118 KEYBOARD.kbd_code_hid[0] +.sym 9119 KEYBOARD.kbd_code_hid[2] +.sym 9120 KEYBOARD.kbd_code_hid[1] +.sym 9121 $false +.sym 9124 $abc$51270$n2316 +.sym 9125 $false +.sym 9126 $false +.sym 9127 $false +.sym 9130 $abc$51270$n2312 +.sym 9131 $false +.sym 9132 $false +.sym 9133 $false +.sym 9136 $abc$51270$n2311 .sym 9137 $false .sym 9138 $false .sym 9139 $false -.sym 9140 $abc$56607$n1258 +.sym 9140 $abc$51270$n1374 .sym 9141 CLK$2$2 -.sym 9142 $false -.sym 9143 report_data_wr[1] -.sym 9144 report_data_wr[6] -.sym 9145 report_data_wr[0] -.sym 9146 report_data_wr[4] -.sym 9147 report_data_wr[3] -.sym 9148 report_data_wr[5] -.sym 9149 report_data_wr[7] -.sym 9150 report_data_wr[2] -.sym 9217 $false -.sym 9218 $false -.sym 9219 $abc$56607$n2621 -.sym 9220 $true$2 -.sym 9229 I2C_HID_DESC.VAL[6] -.sym 9230 REPORT_DATA.r_data[6] -.sym 9231 I2C_OUT_DESC_MASK[6] -.sym 9232 $false -.sym 9235 $abc$56607$n1490$2 +.sym 9142 $abc$51270$n35$2 +.sym 9143 $abc$51270$n1169 +.sym 9144 $abc$51270$n1471 +.sym 9145 $abc$51270$n1170 +.sym 9146 report_data_wr[5] +.sym 9147 report_data_wr[7] +.sym 9148 report_data_wr[4] +.sym 9149 report_data_wr[3] +.sym 9150 report_data_wr[6] +.sym 9217 $abc$51270$n690 +.sym 9218 $abc$51270$n1130 +.sym 9219 $false +.sym 9220 $false +.sym 9223 wr_cnt[0] +.sym 9224 wr_cnt[2] +.sym 9225 wr_cnt[3] +.sym 9226 wr_cnt[1] +.sym 9229 $abc$51270$n682 +.sym 9230 $abc$51270$n679 +.sym 9231 $abc$51270$n2362 +.sym 9232 $abc$51270$n2348 +.sym 9235 $false .sym 9236 $false -.sym 9237 $false +.sym 9237 $abc$51270$n2451 .sym 9238 $false -.sym 9241 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9242 $false -.sym 9243 $false +.sym 9241 wr_cnt[2] +.sym 9242 wr_cnt[3] +.sym 9243 wr_cnt[0] .sym 9244 $false -.sym 9247 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9248 $false -.sym 9249 $false +.sym 9247 REPORT_DATA.r_data[2] +.sym 9248 I2C_HID_DESC.VAL[2] +.sym 9249 I2C_OUT_DESC_MASK[2] .sym 9250 $false -.sym 9259 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9260 $false -.sym 9261 $false +.sym 9253 $abc$51270$n685 +.sym 9254 $abc$51270$n684 +.sym 9255 $abc$51270$n2348 +.sym 9256 $abc$51270$n2362 +.sym 9259 KEYBOARD.init_ram_cnt[3] +.sym 9260 $abc$51270$n30 +.sym 9261 KEYBOARD.init_ram_cnt[8] .sym 9262 $false -.sym 9263 $abc$56607$n1191 +.sym 9263 $abc$51270$n1398 .sym 9264 CLK$2$2 -.sym 9265 $abc$56607$n35$2 -.sym 9266 $abc$56607$n1479 -.sym 9267 $abc$56607$n1093 -.sym 9268 $abc$56607$n751 -.sym 9269 $abc$56607$n1537 -.sym 9270 $abc$56607$n1097 -.sym 9271 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9272 I2C_OUTPUT_TYPE[2] -.sym 9273 I2C_OUTPUT_TYPE[1] -.sym 9340 $abc$56607$n10 -.sym 9341 $false -.sym 9342 $false -.sym 9343 $false -.sym 9346 $abc$56607$n664 -.sym 9347 $abc$56607$n665 -.sym 9348 $abc$56607$n2495 -.sym 9349 $abc$56607$n2509 -.sym 9352 $false -.sym 9353 $false -.sym 9354 I2C.byte_counter[0] +.sym 9265 $false +.sym 9266 $abc$51270$n1100 +.sym 9267 $abc$51270$n1095 +.sym 9268 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9269 I2C_OUT_DESC_MASK[7] +.sym 9270 I2C_OUTPUT_TYPE[1] +.sym 9271 I2C_OUT_DESC_MASK[6] +.sym 9272 I2C_OUT_DESC_MASK[3] +.sym 9273 I2C_OUTPUT_TYPE[2] +.sym 9352 REPORT_DATA.r_data[7] +.sym 9353 I2C_TX_DESC[7] +.sym 9354 I2C_OUT_DESC_MASK[7] .sym 9355 $false -.sym 9358 I2C_HID_DESC.VAL[2] -.sym 9359 REPORT_DATA.r_data[2] -.sym 9360 I2C_OUT_DESC_MASK[2] +.sym 9358 REPORT_DATA.r_data[6] +.sym 9359 I2C_TX_DESC[6] +.sym 9360 I2C_OUT_DESC_MASK[6] .sym 9361 $false -.sym 9364 I2C_HID_DESC.VAL[1] -.sym 9365 REPORT_DATA.r_data[1] -.sym 9366 I2C_OUT_DESC_MASK[1] -.sym 9367 $false -.sym 9370 $abc$56607$n659 -.sym 9371 $abc$56607$n662 -.sym 9372 $abc$56607$n2509 -.sym 9373 $abc$56607$n2495 -.sym 9376 I2C_HID_DESC.VAL[3] -.sym 9377 REPORT_DATA.r_data[3] -.sym 9378 I2C_OUT_DESC_MASK[3] +.sym 9364 wr_cnt[1] +.sym 9365 $abc$51270$n1466 +.sym 9366 wr_cnt[0] +.sym 9367 wr_cnt[3] +.sym 9376 KEYBOARD.init_ram_cnt[8] +.sym 9377 $abc$51270$n896_1 +.sym 9378 I2C.FLT_SCL.RESET .sym 9379 $false -.sym 9382 $abc$56607$n658 -.sym 9383 $abc$56607$n2229 -.sym 9384 $abc$56607$n663 -.sym 9385 UART.tx_activity -.sym 9386 $abc$56607$n1320 +.sym 9382 wr_cnt[2] +.sym 9383 $abc$51270$n1467 +.sym 9384 $abc$51270$n1132 +.sym 9385 $abc$51270$n690 +.sym 9386 $abc$51270$n950$2 .sym 9387 CLK$2$2 -.sym 9388 $false -.sym 9389 $abc$56607$n828 -.sym 9390 $abc$56607$n825 -.sym 9391 $abc$56607$n856 -.sym 9392 $abc$56607$n860 -.sym 9393 $abc$56607$n1512_1 -.sym 9394 $abc$56607$n1513 -.sym 9395 I2C_INPUT_LEN[0] -.sym 9396 I2C_INPUT_LEN[1] -.sym 9463 I2C_HID_DESC.VAL[4] -.sym 9464 REPORT_DATA.r_data[4] -.sym 9465 I2C_OUT_DESC_MASK[4] +.sym 9388 $abc$51270$n23$2 +.sym 9389 $abc$51270$n743 +.sym 9390 $abc$51270$n758 +.sym 9391 $abc$51270$n757 +.sym 9392 $abc$51270$n764 +.sym 9393 $abc$51270$n738 +.sym 9394 $abc$51270$n753 +.sym 9395 $abc$51270$n1102 +.sym 9396 I2C_OUTPUT_TYPE[0] +.sym 9425 $true +.sym 9462 $abc$51270$n218$2 +.sym 9463 $false +.sym 9464 $abc$51270$n218 +.sym 9465 $false .sym 9466 $false -.sym 9469 UART_TX_DATA[0] -.sym 9470 UART_TX_DATA[2] -.sym 9471 $abc$56607$n660 -.sym 9472 $false -.sym 9475 UART_TX_DATA[4] -.sym 9476 UART_TX_DATA[6] -.sym 9477 $abc$56607$n660 -.sym 9478 $false -.sym 9481 I2C_HID_DESC.VAL[0] -.sym 9482 REPORT_DATA.r_data[0] -.sym 9483 I2C_OUT_DESC_MASK[0] -.sym 9484 $false -.sym 9487 $abc$56607$n1042 -.sym 9488 I2C.received_byte[0] -.sym 9489 I2C.is_read -.sym 9490 $false -.sym 9493 $abc$56607$n1046 -.sym 9494 I2C.received_byte[2] -.sym 9495 I2C.is_read +.sym 9468 $auto$alumacc.cc:474:replace_alu$9552.C[2] +.sym 9470 $false +.sym 9471 $abc$51270$n217 +.sym 9474 $auto$alumacc.cc:474:replace_alu$9552.C[3] +.sym 9476 $true$2 +.sym 9477 $abc$51270$n2463 +.sym 9480 $abc$51270$n2425$2 +.sym 9482 $false +.sym 9483 $abc$51270$n214 +.sym 9490 $abc$51270$n2425$2 +.sym 9493 i2c_input_data_type[3] +.sym 9494 $false +.sym 9495 $false .sym 9496 $false -.sym 9499 $abc$56607$n1054 -.sym 9500 I2C.received_byte[6] -.sym 9501 I2C.is_read +.sym 9499 i2c_input_data_type[0] +.sym 9500 $false +.sym 9501 $false .sym 9502 $false -.sym 9505 $abc$56607$n1050_1 -.sym 9506 I2C.received_byte[4] -.sym 9507 I2C.is_read +.sym 9505 UART.tx_activity +.sym 9506 $false +.sym 9507 $false .sym 9508 $false -.sym 9509 $abc$56607$n888 +.sym 9509 $abc$51270$n1159 .sym 9510 CLK$2$2 -.sym 9511 $abc$56607$n19 -.sym 9512 $abc$56607$n829 -.sym 9513 $abc$56607$n827 -.sym 9514 $abc$56607$n1511_1 -.sym 9515 $abc$56607$n826 -.sym 9516 $abc$56607$n830 -.sym 9517 $abc$56607$n841 -.sym 9518 $abc$56607$n853 -.sym 9519 $abc$56607$n854 -.sym 9586 UART_TX_DATA[1] -.sym 9587 UART_TX_DATA[3] -.sym 9588 $abc$56607$n660 -.sym 9589 $false -.sym 9592 I2C_INPUT_LEN[2] -.sym 9593 I2C_INPUT_LEN[1] -.sym 9594 I2C_INPUT_LEN[0] -.sym 9595 I2C_INPUT_LEN[3] -.sym 9598 I2C_INPUT_LEN[2] -.sym 9599 $false +.sym 9511 $abc$51270$n35$2 +.sym 9512 $abc$51270$n763 +.sym 9513 $abc$51270$n796 +.sym 9514 $abc$51270$n1448 +.sym 9515 $abc$51270$n747 +.sym 9516 $abc$51270$n744 +.sym 9517 $2\UART_WR[0:0] +.sym 9518 $abc$51270$n1159 +.sym 9519 UART_WR +.sym 9548 $false +.sym 9585 $auto$maccmap.cc:240:synth$10566.C[5] +.sym 9587 $abc$51270$n2417 +.sym 9588 $abc$51270$n2419 +.sym 9591 $auto$maccmap.cc:240:synth$10566.C[6] +.sym 9592 $false +.sym 9593 $2\ring_wr[3:0][2] +.sym 9594 $abc$51270$n2512 +.sym 9595 $auto$maccmap.cc:240:synth$10566.C[5] +.sym 9597 $auto$maccmap.cc:240:synth$10566.C[7] +.sym 9598 $false +.sym 9599 $2\ring_wr[3:0][3] .sym 9600 $false -.sym 9601 $false -.sym 9604 I2C_INPUT_LEN[3] -.sym 9605 $abc$56607$n858 -.sym 9606 $abc$56607$n855 -.sym 9607 $false -.sym 9610 UART_TX_DATA[5] -.sym 9611 UART_TX_DATA[7] -.sym 9612 $abc$56607$n660 -.sym 9613 $false -.sym 9616 I2C_INPUT_LEN[2] -.sym 9617 I2C_INPUT_LEN[0] -.sym 9618 I2C_INPUT_LEN[1] -.sym 9619 $false -.sym 9622 $abc$56607$n1044 -.sym 9623 I2C.received_byte[1] -.sym 9624 I2C.is_read -.sym 9625 $false -.sym 9628 $abc$56607$n1048 -.sym 9629 I2C.received_byte[3] -.sym 9630 I2C.is_read -.sym 9631 $false -.sym 9632 $abc$56607$n888 +.sym 9601 $auto$maccmap.cc:240:synth$10566.C[6] +.sym 9604 $false +.sym 9605 $false +.sym 9606 $false +.sym 9607 $auto$maccmap.cc:240:synth$10566.C[7] +.sym 9610 $6\report_data_wadr[7:0][6] +.sym 9611 $abc$51270$n1909 +.sym 9612 $abc$51270$n690 +.sym 9613 $abc$51270$n22 +.sym 9616 $6\report_data_wadr[7:0][5] +.sym 9617 $abc$51270$n1906 +.sym 9618 $abc$51270$n690 +.sym 9619 $abc$51270$n22 +.sym 9622 $abc$51270$n1197 +.sym 9623 $abc$51270$n1928 +.sym 9624 $abc$51270$n22 +.sym 9625 $abc$51270$n1224 +.sym 9628 $abc$51270$n1197 +.sym 9629 $abc$51270$n1926 +.sym 9630 $abc$51270$n22 +.sym 9631 $abc$51270$n1222 +.sym 9632 $abc$51270$n950$2 .sym 9633 CLK$2$2 -.sym 9634 $abc$56607$n19 -.sym 9635 $abc$56607$n1180 -.sym 9636 $abc$56607$n1500 -.sym 9637 $abc$56607$n1499 -.sym 9638 $abc$56607$n745 -.sym 9639 $abc$56607$n988 -.sym 9640 $abc$56607$n788 -.sym 9641 $abc$56607$n19 -.sym 9642 last_wr -.sym 9709 I2C.received_byte[2] -.sym 9710 $false -.sym 9711 $false -.sym 9712 $false -.sym 9715 $abc$56607$n769 -.sym 9716 $abc$56607$n787 -.sym 9717 $false -.sym 9718 $false -.sym 9721 $abc$56607$n769 -.sym 9722 $abc$56607$n795 -.sym 9723 $abc$56607$n1465 -.sym 9724 $false -.sym 9727 $abc$56607$n21 -.sym 9728 report_data_radr[0] -.sym 9729 $abc$56607$n742 -.sym 9730 $false -.sym 9733 I2C.received_byte[7] -.sym 9734 $false -.sym 9735 $false -.sym 9736 $false -.sym 9739 I2C.received_byte[4] -.sym 9740 $false -.sym 9741 $false -.sym 9742 $false -.sym 9745 I2C.received_byte[1] -.sym 9746 $false +.sym 9634 $false +.sym 9635 $abc$51270$n2417 +.sym 9636 $abc$51270$n1220 +.sym 9637 $abc$51270$n2519 +.sym 9638 $abc$51270$n2512 +.sym 9639 $abc$51270$n784 +.sym 9640 $abc$51270$n2414 +.sym 9641 $abc$51270$n700 +.sym 9642 $abc$51270$n8 +.sym 9671 $false +.sym 9708 $auto$maccmap.cc:240:synth$10495.C[5] +.sym 9710 $abc$51270$n2414 +.sym 9711 $abc$51270$n2416 +.sym 9714 $auto$maccmap.cc:240:synth$10495.C[6] +.sym 9715 $false +.sym 9716 $abc$51270$n2519 +.sym 9717 $abc$51270$n2521 +.sym 9718 $auto$maccmap.cc:240:synth$10495.C[5] +.sym 9720 $auto$maccmap.cc:240:synth$10495.C[7] +.sym 9721 $false +.sym 9722 ring_wr[3] +.sym 9723 $abc$51270$n2522 +.sym 9724 $auto$maccmap.cc:240:synth$10495.C[6] +.sym 9727 $false +.sym 9728 $false +.sym 9729 $false +.sym 9730 $auto$maccmap.cc:240:synth$10495.C[7] +.sym 9733 $abc$51270$n1216 +.sym 9734 $abc$51270$n2417 +.sym 9735 $abc$51270$n2419 +.sym 9736 $abc$51270$n690 +.sym 9739 wr_cnt[3] +.sym 9740 ring_wr[1] +.sym 9741 ring_wr[3] +.sym 9742 ring_wr[2] +.sym 9745 wr_cnt[3] +.sym 9746 ring_wr[2] .sym 9747 $false .sym 9748 $false -.sym 9751 $false -.sym 9752 $true$2 -.sym 9753 report_data_radr[0] -.sym 9754 $false -.sym 9755 $abc$56607$n1050 +.sym 9751 $abc$51270$n1197 +.sym 9752 $abc$51270$n1924 +.sym 9753 $abc$51270$n1213 +.sym 9754 $abc$51270$n22 +.sym 9755 $abc$51270$n950$2 .sym 9756 CLK$2$2 -.sym 9757 $abc$56607$n21 -.sym 9760 $abc$56607$n1908 -.sym 9761 $abc$56607$n1911 -.sym 9762 $abc$56607$n49 -.sym 9763 $2\ring_wr[3:0][2] -.sym 9764 $abc$56607$n8 -.sym 9838 I2C.received_byte[3] -.sym 9839 $false -.sym 9840 $false -.sym 9841 $false -.sym 9868 $abc$56607$n8 -.sym 9869 $false -.sym 9870 $false -.sym 9871 $false -.sym 9874 $10\ring_rd[3:0][0] -.sym 9875 report_data_radr[1] -.sym 9876 $abc$56607$n21 -.sym 9877 $false -.sym 9878 $abc$56607$n1039 +.sym 9757 $false +.sym 9758 $abc$51270$n1201 +.sym 9759 $abc$51270$n1200_1 +.sym 9760 $abc$51270$n1204 +.sym 9761 $abc$51270$n1205_1 +.sym 9762 $abc$51270$n1203 +.sym 9763 report_data_wadr[1] +.sym 9765 report_data_wadr[2] +.sym 9832 $6\report_data_wadr[7:0][7] +.sym 9833 $abc$51270$n1912 +.sym 9834 $abc$51270$n690 +.sym 9835 $abc$51270$n22 +.sym 9838 $abc$51270$n690 +.sym 9839 $2\ring_wr[3:0][0] +.sym 9840 $2\ring_wr[3:0][2] +.sym 9841 $abc$51270$n1208 +.sym 9844 $false +.sym 9845 init_ram_cnt[6] +.sym 9846 $false +.sym 9847 $auto$alumacc.cc:474:replace_alu$9609.C[6] +.sym 9850 $2\ring_wr[3:0][2] +.sym 9851 $2\ring_wr[3:0][0] +.sym 9852 $false +.sym 9853 $false +.sym 9856 $false +.sym 9857 init_ram_cnt[4] +.sym 9858 $false +.sym 9859 $auto$alumacc.cc:474:replace_alu$9609.C[4] +.sym 9862 $abc$51270$n1197 +.sym 9863 $abc$51270$n1922 +.sym 9864 $abc$51270$n1207 +.sym 9865 $abc$51270$n22 +.sym 9874 $abc$51270$n1197 +.sym 9875 $abc$51270$n1930 +.sym 9876 $abc$51270$n22 +.sym 9877 $abc$51270$n1226 +.sym 9878 $abc$51270$n950$2 .sym 9879 CLK$2$2 .sym 9880 $false -.sym 9881 $abc$56607$n1086 -.sym 9882 $abc$56607$n1089 -.sym 9883 $abc$56607$n765 -.sym 9884 $abc$56607$n1087 -.sym 9885 $abc$56607$n763 -.sym 9886 $abc$56607$n1902 -.sym 9887 $2\ring_wr[3:0][0] -.sym 9888 $abc$56607$n764 -.sym 9961 ring_rd[2] -.sym 9962 $abc$56607$n1817 -.sym 9963 $abc$56607$n763 -.sym 9964 $false -.sym 9973 ring_rd[3] -.sym 9974 $abc$56607$n1820 -.sym 9975 $abc$56607$n763 -.sym 9976 $false -.sym 9985 I2C.SCLF -.sym 9986 $false -.sym 9987 $false -.sym 9988 $false -.sym 10001 $abc$56607$n1511 -.sym 10002 CLK$2$2 -.sym 10003 $abc$56607$n35$2 -.sym 10004 $abc$56607$n1010 -.sym 10007 $abc$56607$n1636 -.sym 10008 $abc$56607$n1512 -.sym 10009 $abc$56607$n2483 -.sym 10010 I2C.FLT_SCL.counter[0] -.sym 10011 I2C.FLT_SCL.counter[1] +.sym 9881 $abc$51270$n731 +.sym 9882 $abc$51270$n1918 +.sym 9883 $abc$51270$n730 +.sym 9884 $abc$51270$n194 +.sym 9885 $abc$51270$n185 +.sym 9886 $13\int_tmr[14:0][0] +.sym 9887 $abc$51270$n188 +.sym 9888 $abc$51270$n2475 +.sym 9917 $true +.sym 9954 init_ram_cnt[1]$3 +.sym 9955 $false +.sym 9956 init_ram_cnt[1] +.sym 9957 $false +.sym 9958 $false +.sym 9960 $auto$alumacc.cc:474:replace_alu$9609.C[3] +.sym 9962 init_ram_cnt[2] +.sym 9963 $true$2 +.sym 9966 $auto$alumacc.cc:474:replace_alu$9609.C[4]$2 +.sym 9967 $false +.sym 9968 init_ram_cnt[3] +.sym 9969 $false +.sym 9970 $auto$alumacc.cc:474:replace_alu$9609.C[3] +.sym 9972 $auto$alumacc.cc:474:replace_alu$9609.C[5]$2 +.sym 9974 init_ram_cnt[4] +.sym 9975 $true$2 +.sym 9976 $auto$alumacc.cc:474:replace_alu$9609.C[4]$2 +.sym 9978 $auto$alumacc.cc:474:replace_alu$9609.C[6]$2 +.sym 9980 init_ram_cnt[5] +.sym 9981 $true$2 +.sym 9982 $auto$alumacc.cc:474:replace_alu$9609.C[5]$2 +.sym 9984 $auto$alumacc.cc:474:replace_alu$9609.C[7] +.sym 9986 init_ram_cnt[6] +.sym 9987 $true$2 +.sym 9988 $auto$alumacc.cc:474:replace_alu$9609.C[6]$2 +.sym 9991 $false +.sym 9992 init_ram_cnt[7] +.sym 9993 $false +.sym 9994 $auto$alumacc.cc:474:replace_alu$9609.C[7] +.sym 9997 $false +.sym 9998 init_ram_cnt[5] +.sym 9999 $false +.sym 10000 $auto$alumacc.cc:474:replace_alu$9609.C[5] +.sym 10011 $abc$51270$n22 .sym 10040 $true -.sym 10077 I2C.FLT_SCL.counter[0]$2 +.sym 10077 init_ram_cnt[0]$2 .sym 10078 $false -.sym 10079 I2C.FLT_SCL.counter[0] +.sym 10079 init_ram_cnt[0] .sym 10080 $false .sym 10081 $false -.sym 10083 $auto$alumacc.cc:470:replace_alu$12158.C[2] -.sym 10085 I2C.FLT_SCL.counter[1] -.sym 10086 $true$2 +.sym 10083 $auto$alumacc.cc:474:replace_alu$9597.C[2] +.sym 10085 $false +.sym 10086 init_ram_cnt[1] +.sym 10089 $auto$alumacc.cc:474:replace_alu$9597.C[3] .sym 10090 $false -.sym 10091 I2C.FLT_SCL.counter[2] -.sym 10092 $true$2 -.sym 10093 $auto$alumacc.cc:470:replace_alu$12158.C[2] -.sym 10096 I2C.FLT_SCL.counter[0] -.sym 10097 I2C.FLT_SCL.counter[1] -.sym 10098 I2C.FLT_SCL.counter[2] -.sym 10099 $false -.sym 10102 $abc$56607$n2484 -.sym 10103 $abc$56607$n1010 -.sym 10104 $false -.sym 10105 $false -.sym 10114 $abc$56607$n1009 -.sym 10115 $abc$56607$n1639 -.sym 10116 $false -.sym 10117 $false -.sym 10120 $abc$56607$n2484 +.sym 10091 $false +.sym 10092 init_ram_cnt[2] +.sym 10093 $auto$alumacc.cc:474:replace_alu$9597.C[2] +.sym 10095 $auto$alumacc.cc:474:replace_alu$9597.C[4] +.sym 10096 $false +.sym 10097 $false +.sym 10098 init_ram_cnt[3] +.sym 10099 $auto$alumacc.cc:474:replace_alu$9597.C[3] +.sym 10101 $auto$alumacc.cc:474:replace_alu$9597.C[5] +.sym 10102 $false +.sym 10103 $false +.sym 10104 init_ram_cnt[4] +.sym 10105 $auto$alumacc.cc:474:replace_alu$9597.C[4] +.sym 10107 $auto$alumacc.cc:474:replace_alu$9597.C[6] +.sym 10108 $false +.sym 10109 $false +.sym 10110 init_ram_cnt[5] +.sym 10111 $auto$alumacc.cc:474:replace_alu$9597.C[5] +.sym 10113 $auto$alumacc.cc:474:replace_alu$9597.C[7] +.sym 10114 $false +.sym 10115 $false +.sym 10116 init_ram_cnt[6] +.sym 10117 $auto$alumacc.cc:474:replace_alu$9597.C[6] +.sym 10120 $false .sym 10121 $false -.sym 10122 $false -.sym 10123 $false -.sym 10124 $abc$56607$n1512 +.sym 10122 init_ram_cnt[7] +.sym 10123 $auto$alumacc.cc:474:replace_alu$9597.C[7] +.sym 10124 $abc$51270$n764 .sym 10125 CLK$2$2 -.sym 10126 $abc$56607$n35$2 -.sym 10226 $abc$56607$n704 -.sym 10227 $abc$56607$n1471 -.sym 10228 $abc$56607$n677 -.sym 10229 $abc$56607$n701 -.sym 10230 $abc$56607$n676 -.sym 10232 $abc$56607$n705 -.sym 10301 $abc$56607$n1344 -.sym 10302 $abc$56607$n697 -.sym 10303 $abc$56607$n706 -.sym 10304 $abc$56607$n1492_1 -.sym 10307 $abc$56607$n702 -.sym 10308 KEYBOARD.COLS_SHADOW[5] -.sym 10309 $false +.sym 10126 $abc$51270$n35$2 +.sym 10226 $abc$51270$n948 +.sym 10227 $abc$51270$n950_1 +.sym 10228 $abc$51270$n947 +.sym 10229 $abc$51270$n946 +.sym 10230 $abc$51270$n1404 +.sym 10231 KEYBOARD.report[6][5] +.sym 10232 KEYBOARD.report[6][7] +.sym 10233 KEYBOARD.report[6][0] +.sym 10301 KEYBOARD.COLS_SHADOW[3] +.sym 10302 $abc$51270$n709 +.sym 10303 $false +.sym 10304 $false +.sym 10307 $abc$51270$n383 +.sym 10308 $abc$51270$n394 +.sym 10309 $abc$51270$n396 .sym 10310 $false -.sym 10313 $abc$56607$n692 -.sym 10314 KEYBOARD.COLS_SHADOW[6] -.sym 10315 $abc$56607$n693 -.sym 10316 $false +.sym 10319 KEYBOARD.COLS_SHADOW[2] +.sym 10320 KBD_COLUMNS[2]$2 +.sym 10321 $abc$51270$n700 +.sym 10322 $false .sym 10325 KEYBOARD.COLS_SHADOW[6] -.sym 10326 $abc$56607$n692 -.sym 10327 $abc$56607$n693 -.sym 10328 $abc$56607$n697 -.sym 10331 $abc$56607$n708 -.sym 10332 KEYBOARD.COLS_SHADOW[4] -.sym 10333 $abc$56607$n706 +.sym 10326 KBD_COLUMNS[6]$2 +.sym 10327 $abc$51270$n700 +.sym 10328 $false +.sym 10331 KEYBOARD.COLS_SHADOW[3] +.sym 10332 KBD_COLUMNS[3]$2 +.sym 10333 $abc$51270$n700 .sym 10334 $false -.sym 10337 $abc$56607$n692 -.sym 10338 KEYBOARD.COLS_SHADOW[6] -.sym 10339 $abc$56607$n693 +.sym 10337 KEYBOARD.COLS_SHADOW[1] +.sym 10338 KBD_COLUMNS[1]$2 +.sym 10339 $abc$51270$n700 .sym 10340 $false -.sym 10343 $abc$56607$n702 -.sym 10344 KEYBOARD.COLS_SHADOW[5] -.sym 10345 $abc$56607$n697 +.sym 10343 $abc$51270$n2249 +.sym 10344 $false +.sym 10345 $false .sym 10346 $false -.sym 10347 $abc$56607$n1484 +.sym 10347 $abc$51270$n1402 .sym 10348 CLK$2$2 -.sym 10349 $abc$56607$n31$2 -.sym 10354 $abc$56607$n718 -.sym 10355 $abc$56607$n715 -.sym 10356 $abc$56607$n721 -.sym 10357 $abc$56607$n725 -.sym 10358 $abc$56607$n1350 -.sym 10359 $abc$56607$n720 -.sym 10360 $abc$56607$n716 -.sym 10361 $abc$56607$n27 -.sym 10464 $abc$56607$n722 -.sym 10465 KEYBOARD.COLS_SHADOW[2] -.sym 10466 $abc$56607$n723 +.sym 10349 $false +.sym 10354 $abc$51270$n955 +.sym 10355 $abc$51270$n1430 +.sym 10356 $abc$51270$n1429 +.sym 10357 $abc$51270$n953 +.sym 10358 $abc$51270$n949 +.sym 10359 $abc$51270$n1012 +.sym 10360 $abc$51270$n954 +.sym 10361 KEYBOARD.report[6][2] +.sym 10464 I2C.FLT_SDA.counter[0] +.sym 10465 I2C.FLT_SDA.counter[1] +.sym 10466 $false .sym 10467 $false -.sym 10470 $abc$56607$n717 -.sym 10471 KEYBOARD.COLS_SHADOW[1] -.sym 10472 $abc$56607$n709 +.sym 10470 $abc$51270$n1011 +.sym 10471 $abc$51270$n1632 +.sym 10472 $false .sym 10473 $false -.sym 10476 $abc$56607$n691 -.sym 10477 $abc$56607$n708 -.sym 10478 KEYBOARD.COLS_SHADOW[4] -.sym 10479 $abc$56607$n706 -.sym 10482 $abc$56607$n1350 -.sym 10483 $abc$56607$n709 -.sym 10484 $abc$56607$n1347 +.sym 10476 $abc$51270$n383 +.sym 10477 $abc$51270$n394 +.sym 10478 $abc$51270$n396 +.sym 10479 $false +.sym 10482 I2C.FLT_SDA.counter[0] +.sym 10483 I2C.FLT_SDA.counter[1] +.sym 10484 I2C.FLT_SDA.counter[2] .sym 10485 $false -.sym 10488 $abc$56607$n723 -.sym 10489 $abc$56607$n1349 -.sym 10490 $abc$56607$n1490_1 -.sym 10491 $abc$56607$n1535_1 -.sym 10494 $abc$56607$n1343 -.sym 10495 $abc$56607$n678 -.sym 10496 $abc$56607$n691 -.sym 10497 $abc$56607$n2273 -.sym 10500 $abc$56607$n709 -.sym 10501 $abc$56607$n1343 -.sym 10502 $abc$56607$n1347 -.sym 10503 $abc$56607$n1535_1 -.sym 10506 $abc$56607$n723 -.sym 10507 $abc$56607$n1341 -.sym 10508 $abc$56607$n1490_1 -.sym 10509 $abc$56607$n1535_1 -.sym 10510 $abc$56607$n1490$2 +.sym 10488 $false +.sym 10489 I2C.FLT_SDA.counter[0] +.sym 10490 $false +.sym 10491 $false +.sym 10494 $abc$51270$n2333 +.sym 10495 $false +.sym 10496 $false +.sym 10497 $false +.sym 10500 $abc$51270$n1011 +.sym 10501 $abc$51270$n1629 +.sym 10502 $false +.sym 10503 $false +.sym 10506 $abc$51270$n2334 +.sym 10507 $false +.sym 10508 $false +.sym 10509 $false +.sym 10510 $abc$51270$n1430 .sym 10511 CLK$2$2 -.sym 10512 $false -.sym 10513 $abc$56607$n728 -.sym 10514 $abc$56607$n1535_1 -.sym 10515 $abc$56607$n714 -.sym 10516 $abc$56607$n678 -.sym 10517 KEYBOARD.temp[3] -.sym 10518 KEYBOARD.temp[1] -.sym 10519 KEYBOARD.temp[7] -.sym 10520 KEYBOARD.temp[0] -.sym 10587 KEYBOARD.RAM.r_data[5] -.sym 10588 KEYBOARD.temp[5] -.sym 10589 $abc$56607$n703 -.sym 10590 $false -.sym 10593 KEYBOARD.RAM.r_data[0] -.sym 10594 KEYBOARD.temp[0] -.sym 10595 $abc$56607$n703 -.sym 10596 $false -.sym 10599 $abc$56607$n686 -.sym 10600 $abc$56607$n690 +.sym 10512 $abc$51270$n35$2 +.sym 10513 $abc$51270$n1166 +.sym 10514 $abc$51270$n972 +.sym 10515 $abc$51270$n976 +.sym 10516 $abc$51270$n974_1 +.sym 10517 $abc$51270$n916 +.sym 10518 $abc$51270$n973 +.sym 10519 $abc$51270$n1183 +.sym 10520 KEYBOARD.report[3][6] +.sym 10587 KEYBOARD.kbd_code_hid[0] +.sym 10588 KEYBOARD.report[3][0] +.sym 10589 KEYBOARD.kbd_code_hid[7] +.sym 10590 KEYBOARD.report[3][7] +.sym 10593 KEYBOARD.report[3][0] +.sym 10594 KEYBOARD.report[3][1] +.sym 10595 KEYBOARD.report[3][2] +.sym 10596 KEYBOARD.report[3][3] +.sym 10599 $abc$51270$n27 +.sym 10600 KEYBOARD.kbd_code_hid[3] .sym 10601 $false .sym 10602 $false -.sym 10605 KEYBOARD.RAM.r_data[6] -.sym 10606 KEYBOARD.temp[6] -.sym 10607 $abc$56607$n686 -.sym 10608 $abc$56607$n690 -.sym 10611 KEYBOARD.RAM.r_data[7] -.sym 10612 KEYBOARD.temp[7] -.sym 10613 $abc$56607$n686 -.sym 10614 $abc$56607$n690 -.sym 10617 KEYBOARD.RAM.r_data[4] -.sym 10618 KEYBOARD.temp[4] -.sym 10619 $abc$56607$n686 -.sym 10620 $abc$56607$n690 -.sym 10623 $abc$56607$n722 -.sym 10624 KEYBOARD.COLS_SHADOW[2] -.sym 10625 $abc$56607$n723 +.sym 10605 KEYBOARD.kbd_code_hid[1] +.sym 10606 KEYBOARD.report[3][1] +.sym 10607 KEYBOARD.kbd_code_hid[2] +.sym 10608 KEYBOARD.report[3][2] +.sym 10611 KEYBOARD.report[6][2] +.sym 10612 $abc$51270$n1124 +.sym 10613 $abc$51270$n1123 +.sym 10614 KEYBOARD.report[3][2] +.sym 10617 KEYBOARD.report[6][0] +.sym 10618 $abc$51270$n1124 +.sym 10619 $abc$51270$n1123 +.sym 10620 KEYBOARD.report[3][0] +.sym 10623 $abc$51270$n2311 +.sym 10624 $false +.sym 10625 $false .sym 10626 $false -.sym 10629 KEYBOARD.COLS_SHADOW[4] -.sym 10630 $abc$56607$n708 -.sym 10631 $abc$56607$n706 +.sym 10629 $abc$51270$n2318 +.sym 10630 $false +.sym 10631 $false .sym 10632 $false -.sym 10633 $abc$56607$n1484 +.sym 10633 $abc$51270$n1354 .sym 10634 CLK$2$2 -.sym 10635 $abc$56607$n31$2 -.sym 10636 $abc$56607$n1367 -.sym 10637 $abc$56607$n1484 -.sym 10638 $abc$56607$n1373 -.sym 10641 KEYBOARD.report[0][4] -.sym 10642 KEYBOARD.report[0][7] -.sym 10643 KEYBOARD.report[0][1] -.sym 10710 KEYBOARD.RAM.r_data[2] -.sym 10711 KEYBOARD.temp[2] -.sym 10712 $abc$56607$n703 -.sym 10713 $false -.sym 10716 $abc$56607$n1268_1 -.sym 10717 $abc$56607$n1249 -.sym 10718 $false -.sym 10719 $false -.sym 10722 KEYBOARD.RAM.r_data[3] -.sym 10723 KEYBOARD.temp[3] -.sym 10724 $abc$56607$n703 -.sym 10725 $false -.sym 10728 $abc$56607$n33$2 -.sym 10729 $abc$56607$n994 -.sym 10730 $abc$56607$n1490$2 -.sym 10731 I2C.FLT_SCL.RESET -.sym 10734 KEYBOARD.RAM.r_data[1] -.sym 10735 KEYBOARD.temp[1] -.sym 10736 $abc$56607$n703 +.sym 10635 $abc$51270$n35$2 +.sym 10636 $abc$51270$n1153 +.sym 10637 $abc$51270$n1501 +.sym 10638 $abc$51270$n909 +.sym 10639 $abc$51270$n984 +.sym 10640 $abc$51270$n1152_1 +.sym 10641 $abc$51270$n1136 +.sym 10642 KEYBOARD.report[2][1] +.sym 10643 KEYBOARD.report[2][0] +.sym 10710 $abc$51270$n931 +.sym 10711 $abc$51270$n932 +.sym 10712 $abc$51270$n933 +.sym 10713 $abc$51270$n934_1 +.sym 10716 KEYBOARD.kbd_code_hid[3] +.sym 10717 KEYBOARD.report[5][3] +.sym 10718 KEYBOARD.kbd_code_hid[7] +.sym 10719 KEYBOARD.report[5][7] +.sym 10722 KEYBOARD.report[5][4] +.sym 10723 KEYBOARD.report[5][5] +.sym 10724 KEYBOARD.report[5][6] +.sym 10725 KEYBOARD.report[5][7] +.sym 10728 KEYBOARD.report[5][0] +.sym 10729 KEYBOARD.report[5][1] +.sym 10730 KEYBOARD.report[5][2] +.sym 10731 KEYBOARD.report[5][3] +.sym 10734 $abc$51270$n927 +.sym 10735 $abc$51270$n928 +.sym 10736 $false .sym 10737 $false -.sym 10740 $abc$56607$n1271 -.sym 10741 $abc$56607$n1282 -.sym 10742 $false -.sym 10743 $false -.sym 10746 $abc$56607$n923 -.sym 10747 $abc$56607$n994 -.sym 10748 $false -.sym 10749 $false -.sym 10756 $abc$56607$n1470 +.sym 10740 KEYBOARD.kbd_code_hid[0] +.sym 10741 KEYBOARD.report[5][0] +.sym 10742 KEYBOARD.kbd_code_hid[6] +.sym 10743 KEYBOARD.report[5][6] +.sym 10746 KEYBOARD.kbd_code_hid[1] +.sym 10747 KEYBOARD.report[5][1] +.sym 10748 KEYBOARD.kbd_code_hid[2] +.sym 10749 KEYBOARD.report[5][2] +.sym 10752 $abc$51270$n1001 +.sym 10753 KEYBOARD.ram_wr +.sym 10754 $abc$51270$n29 +.sym 10755 $abc$51270$n704 +.sym 10756 $abc$51270$n1320 .sym 10757 CLK$2$2 -.sym 10758 $abc$56607$n31$2 -.sym 10759 $abc$56607$n959 -.sym 10760 $abc$56607$n927 -.sym 10761 $abc$56607$n915 -.sym 10762 $abc$56607$n1369 -.sym 10763 $abc$56607$n1371 -.sym 10764 KEYBOARD.report[0][0] -.sym 10765 KEYBOARD.report[0][2] -.sym 10766 KEYBOARD.report[0][3] -.sym 10833 $abc$56607$n1282 -.sym 10834 $abc$56607$n874 -.sym 10835 I2C.i2c_state_machine -.sym 10836 $abc$56607$n1272 -.sym 10839 $abc$56607$n1271 -.sym 10840 $abc$56607$n1268_1 -.sym 10841 $abc$56607$n1249 -.sym 10842 $false -.sym 10845 $abc$56607$n1249 -.sym 10846 $abc$56607$n1271 -.sym 10847 $abc$56607$n1268_1 +.sym 10758 $abc$51270$n33$2 +.sym 10759 $abc$51270$n1165 +.sym 10760 $abc$51270$n1162 +.sym 10761 $abc$51270$n1135 +.sym 10762 $abc$51270$n1469 +.sym 10763 $abc$51270$n1473 +.sym 10764 $abc$51270$n1181 +.sym 10765 $abc$51270$n1163_1 +.sym 10766 KEYBOARD.report[4][7] +.sym 10833 KEYBOARD.report[6][5] +.sym 10834 $abc$51270$n1124 +.sym 10835 $abc$51270$n1173 +.sym 10836 $abc$51270$n1175 +.sym 10839 KEYBOARD.report[3][1] +.sym 10840 $abc$51270$n1123 +.sym 10841 $abc$51270$n1120 +.sym 10842 $abc$51270$n1134 +.sym 10845 $abc$51270$n1121 +.sym 10846 KEYBOARD.report[4][5] +.sym 10847 $false .sym 10848 $false -.sym 10851 I2C.FLT_SCL.out -.sym 10852 I2C.FLT_SDA.out -.sym 10853 $abc$56607$n14 -.sym 10854 I2C.SDA_DIR -.sym 10857 $abc$56607$n1272 -.sym 10858 $abc$56607$n1270 -.sym 10859 $abc$56607$n1261 -.sym 10860 $abc$56607$n1269 -.sym 10863 $abc$56607$n1269 -.sym 10864 $abc$56607$n1282 -.sym 10865 $abc$56607$n1314 -.sym 10866 $abc$56607$n1273 -.sym 10869 I2C.FLT_SDA.out +.sym 10851 KEYBOARD.report[3][5] +.sym 10852 $abc$51270$n1123 +.sym 10853 $abc$51270$n1176 +.sym 10854 $abc$51270$n1120 +.sym 10857 $abc$51270$n253 +.sym 10858 wr_cnt[0] +.sym 10859 wr_cnt[1] +.sym 10860 $abc$51270$n260 +.sym 10863 $abc$51270$n260 +.sym 10864 wr_cnt[0] +.sym 10865 wr_cnt[1] +.sym 10866 $abc$51270$n253 +.sym 10869 $abc$51270$n2318 .sym 10870 $false .sym 10871 $false .sym 10872 $false -.sym 10875 $abc$56607$n1260 -.sym 10876 $abc$56607$n1273 -.sym 10877 $abc$56607$n1281 +.sym 10875 $abc$51270$n2312 +.sym 10876 $false +.sym 10877 $false .sym 10878 $false -.sym 10879 $true +.sym 10879 $abc$51270$n1258 .sym 10880 CLK$2$2 -.sym 10881 $false -.sym 10882 $abc$56607$n997 -.sym 10883 $abc$56607$n1457 -.sym 10884 $abc$56607$n943 -.sym 10885 $abc$56607$n922 -.sym 10886 $abc$56607$n920 -.sym 10887 $abc$56607$n921 -.sym 10888 $abc$56607$n1490 -.sym 10889 KEYBOARD.report[6][2] -.sym 10956 $abc$56607$n1280 -.sym 10957 $abc$56607$n1487 -.sym 10958 $abc$56607$n877 -.sym 10959 I2C.received_byte[0] -.sym 10962 $abc$56607$n875 -.sym 10963 $abc$56607$n877 -.sym 10964 $false -.sym 10965 $false -.sym 10968 $abc$56607$n1285 -.sym 10969 $abc$56607$n1286 -.sym 10970 $abc$56607$n1269 -.sym 10971 $false -.sym 10974 $abc$56607$n1278_1 -.sym 10975 $abc$56607$n1487 -.sym 10976 $false -.sym 10977 $false -.sym 10980 $abc$56607$n33$2 -.sym 10981 $abc$56607$n1490$2 -.sym 10982 $abc$56607$n1484 -.sym 10983 $false -.sym 10986 $abc$56607$n1274 -.sym 10987 $abc$56607$n874 -.sym 10988 $abc$56607$n1280 -.sym 10989 $false -.sym 10992 $abc$56607$n14 -.sym 10993 I2C.FLT_SCL.out -.sym 10994 I2C.SDA_DIR -.sym 10995 I2C.FLT_SDA.out -.sym 10998 $abc$56607$n1280 -.sym 10999 $abc$56607$n1274 -.sym 11000 $abc$56607$n874 -.sym 11001 $abc$56607$n1284 -.sym 11002 $true +.sym 10881 $abc$51270$n35$2 +.sym 10882 $abc$51270$n1180 +.sym 10883 $abc$51270$n1154 +.sym 10884 $abc$51270$n1470_1 +.sym 10885 $abc$51270$n1167 +.sym 10886 $abc$51270$n1185 +.sym 10887 $abc$51270$n1151 +.sym 10888 $abc$51270$n1502_1 +.sym 10889 KEYBOARD.report[4][3] +.sym 10956 KEYBOARD.kbd_code_hid[1] +.sym 10957 KEYBOARD.report[1][1] +.sym 10958 KEYBOARD.kbd_code_hid[7] +.sym 10959 KEYBOARD.report[1][7] +.sym 10962 $abc$51270$n1114 +.sym 10963 $abc$51270$n1500_1 +.sym 10964 $abc$51270$n1120 +.sym 10965 $abc$51270$n1502_1 +.sym 10968 $abc$51270$n1492 +.sym 10969 $abc$51270$n1493_1 +.sym 10970 $abc$51270$n994 +.sym 10971 $abc$51270$n1463 +.sym 10974 KEYBOARD.report[4][1] +.sym 10975 $abc$51270$n1121 +.sym 10976 $abc$51270$n1117_1 +.sym 10977 KEYBOARD.report[1][1] +.sym 10980 KEYBOARD.kbd_code_hid[3] +.sym 10981 KEYBOARD.report[1][3] +.sym 10982 KEYBOARD.kbd_code_hid[4] +.sym 10983 KEYBOARD.report[1][4] +.sym 10986 KEYBOARD.report[1][4] +.sym 10987 KEYBOARD.report[1][5] +.sym 10988 KEYBOARD.report[1][6] +.sym 10989 KEYBOARD.report[1][7] +.sym 10992 KEYBOARD.report[5][7] +.sym 10993 KEYBOARD.report[1][7] +.sym 10994 $abc$51270$n1120 +.sym 10995 $abc$51270$n260 +.sym 10998 $abc$51270$n2318 +.sym 10999 $false +.sym 11000 $false +.sym 11001 $false +.sym 11002 $abc$51270$n1374 .sym 11003 CLK$2$2 -.sym 11004 $abc$56607$n35$2 -.sym 11005 $abc$56607$n1483_1 -.sym 11007 $abc$56607$n1482 -.sym 11008 $abc$56607$n1148 -.sym 11009 $abc$56607$n1177 -.sym 11011 $abc$56607$n1484_1 -.sym 11012 I2C.is_read -.sym 11079 UART.tx_bit_counter[3] -.sym 11080 $abc$56607$n899 +.sym 11004 $abc$51270$n35$2 +.sym 11005 $abc$51270$n1127 +.sym 11006 $abc$51270$n1150 +.sym 11007 $abc$51270$n269 +.sym 11008 $abc$51270$n1155 +.sym 11009 $abc$51270$n1352 +.sym 11010 $abc$51270$n1128 +.sym 11011 KEYBOARD.report[0][3] +.sym 11012 KEYBOARD.report[0][0] +.sym 11079 $abc$51270$n905 +.sym 11080 $abc$51270$n906 .sym 11081 $false .sym 11082 $false -.sym 11085 UART.tx_bit_counter[0] -.sym 11086 UART.tx_bit_counter[1] -.sym 11087 UART.tx_bit_counter[2] -.sym 11088 UART.tx_activity -.sym 11091 UART.tx_activity -.sym 11092 $false -.sym 11093 $false -.sym 11094 $false -.sym 11097 $false -.sym 11098 UART.tx_bit_counter[0] -.sym 11099 $false -.sym 11100 $true$2 -.sym 11103 $abc$56607$n2603 -.sym 11104 $false -.sym 11105 $false +.sym 11085 KEYBOARD.kbd_code_hid[0] +.sym 11086 KEYBOARD.report[1][0] +.sym 11087 KEYBOARD.kbd_code_hid[2] +.sym 11088 KEYBOARD.report[1][2] +.sym 11091 KEYBOARD.report[1][0] +.sym 11092 KEYBOARD.report[1][1] +.sym 11093 KEYBOARD.report[1][2] +.sym 11094 KEYBOARD.report[1][3] +.sym 11097 KEYBOARD.report[0][1] +.sym 11098 $abc$51270$n1118 +.sym 11099 $abc$51270$n1133 +.sym 11100 $abc$51270$n1135 +.sym 11103 KEYBOARD.report[0][4] +.sym 11104 KEYBOARD.report[1][4] +.sym 11105 $abc$51270$n1128 .sym 11106 $false -.sym 11109 $abc$56607$n2605 -.sym 11110 $false -.sym 11111 $false -.sym 11112 $false -.sym 11115 $abc$56607$n2519 -.sym 11116 $false -.sym 11117 $false +.sym 11109 KEYBOARD.report[0][1] +.sym 11110 $abc$51270$n1128 +.sym 11111 KEYBOARD.report[1][1] +.sym 11112 $abc$51270$n1127 +.sym 11115 I2C.received_byte[2] +.sym 11116 $abc$51270$n1048 +.sym 11117 I2C.is_read .sym 11118 $false -.sym 11121 $abc$56607$n2518 -.sym 11122 $false -.sym 11123 $false +.sym 11121 I2C.received_byte[6] +.sym 11122 $abc$51270$n1056 +.sym 11123 I2C.is_read .sym 11124 $false -.sym 11125 $abc$56607$n1320 +.sym 11125 $abc$51270$n799 .sym 11126 CLK$2$2 -.sym 11127 $abc$56607$n25 -.sym 11128 $abc$56607$n1533 -.sym 11129 $abc$56607$n1525 -.sym 11130 $abc$56607$n1519 -.sym 11131 $abc$56607$n1546 -.sym 11132 $abc$56607$n1520_1 -.sym 11133 $abc$56607$n1526_1 -.sym 11135 I2C.received_byte[5] -.sym 11202 $abc$56607$n887 -.sym 11203 $abc$56607$n885 -.sym 11204 $false +.sym 11127 $abc$51270$n19 +.sym 11128 $abc$51270$n685 +.sym 11129 $abc$51270$n1052 +.sym 11130 $abc$51270$n1354_1 +.sym 11131 $abc$51270$n2311 +.sym 11132 $abc$51270$n684 +.sym 11133 $abc$51270$n682 +.sym 11134 $abc$51270$n1348 +.sym 11135 I2C_HID_DESC.real_adress[3] +.sym 11202 UART_TX_DATA[6] +.sym 11203 UART_TX_DATA[4] +.sym 11204 $abc$51270$n680 .sym 11205 $false -.sym 11208 $abc$56607$n880 -.sym 11209 $abc$56607$n358 -.sym 11210 $false -.sym 11211 $false -.sym 11214 $abc$56607$n872 -.sym 11215 $abc$56607$n885 -.sym 11216 $false +.sym 11208 $abc$51270$n1128 +.sym 11209 $abc$51270$n1118 +.sym 11210 $abc$51270$n1120 +.sym 11211 KEYBOARD.report[0][7] +.sym 11214 KEYBOARD.report[0][2] +.sym 11215 $abc$51270$n27 +.sym 11216 $abc$51270$n1350 .sym 11217 $false -.sym 11226 $abc$56607$n887 -.sym 11227 $abc$56607$n882 -.sym 11228 $false +.sym 11220 KEYBOARD.report[0][6] +.sym 11221 $abc$51270$n27 +.sym 11222 $abc$51270$n1358 +.sym 11223 $false +.sym 11226 KEYBOARD.report[0][5] +.sym 11227 $abc$51270$n27 +.sym 11228 $abc$51270$n1356 .sym 11229 $false -.sym 11232 $abc$56607$n880 -.sym 11233 $abc$56607$n358 -.sym 11234 $false +.sym 11232 KEYBOARD.report[0][1] +.sym 11233 $abc$51270$n27 +.sym 11234 $abc$51270$n1348 .sym 11235 $false -.sym 11238 $abc$56607$n880 -.sym 11239 $abc$56607$n358 -.sym 11240 $abc$56607$n887 +.sym 11238 KEYBOARD.report[0][7] +.sym 11239 $abc$51270$n27 +.sym 11240 $abc$51270$n1360 .sym 11241 $false -.sym 11244 I2C.FLT_SDA.out -.sym 11245 $false -.sym 11246 $false +.sym 11244 KEYBOARD.report[0][4] +.sym 11245 $abc$51270$n27 +.sym 11246 $abc$51270$n1354_1 .sym 11247 $false -.sym 11248 $abc$56607$n1297 +.sym 11248 $abc$51270$n1381 .sym 11249 CLK$2$2 -.sym 11250 $false -.sym 11251 $abc$56607$n1315 -.sym 11253 $abc$56607$n1278_1 -.sym 11254 $abc$56607$n1279 -.sym 11255 $abc$56607$n1320 -.sym 11256 $abc$56607$n1129 -.sym 11257 $abc$56607$n23 -.sym 11258 I2C.received_byte[7] -.sym 11325 $abc$56607$n1132 -.sym 11326 $abc$56607$n670 -.sym 11327 $false +.sym 11250 $abc$51270$n35$2 +.sym 11251 $abc$51270$n768 +.sym 11255 $abc$51270$n1044 +.sym 11256 $abc$51270$n739 +.sym 11257 $abc$51270$n19 +.sym 11258 last_wr +.sym 11325 $abc$51270$n1120 +.sym 11326 $abc$51270$n1170 +.sym 11327 $abc$51270$n1129 .sym 11328 $false -.sym 11331 $abc$56607$n1526_1 -.sym 11332 $abc$56607$n1525 -.sym 11333 $abc$56607$n1116 -.sym 11334 $false -.sym 11337 $abc$56607$n1520_1 -.sym 11338 $abc$56607$n1519 -.sym 11339 $abc$56607$n1116 +.sym 11331 $abc$51270$n1128 +.sym 11332 $abc$51270$n1118 +.sym 11333 $abc$51270$n1120 +.sym 11334 KEYBOARD.report[0][6] +.sym 11337 KEYBOARD.report[0][5] +.sym 11338 KEYBOARD.report[1][5] +.sym 11339 $abc$51270$n1128 .sym 11340 $false -.sym 11343 $abc$56607$n1484_1 -.sym 11344 $abc$56607$n1177 -.sym 11345 $abc$56607$n1129 -.sym 11346 $false -.sym 11349 $abc$56607$n1524_1 -.sym 11350 $abc$56607$n1130 -.sym 11351 $abc$56607$n1483_1 -.sym 11352 $abc$56607$n670 -.sym 11355 $abc$56607$n1485 -.sym 11356 $abc$56607$n1188 -.sym 11357 $abc$56607$n1129 -.sym 11358 $false -.sym 11361 $abc$56607$n1129 -.sym 11362 $abc$56607$n1546 -.sym 11363 $abc$56607$n1533 -.sym 11364 $abc$56607$n1116 -.sym 11367 $abc$56607$n1148 -.sym 11368 $abc$56607$n1482 -.sym 11369 $abc$56607$n1116 -.sym 11370 $abc$56607$n1129 -.sym 11371 $abc$56607$n1018$2 +.sym 11343 $abc$51270$n1118 +.sym 11344 KEYBOARD.report[0][5] +.sym 11345 $abc$51270$n1172 +.sym 11346 $abc$51270$n1169 +.sym 11349 $abc$51270$n1475_1 +.sym 11350 $abc$51270$n1503_1 +.sym 11351 $abc$51270$n1129 +.sym 11352 $false +.sym 11355 $abc$51270$n1120 +.sym 11356 $abc$51270$n1159_1 +.sym 11357 $abc$51270$n1162 +.sym 11358 $abc$51270$n1129 +.sym 11361 $abc$51270$n1130 +.sym 11362 $abc$51270$n1155 +.sym 11363 $abc$51270$n1150 +.sym 11364 $abc$51270$n690 +.sym 11367 $abc$51270$n1471 +.sym 11368 $abc$51270$n1470_1 +.sym 11369 $abc$51270$n1129 +.sym 11370 $false +.sym 11371 $abc$51270$n950$2 .sym 11372 CLK$2$2 -.sym 11373 $abc$56607$n23 -.sym 11374 $abc$56607$n1536_1 -.sym 11375 $abc$56607$n1544_1 -.sym 11376 $abc$56607$n1542 -.sym 11377 $abc$56607$n812 -.sym 11378 $abc$56607$n833 -.sym 11379 $abc$56607$n1543 -.sym 11380 $abc$56607$n816_1 -.sym 11381 I2C.received_byte[3] -.sym 11448 $abc$56607$n1478 -.sym 11449 $abc$56607$n1095 -.sym 11450 I2C_OUTPUT_TYPE[2] -.sym 11451 $false +.sym 11373 $abc$51270$n23$2 +.sym 11374 $abc$51270$n950 +.sym 11375 $abc$51270$n776 +.sym 11376 $abc$51270$n1099 +.sym 11377 $abc$51270$n1149 +.sym 11378 $abc$51270$n1096 +.sym 11379 $abc$51270$n945 +.sym 11380 $abc$51270$n1098 +.sym 11381 report_wr_en +.sym 11448 i2c_input_data_type[0] +.sym 11449 $abc$51270$n860 +.sym 11450 $abc$51270$n1096 +.sym 11451 I2C_OUTPUT_TYPE[2] .sym 11454 I2C_OUTPUT_TYPE[0] -.sym 11455 $abc$56607$n1478 -.sym 11456 $abc$56607$n1096 -.sym 11457 $abc$56607$n1095 -.sym 11460 I2C_OUTPUT_TYPE[2] -.sym 11461 I2C_OUTPUT_TYPE[1] -.sym 11462 I2C_OUTPUT_TYPE[0] -.sym 11463 $false -.sym 11466 $abc$56607$n841 -.sym 11467 $abc$56607$n809 -.sym 11468 I2C.FLT_SCL.RESET -.sym 11469 $abc$56607$n815_1 -.sym 11472 I2C_OUTPUT_TYPE[1] -.sym 11473 $abc$56607$n1478 -.sym 11474 $abc$56607$n1095 -.sym 11475 $abc$56607$n1096 -.sym 11478 $abc$56607$n1479 -.sym 11479 $abc$56607$n1093 -.sym 11480 $abc$56607$n1097 -.sym 11481 $abc$56607$n1099_1 -.sym 11484 $abc$56607$n1479 -.sym 11485 $abc$56607$n1099_1 +.sym 11455 $abc$51270$n860 +.sym 11456 $abc$51270$n847 +.sym 11457 $abc$51270$n1096 +.sym 11460 $abc$51270$n1100 +.sym 11461 $abc$51270$n1095 +.sym 11462 $abc$51270$n1098 +.sym 11463 $abc$51270$n1102 +.sym 11466 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 11467 $false +.sym 11468 $false +.sym 11469 $false +.sym 11472 $abc$51270$n1098 +.sym 11473 $abc$51270$n1102 +.sym 11474 $false +.sym 11475 $false +.sym 11478 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 11479 $false +.sym 11480 $false +.sym 11481 $false +.sym 11484 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 11485 $false .sym 11486 $false .sym 11487 $false -.sym 11490 $abc$56607$n1097 -.sym 11491 $abc$56607$n1099_1 +.sym 11490 $abc$51270$n1100 +.sym 11491 $abc$51270$n1102 .sym 11492 $false .sym 11493 $false -.sym 11494 $abc$56607$n1191 +.sym 11494 $abc$51270$n1119 .sym 11495 CLK$2$2 -.sym 11496 $abc$56607$n35$2 -.sym 11497 $abc$56607$n1081 -.sym 11498 $abc$56607$n1541_1 -.sym 11499 $abc$56607$n1082 -.sym 11500 $abc$56607$n1078 -.sym 11501 $abc$56607$n835 -.sym 11502 $abc$56607$n834 -.sym 11503 $abc$56607$n1074 -.sym 11504 $abc$56607$n1079 -.sym 11571 I2C.received_byte[7] -.sym 11572 I2C.received_byte[6] +.sym 11496 $abc$51270$n35$2 +.sym 11497 $abc$51270$n1119 +.sym 11498 $abc$51270$n778 +.sym 11499 $abc$51270$n780 +.sym 11500 $abc$51270$n779 +.sym 11501 $abc$51270$n921 +.sym 11502 $abc$51270$n795 +.sym 11503 $abc$51270$n755 +.sym 11504 $abc$51270$n842_1 +.sym 11571 last_uart_active +.sym 11572 UART.tx_activity .sym 11573 $false .sym 11574 $false -.sym 11577 $abc$56607$n826 -.sym 11578 $abc$56607$n822 -.sym 11579 $abc$56607$n829 -.sym 11580 $abc$56607$n809 -.sym 11583 I2C_INPUT_LEN[1] -.sym 11584 $abc$56607$n854 -.sym 11585 I2C_INPUT_LEN[0] -.sym 11586 $abc$56607$n815_1 -.sym 11589 I2C_INPUT_LEN[1] -.sym 11590 I2C_INPUT_LEN[0] -.sym 11591 $abc$56607$n854 -.sym 11592 $abc$56607$n822 -.sym 11595 $abc$56607$n815_1 -.sym 11596 $abc$56607$n857 -.sym 11597 $abc$56607$n2577 -.sym 11598 $abc$56607$n860 -.sym 11601 $abc$56607$n809 -.sym 11602 $abc$56607$n1511_1 -.sym 11603 $abc$56607$n856 -.sym 11604 $abc$56607$n1512_1 -.sym 11607 $false -.sym 11608 I2C.byte_counter[0] +.sym 11577 $abc$51270$n690 +.sym 11578 $abc$51270$n22 +.sym 11579 $false +.sym 11580 $false +.sym 11583 $abc$51270$n738 +.sym 11584 $abc$51270$n728 +.sym 11585 $abc$51270$n758 +.sym 11586 $false +.sym 11589 $abc$51270$n728 +.sym 11590 $abc$51270$n22 +.sym 11591 $false +.sym 11592 $false +.sym 11595 wr_cnt[0] +.sym 11596 wr_cnt[1] +.sym 11597 wr_cnt[2] +.sym 11598 wr_cnt[3] +.sym 11601 I2C_OUTPUT_TYPE[2] +.sym 11602 I2C_OUTPUT_TYPE[1] +.sym 11603 I2C_OUTPUT_TYPE[0] +.sym 11604 $false +.sym 11607 $abc$51270$n690 +.sym 11608 I2C.is_read .sym 11609 $false -.sym 11610 $true$2 -.sym 11613 I2C.byte_counter[0] -.sym 11614 I2C.byte_counter[1] +.sym 11610 $false +.sym 11613 $abc$51270$n1095 +.sym 11614 $abc$51270$n1102 .sym 11615 $false .sym 11616 $false -.sym 11617 $abc$56607$n1180 +.sym 11617 $abc$51270$n1119 .sym 11618 CLK$2$2 -.sym 11619 $abc$56607$n35$2 -.sym 11620 $abc$56607$n1072 -.sym 11621 $abc$56607$n836 -.sym 11622 $abc$56607$n741 -.sym 11623 $abc$56607$n1084 -.sym 11624 $abc$56607$n776 -.sym 11625 $abc$56607$n888 -.sym 11626 $abc$56607$n1155 -.sym 11627 report_wr_en -.sym 11694 $abc$56607$n830 -.sym 11695 I2C.received_byte[2] -.sym 11696 $abc$56607$n827 +.sym 11619 $abc$51270$n35$2 +.sym 11620 $abc$51270$n1168 +.sym 11621 $abc$51270$n773 +.sym 11622 $abc$51270$n775 +.sym 11623 $abc$51270$n772 +.sym 11624 $abc$51270$n799 +.sym 11625 $abc$51270$n771 +.sym 11626 $abc$51270$n774 +.sym 11627 uart_double_ff +.sym 11694 UART.tx_activity +.sym 11695 last_uart_active +.sym 11696 $false .sym 11697 $false -.sym 11700 I2C.received_byte[3] -.sym 11701 I2C.received_byte[4] -.sym 11702 I2C.received_byte[5] -.sym 11703 $abc$56607$n828 -.sym 11706 $abc$56607$n855 -.sym 11707 $abc$56607$n861 -.sym 11708 $abc$56607$n853 -.sym 11709 i2c_input_data_type[0] -.sym 11712 I2C.received_byte[1] -.sym 11713 I2C.received_byte[2] -.sym 11714 $abc$56607$n827 -.sym 11715 I2C.received_byte[0] -.sym 11718 I2C.received_byte[0] -.sym 11719 I2C.received_byte[1] -.sym 11720 i2c_input_data_type[0] +.sym 11700 $abc$51270$n743 +.sym 11701 $abc$51270$n771 +.sym 11702 $abc$51270$n776 +.sym 11703 $abc$51270$n773 +.sym 11706 UART_WR +.sym 11707 $abc$51270$n747 +.sym 11708 $abc$51270$n763 +.sym 11709 $abc$51270$n761 +.sym 11712 I2C_TRANS +.sym 11713 $abc$51270$n748 +.sym 11714 $abc$51270$n753 +.sym 11715 $false +.sym 11718 $2\UART_WR[0:0] +.sym 11719 $abc$51270$n687 +.sym 11720 $false .sym 11721 $false -.sym 11724 I2C.received_byte[0] -.sym 11725 $abc$56607$n827 -.sym 11726 I2C.received_byte[1] -.sym 11727 I2C.received_byte[2] -.sym 11730 I2C_INPUT_LEN[0] -.sym 11731 $abc$56607$n854 -.sym 11732 I2C_INPUT_LEN[1] -.sym 11733 $false -.sym 11736 I2C_INPUT_LEN[3] -.sym 11737 I2C_INPUT_LEN[2] -.sym 11738 $abc$56607$n855 +.sym 11724 last_uart_active +.sym 11725 UART.tx_activity +.sym 11726 $2\uart_double_ff[0:0] +.sym 11727 $abc$51270$n19 +.sym 11730 $abc$51270$n743 +.sym 11731 $abc$51270$n771 +.sym 11732 $abc$51270$n917 +.sym 11733 $abc$51270$n785 +.sym 11736 $2\UART_WR[0:0] +.sym 11737 $false +.sym 11738 $false .sym 11739 $false -.sym 11743 $abc$56607$n870 -.sym 11744 $2\uart_double_ff[0:0] -.sym 11745 $abc$56607$n747 -.sym 11746 $abc$56607$n819 -.sym 11747 $abc$56607$n1218 -.sym 11748 $abc$56607$n1221 -.sym 11749 $abc$56607$n1236 -.sym 11750 last_trans -.sym 11817 $abc$56607$n742 -.sym 11818 $abc$56607$n769 +.sym 11740 $abc$51270$n796 +.sym 11741 CLK$2$2 +.sym 11742 $abc$51270$n35$2 +.sym 11745 $abc$51270$n1871 +.sym 11746 $abc$51270$n1874 +.sym 11747 $abc$51270$n1454 +.sym 11748 $abc$51270$n785 +.sym 11750 ring_wr[2] +.sym 11817 $2\ring_wr[3:0][1] +.sym 11818 $2\ring_wr[3:0][3] .sym 11819 $false .sym 11820 $false -.sym 11823 $abc$56607$n19 -.sym 11824 $abc$56607$n1499 -.sym 11825 I2C.is_read -.sym 11826 $abc$56607$n742 -.sym 11829 $abc$56607$n751 -.sym 11830 $abc$56607$n2569 -.sym 11831 $abc$56607$n747 -.sym 11832 $false -.sym 11835 last_wr -.sym 11836 I2C.wr +.sym 11823 wr_cnt[3] +.sym 11824 ring_wr[2] +.sym 11825 $false +.sym 11826 $false +.sym 11829 wr_cnt[3] +.sym 11830 ring_wr[1] +.sym 11831 ring_wr[3] +.sym 11832 ring_wr[2] +.sym 11835 $2\ring_wr[3:0][1] +.sym 11836 $2\ring_wr[3:0][3] .sym 11837 $false .sym 11838 $false -.sym 11841 $abc$56607$n742 -.sym 11842 $abc$56607$n667 -.sym 11843 $abc$56607$n19 +.sym 11841 int_tmr[0] +.sym 11842 $abc$51270$n771 +.sym 11843 $false .sym 11844 $false -.sym 11847 $abc$56607$n751 -.sym 11848 I2C.is_read -.sym 11849 $false -.sym 11850 $false -.sym 11853 I2C.wr -.sym 11854 last_wr -.sym 11855 $false +.sym 11847 $abc$51270$n1219 +.sym 11848 $abc$51270$n1220 +.sym 11849 ring_wr[1] +.sym 11850 ring_wr[3] +.sym 11853 $abc$51270$n383 +.sym 11854 $abc$51270$n394 +.sym 11855 $abc$51270$n396 .sym 11856 $false -.sym 11859 I2C.wr +.sym 11859 $true$2 .sym 11860 $false .sym 11861 $false .sym 11862 $false -.sym 11863 $abc$56607$n1218 +.sym 11863 $abc$51270$n917 .sym 11864 CLK$2$2 .sym 11865 $false -.sym 11866 $3\report_wr_en[0:0] -.sym 11867 $abc$56607$n992 -.sym 11869 $2\ring_wr[3:0][3] -.sym 11870 ring_wr[1] -.sym 11871 ring_wr[2] -.sym 11872 ring_wr[0] -.sym 11873 ring_wr[3] -.sym 11902 $true -.sym 11939 ring_wr[0]$2 -.sym 11940 $false +.sym 11867 $2\ring_wr[3:0][3] +.sym 11868 $2\ring_wr[3:0][2] +.sym 11869 $2\ring_wr[3:0][1] +.sym 11870 ring_wr[3] +.sym 11871 ring_wr[0] +.sym 11872 ring_wr[1] +.sym 11940 wr_cnt[1] .sym 11941 ring_wr[0] .sym 11942 $false .sym 11943 $false -.sym 11945 $auto$alumacc.cc:470:replace_alu$12132.C[2] -.sym 11947 $false -.sym 11948 ring_wr[1] -.sym 11951 $auto$alumacc.cc:470:replace_alu$12132.C[3] -.sym 11952 $false -.sym 11953 $false -.sym 11954 ring_wr[2] -.sym 11955 $auto$alumacc.cc:470:replace_alu$12132.C[2] -.sym 11957 $auto$alumacc.cc:470:replace_alu$12132.C[4] -.sym 11958 $false -.sym 11959 $false -.sym 11960 ring_wr[3] -.sym 11961 $auto$alumacc.cc:470:replace_alu$12132.C[3] -.sym 11964 $false -.sym 11965 $false -.sym 11966 $false -.sym 11967 $auto$alumacc.cc:470:replace_alu$12132.C[4] -.sym 11970 ring_wr[2] -.sym 11971 $abc$56607$n1908 -.sym 11972 $abc$56607$n1089 -.sym 11973 $false -.sym 11976 $true$2 -.sym 11977 $false -.sym 11978 $false -.sym 11979 $false -.sym 11986 $abc$56607$n988 +.sym 11946 $abc$51270$n1201 +.sym 11947 $2\ring_wr[3:0][0] +.sym 11948 $abc$51270$n690 +.sym 11949 $abc$51270$n22 +.sym 11952 wr_cnt[1] +.sym 11953 ring_wr[0] +.sym 11954 wr_cnt[2] +.sym 11955 ring_wr[1] +.sym 11958 $abc$51270$n1197 +.sym 11959 init_ram_cnt[1] +.sym 11960 init_ram_cnt[2] +.sym 11961 $abc$51270$n22 +.sym 11964 $abc$51270$n1204 +.sym 11965 $2\ring_wr[3:0][1] +.sym 11966 $abc$51270$n690 +.sym 11967 $abc$51270$n22 +.sym 11970 init_ram_cnt[1] +.sym 11971 $abc$51270$n1197 +.sym 11972 $abc$51270$n22 +.sym 11973 $abc$51270$n1200_1 +.sym 11982 $abc$51270$n1203 +.sym 11983 $abc$51270$n1205_1 +.sym 11984 $false +.sym 11985 $false +.sym 11986 $abc$51270$n950$2 .sym 11987 CLK$2$2 .sym 11988 $false -.sym 11996 $2\ring_wr[3:0][1] -.sym 12063 $abc$56607$n49 -.sym 12064 ring_rd[3] -.sym 12065 $abc$56607$n1911 -.sym 12066 $abc$56607$n1087 -.sym 12069 $abc$56607$n764 -.sym 12070 ring_wr[0] -.sym 12071 $abc$56607$n1086 +.sym 12063 init_ram_cnt[2] +.sym 12064 init_ram_cnt[4] +.sym 12065 init_ram_cnt[6] +.sym 12066 init_ram_cnt[3] +.sym 12069 init_ram_cnt[1] +.sym 12070 $false +.sym 12071 $false .sym 12072 $false -.sym 12075 ring_rd[0] -.sym 12076 ring_wr[0] -.sym 12077 ring_rd[3] -.sym 12078 ring_wr[3] -.sym 12081 ring_rd[0] -.sym 12082 $abc$56607$n1902 -.sym 12083 ring_rd[2] -.sym 12084 $abc$56607$n1908 -.sym 12087 ring_rd[2] -.sym 12088 ring_wr[2] -.sym 12089 $abc$56607$n764 -.sym 12090 $abc$56607$n765 +.sym 12075 init_ram_cnt[0] +.sym 12076 init_ram_cnt[1] +.sym 12077 $abc$51270$n731 +.sym 12078 $false +.sym 12081 init_ram_cnt[0] +.sym 12082 $false +.sym 12083 $false +.sym 12084 $false +.sym 12087 init_ram_cnt[6] +.sym 12088 $false +.sym 12089 $false +.sym 12090 $false .sym 12093 $false -.sym 12094 $true$2 -.sym 12095 ring_wr[0] +.sym 12094 $false +.sym 12095 int_tmr[0] .sym 12096 $false -.sym 12099 $abc$56607$n764 -.sym 12100 $abc$56607$n1086 -.sym 12101 ring_wr[0] -.sym 12102 $abc$56607$n1902 -.sym 12105 ring_rd[1] -.sym 12106 ring_wr[1] +.sym 12099 init_ram_cnt[4] +.sym 12100 $false +.sym 12101 $false +.sym 12102 $false +.sym 12105 init_ram_cnt[3] +.sym 12106 $false .sym 12107 $false .sym 12108 $false -.sym 12114 $abc$56607$n1544 -.sym 12115 $abc$56607$n1545 -.sym 12116 $abc$56607$n31 -.sym 12117 $abc$56607$n897 -.sym 12118 UART.tx_clk_counter[2] -.sym 12119 UART.tx_clk_counter[3] -.sym 12186 $abc$56607$n2483 -.sym 12187 $abc$56607$n1636 -.sym 12188 I2C.FLT_SCL.out -.sym 12189 I2C.SCLF -.sym 12204 $false -.sym 12205 I2C.FLT_SCL.counter[0] -.sym 12206 $false -.sym 12207 $true$2 -.sym 12210 I2C.FLT_SCL.out -.sym 12211 I2C.SCLF -.sym 12212 $abc$56607$n1009 -.sym 12213 $false -.sym 12216 I2C.FLT_SCL.counter[0] -.sym 12217 I2C.FLT_SCL.counter[1] -.sym 12218 $false -.sym 12219 $false -.sym 12222 $abc$56607$n1009 -.sym 12223 $abc$56607$n1636 +.sym 12112 $abc$51270$n1197 +.sym 12113 $abc$51270$n729 +.sym 12116 $abc$51270$n184 +.sym 12117 $abc$51270$n23 +.sym 12118 $abc$51270$n191 +.sym 12119 $abc$51270$n187 +.sym 12148 $true +.sym 12185 init_ram_cnt[1]$2 +.sym 12186 $false +.sym 12187 init_ram_cnt[1] +.sym 12188 $false +.sym 12189 $false +.sym 12191 $auto$alumacc.cc:474:replace_alu$9545.C[3] +.sym 12193 init_ram_cnt[2] +.sym 12194 $true$2 +.sym 12197 $auto$alumacc.cc:474:replace_alu$9545.C[4] +.sym 12199 init_ram_cnt[3] +.sym 12200 $false +.sym 12203 $auto$alumacc.cc:474:replace_alu$9545.C[5] +.sym 12205 init_ram_cnt[4] +.sym 12206 $true$2 +.sym 12209 $auto$alumacc.cc:474:replace_alu$9545.C[6] +.sym 12211 init_ram_cnt[5] +.sym 12212 $false +.sym 12215 $auto$alumacc.cc:474:replace_alu$9545.C[7] +.sym 12217 init_ram_cnt[6] +.sym 12218 $true$2 +.sym 12221 $abc$51270$n22$2 +.sym 12223 init_ram_cnt[7] .sym 12224 $false -.sym 12225 $false -.sym 12228 $abc$56607$n2483 -.sym 12229 $false -.sym 12230 $false -.sym 12231 $false -.sym 12232 $abc$56607$n1512 -.sym 12233 CLK$2$2 -.sym 12234 $abc$56607$n35$2 -.sym 12309 $abc$56607$n1471 -.sym 12335 KEYBOARD.COLS_SHADOW[4] -.sym 12336 KEYBOARD.COLS_SHADOW[3] -.sym 12337 KEYBOARD.COLS_SHADOW[0] -.sym 12339 KEYBOARD.COLS_SHADOW[7] -.sym 12340 KEYBOARD.COLS_SHADOW[2] -.sym 12341 KEYBOARD.COLS_SHADOW[1] -.sym 12342 KEYBOARD.COLS_SHADOW[5] -.sym 12410 $abc$56607$n705 -.sym 12411 $abc$56607$n709 -.sym 12412 $abc$56607$n711 -.sym 12413 $false -.sym 12416 $abc$56607$n33$2 -.sym 12417 $abc$56607$n1490$2 -.sym 12418 $false -.sym 12419 $false -.sym 12422 $abc$56607$n678 -.sym 12423 $abc$56607$n691 -.sym 12424 KEYBOARD.is_pressed -.sym 12425 $abc$56607$n696 -.sym 12428 $abc$56607$n702 -.sym 12429 KEYBOARD.is_pressed -.sym 12430 KEYBOARD.COLS_SHADOW[5] -.sym 12431 $abc$56607$n697 -.sym 12434 $abc$56607$n677 -.sym 12435 $abc$56607$n701 -.sym 12436 $abc$56607$n714 -.sym 12437 $abc$56607$n704 -.sym 12446 $abc$56607$n708 -.sym 12447 KEYBOARD.is_pressed -.sym 12448 KEYBOARD.COLS_SHADOW[4] -.sym 12449 $abc$56607$n706 +.sym 12231 $abc$51270$n22$2 +.sym 12309 $abc$51270$n1404 +.sym 12335 $abc$51270$n711 +.sym 12336 $abc$51270$n33 +.sym 12337 $abc$51270$n710 +.sym 12339 KEYBOARD.report[6][4] +.sym 12340 KEYBOARD.report[6][6] +.sym 12341 KEYBOARD.report[6][3] +.sym 12342 KEYBOARD.report[6][1] +.sym 12410 KEYBOARD.kbd_code_hid[4] +.sym 12411 KEYBOARD.report[6][4] +.sym 12412 KEYBOARD.report[6][0] +.sym 12413 KEYBOARD.kbd_code_hid[0] +.sym 12416 KEYBOARD.kbd_code_hid[1] +.sym 12417 KEYBOARD.report[6][1] +.sym 12418 KEYBOARD.kbd_code_hid[6] +.sym 12419 KEYBOARD.report[6][6] +.sym 12422 KEYBOARD.kbd_code_hid[3] +.sym 12423 KEYBOARD.report[6][3] +.sym 12424 KEYBOARD.kbd_code_hid[7] +.sym 12425 KEYBOARD.report[6][7] +.sym 12428 $abc$51270$n947 +.sym 12429 $abc$51270$n948 +.sym 12430 $abc$51270$n949 +.sym 12431 $abc$51270$n950_1 +.sym 12434 $abc$51270$n1001 +.sym 12435 $abc$51270$n1418$2 +.sym 12436 $false +.sym 12437 $false +.sym 12440 KEYBOARD.kbd_code_hid[5] +.sym 12441 $false +.sym 12442 $false +.sym 12443 $false +.sym 12446 KEYBOARD.kbd_code_hid[7] +.sym 12447 $false +.sym 12448 $false +.sym 12449 $false +.sym 12452 KEYBOARD.kbd_code_hid[0] +.sym 12453 $false +.sym 12454 $false +.sym 12455 $false +.sym 12456 $abc$51270$n1313 +.sym 12457 CLK$2$2 +.sym 12458 $abc$51270$n27 .sym 12461 KBD_COLUMNS[4]$2 -.sym 12465 $abc$56607$n1635 -.sym 12466 $abc$56607$n2244 -.sym 12467 $abc$56607$n1003 -.sym 12468 $abc$56607$n2481 -.sym 12469 KEYBOARD.is_pressed -.sym 12573 $abc$56607$n719 -.sym 12574 KEYBOARD.COLS_SHADOW[3] -.sym 12575 KEYBOARD.is_pressed -.sym 12576 $abc$56607$n711 -.sym 12579 $abc$56607$n716 -.sym 12580 $abc$56607$n718 -.sym 12581 $false +.sym 12463 $abc$51270$n899 +.sym 12464 $abc$51270$n924 +.sym 12465 $abc$51270$n952_1 +.sym 12466 $abc$51270$n923 +.sym 12467 $abc$51270$n1258 +.sym 12468 $abc$51270$n1313 +.sym 12469 $abc$51270$n1457_1 +.sym 12470 KEYBOARD.isr +.sym 12573 KEYBOARD.report[6][0] +.sym 12574 KEYBOARD.report[6][1] +.sym 12575 KEYBOARD.report[6][2] +.sym 12576 KEYBOARD.report[6][3] +.sym 12579 I2C.FLT_SDA.out +.sym 12580 I2C.SDAF +.sym 12581 $abc$51270$n1011 .sym 12582 $false -.sym 12585 $abc$56607$n722 -.sym 12586 KEYBOARD.COLS_SHADOW[2] -.sym 12587 KEYBOARD.is_pressed -.sym 12588 $abc$56607$n723 -.sym 12591 $abc$56607$n726 -.sym 12592 KEYBOARD.COLS_SHADOW[0] -.sym 12593 KEYBOARD.is_pressed -.sym 12594 $abc$56607$n727 -.sym 12597 $abc$56607$n719 -.sym 12598 KEYBOARD.COLS_SHADOW[3] -.sym 12599 $abc$56607$n711 -.sym 12600 $false -.sym 12603 $abc$56607$n721 -.sym 12604 $abc$56607$n725 -.sym 12605 $false -.sym 12606 $false -.sym 12609 $abc$56607$n717 -.sym 12610 KEYBOARD.COLS_SHADOW[1] -.sym 12611 KEYBOARD.is_pressed -.sym 12612 $abc$56607$n709 -.sym 12615 $abc$56607$n676 -.sym 12616 $abc$56607$n715 -.sym 12617 $abc$56607$n728 -.sym 12618 $abc$56607$n720 -.sym 12622 $abc$56607$n709 -.sym 12623 $abc$56607$n679 -.sym 12624 $abc$56607$n710 -.sym 12625 $abc$56607$n693 -.sym 12626 $abc$56607$n723 -.sym 12627 $abc$56607$n727 -.sym 12628 $abc$56607$n724 -.sym 12629 KEYBOARD.row_counter[3] -.sym 12696 $abc$56607$n723 -.sym 12697 $abc$56607$n727 +.sym 12585 $abc$51270$n2334 +.sym 12586 $abc$51270$n1012 +.sym 12587 $false +.sym 12588 $false +.sym 12591 $abc$51270$n954 +.sym 12592 $abc$51270$n955 +.sym 12593 $false +.sym 12594 $false +.sym 12597 KEYBOARD.kbd_code_hid[2] +.sym 12598 KEYBOARD.report[6][2] +.sym 12599 KEYBOARD.kbd_code_hid[5] +.sym 12600 KEYBOARD.report[6][5] +.sym 12603 $abc$51270$n2333 +.sym 12604 $abc$51270$n1629 +.sym 12605 I2C.FLT_SDA.out +.sym 12606 I2C.SDAF +.sym 12609 KEYBOARD.report[6][4] +.sym 12610 KEYBOARD.report[6][5] +.sym 12611 KEYBOARD.report[6][6] +.sym 12612 KEYBOARD.report[6][7] +.sym 12615 KEYBOARD.kbd_code_hid[2] +.sym 12616 $false +.sym 12617 $false +.sym 12618 $false +.sym 12619 $abc$51270$n1313 +.sym 12620 CLK$2$2 +.sym 12621 $abc$51270$n27 +.sym 12622 $abc$51270$n1857 +.sym 12623 $abc$51270$n2315 +.sym 12624 $abc$51270$n915 +.sym 12625 $abc$51270$n907 +.sym 12626 wr_cnt[1] +.sym 12627 wr_cnt[0] +.sym 12628 wr_cnt[2] +.sym 12629 wr_cnt[3] +.sym 12696 $abc$51270$n1123 +.sym 12697 KEYBOARD.report[3][4] .sym 12698 $false .sym 12699 $false -.sym 12702 KEYBOARD.COLS_SHADOW[0] -.sym 12703 $abc$56607$n726 -.sym 12704 $abc$56607$n727 -.sym 12705 $false -.sym 12708 KEYBOARD.COLS_SHADOW[7] -.sym 12709 $abc$56607$n685 -.sym 12710 $abc$56607$n679 -.sym 12711 $abc$56607$n706 -.sym 12714 $abc$56607$n685 -.sym 12715 KEYBOARD.COLS_SHADOW[7] -.sym 12716 $abc$56607$n679 +.sym 12702 $abc$51270$n977 +.sym 12703 $abc$51270$n973 +.sym 12704 $abc$51270$n976 +.sym 12705 $abc$51270$n1418$2 +.sym 12708 KEYBOARD.kbd_code_hid[5] +.sym 12709 KEYBOARD.report[3][5] +.sym 12710 KEYBOARD.kbd_code_hid[6] +.sym 12711 KEYBOARD.report[3][6] +.sym 12714 KEYBOARD.kbd_code_hid[3] +.sym 12715 KEYBOARD.report[3][3] +.sym 12716 $false .sym 12717 $false -.sym 12720 $abc$56607$n719 -.sym 12721 KEYBOARD.COLS_SHADOW[3] -.sym 12722 $abc$56607$n711 -.sym 12723 $false -.sym 12726 $abc$56607$n717 -.sym 12727 KEYBOARD.COLS_SHADOW[1] -.sym 12728 $abc$56607$n709 -.sym 12729 $false -.sym 12732 KEYBOARD.COLS_SHADOW[7] -.sym 12733 $abc$56607$n685 -.sym 12734 $abc$56607$n679 -.sym 12735 $false -.sym 12738 $abc$56607$n726 -.sym 12739 KEYBOARD.COLS_SHADOW[0] -.sym 12740 $abc$56607$n727 +.sym 12720 KEYBOARD.report[3][4] +.sym 12721 KEYBOARD.report[3][5] +.sym 12722 KEYBOARD.report[3][6] +.sym 12723 KEYBOARD.report[3][7] +.sym 12726 $abc$51270$n974_1 +.sym 12727 KEYBOARD.kbd_code_hid[4] +.sym 12728 KEYBOARD.report[3][4] +.sym 12729 $abc$51270$n975 +.sym 12732 KEYBOARD.report[6][6] +.sym 12733 $abc$51270$n1124 +.sym 12734 $abc$51270$n1123 +.sym 12735 KEYBOARD.report[3][6] +.sym 12738 $abc$51270$n2317 +.sym 12739 $false +.sym 12740 $false .sym 12741 $false -.sym 12742 $abc$56607$n1484 +.sym 12742 $abc$51270$n1354 .sym 12743 CLK$2$2 -.sym 12744 $abc$56607$n31$2 -.sym 12747 $abc$56607$n2237 -.sym 12748 $abc$56607$n28 -.sym 12749 $abc$56607$n2250 -.sym 12750 $abc$56607$n2463 -.sym 12751 KEYBOARD.report[6][0] -.sym 12752 KEYBOARD.report[6][3] -.sym 12819 KEYBOARD.kbd_code_hid[2] -.sym 12820 KEYBOARD.kbd_code_hid[1] -.sym 12821 KEYBOARD.kbd_code_hid[0] -.sym 12822 $false -.sym 12825 $abc$56607$n923 -.sym 12826 I2C.FLT_SCL.RESET -.sym 12827 $false -.sym 12828 $false -.sym 12831 KEYBOARD.kbd_code_hid[1] -.sym 12832 KEYBOARD.kbd_code_hid[0] -.sym 12833 KEYBOARD.kbd_code_hid[2] -.sym 12834 $false -.sym 12849 $abc$56607$n27 -.sym 12850 KEYBOARD.report[0][4] -.sym 12851 $abc$56607$n1373 -.sym 12852 $false -.sym 12855 $abc$56607$n27 -.sym 12856 KEYBOARD.report[0][7] -.sym 12857 $abc$56607$n918 +.sym 12744 $abc$51270$n35$2 +.sym 12745 $abc$51270$n1006 +.sym 12746 $abc$51270$n981 +.sym 12747 $abc$51270$n980 +.sym 12748 $abc$51270$n908 +.sym 12749 $abc$51270$n983 +.sym 12750 $abc$51270$n982 +.sym 12751 KEYBOARD.report[2][6] +.sym 12752 KEYBOARD.report[2][7] +.sym 12819 $abc$51270$n1123 +.sym 12820 KEYBOARD.report[3][3] +.sym 12821 $abc$51270$n1113 +.sym 12822 KEYBOARD.report[5][3] +.sym 12825 $abc$51270$n1115 +.sym 12826 KEYBOARD.report[2][7] +.sym 12827 KEYBOARD.report[6][7] +.sym 12828 $abc$51270$n1124 +.sym 12831 KEYBOARD.report[2][0] +.sym 12832 KEYBOARD.report[2][1] +.sym 12833 KEYBOARD.report[2][2] +.sym 12834 KEYBOARD.report[2][3] +.sym 12837 KEYBOARD.kbd_code_hid[1] +.sym 12838 KEYBOARD.report[2][1] +.sym 12839 KEYBOARD.kbd_code_hid[2] +.sym 12840 KEYBOARD.report[2][2] +.sym 12843 KEYBOARD.report[6][3] +.sym 12844 $abc$51270$n1124 +.sym 12845 $abc$51270$n1153 +.sym 12846 $false +.sym 12849 KEYBOARD.report[6][1] +.sym 12850 $abc$51270$n1124 +.sym 12851 $abc$51270$n1113 +.sym 12852 KEYBOARD.report[5][1] +.sym 12855 $abc$51270$n2312 +.sym 12856 $false +.sym 12857 $false .sym 12858 $false -.sym 12861 $abc$56607$n27 -.sym 12862 KEYBOARD.report[0][1] -.sym 12863 $abc$56607$n1367 +.sym 12861 $abc$51270$n2311 +.sym 12862 $false +.sym 12863 $false .sym 12864 $false -.sym 12865 $abc$56607$n1457 +.sym 12865 $abc$51270$n1365 .sym 12866 CLK$2$2 -.sym 12867 $abc$56607$n35$2 -.sym 12868 $abc$56607$n989 -.sym 12869 $abc$56607$n926 -.sym 12870 $abc$56607$n1450 -.sym 12871 $abc$56607$n904 -.sym 12872 $abc$56607$n1483 -.sym 12873 $abc$56607$n975 -.sym 12874 $abc$56607$n905 -.sym 12875 KEYBOARD.isr -.sym 12942 $abc$56607$n915 -.sym 12943 KEYBOARD.init_ram_cnt[8] -.sym 12944 I2C.FLT_SCL.RESET +.sym 12867 $abc$51270$n35$2 +.sym 12868 $abc$51270$n921_1 +.sym 12869 $abc$51270$n1460_1 +.sym 12870 $abc$51270$n1461 +.sym 12871 $abc$51270$n1415 +.sym 12872 $abc$51270$n920 +.sym 12873 $abc$51270$n1459 +.sym 12874 $abc$51270$n966 +.sym 12875 KEYBOARD.report[4][6] +.sym 12942 $abc$51270$n1124 +.sym 12943 KEYBOARD.report[6][4] +.sym 12944 $false .sym 12945 $false -.sym 12948 $abc$56607$n915 -.sym 12949 I2C.FLT_SCL.RESET -.sym 12950 KEYBOARD.init_ram_cnt[8] -.sym 12951 $false -.sym 12954 $abc$56607$n916 -.sym 12955 $abc$56607$n920 -.sym 12956 $abc$56607$n923 +.sym 12948 KEYBOARD.report[4][4] +.sym 12949 $abc$51270$n1121 +.sym 12950 $abc$51270$n1120 +.sym 12951 $abc$51270$n1163_1 +.sym 12954 KEYBOARD.report[2][1] +.sym 12955 $abc$51270$n1115 +.sym 12956 $abc$51270$n1136 .sym 12957 $false -.sym 12960 KEYBOARD.kbd_code_hid[2] -.sym 12961 KEYBOARD.kbd_code_hid[0] -.sym 12962 KEYBOARD.kbd_code_hid[1] -.sym 12963 $false -.sym 12966 KEYBOARD.kbd_code_hid[2] -.sym 12967 KEYBOARD.kbd_code_hid[1] -.sym 12968 KEYBOARD.kbd_code_hid[0] -.sym 12969 $false -.sym 12972 $abc$56607$n27 -.sym 12973 KEYBOARD.report[0][0] -.sym 12974 $abc$56607$n921 -.sym 12975 $false -.sym 12978 $abc$56607$n27 -.sym 12979 KEYBOARD.report[0][2] -.sym 12980 $abc$56607$n1369 -.sym 12981 $false -.sym 12984 $abc$56607$n27 -.sym 12985 KEYBOARD.report[0][3] -.sym 12986 $abc$56607$n1371 +.sym 12960 $abc$51270$n1121 +.sym 12961 KEYBOARD.report[4][6] +.sym 12962 $abc$51270$n1181 +.sym 12963 $abc$51270$n1183 +.sym 12966 KEYBOARD.report[3][7] +.sym 12967 $abc$51270$n1123 +.sym 12968 KEYBOARD.report[4][7] +.sym 12969 $abc$51270$n1121 +.sym 12972 $abc$51270$n1115 +.sym 12973 KEYBOARD.report[2][6] +.sym 12974 $abc$51270$n1113 +.sym 12975 KEYBOARD.report[5][6] +.sym 12978 $abc$51270$n1165 +.sym 12979 $abc$51270$n1166 +.sym 12980 $abc$51270$n1164 +.sym 12981 $abc$51270$n1167 +.sym 12984 $abc$51270$n2318 +.sym 12985 $false +.sym 12986 $false .sym 12987 $false -.sym 12988 $abc$56607$n1457 +.sym 12988 $abc$51270$n1325 .sym 12989 CLK$2$2 -.sym 12990 $abc$56607$n35$2 -.sym 12991 $abc$56607$n909 -.sym 12992 $abc$56607$n910 -.sym 12993 $abc$56607$n1522 -.sym 12994 $abc$56607$n1515_1 -.sym 12995 KEYBOARD.report[1][2] -.sym 12996 KEYBOARD.report[1][0] -.sym 12997 KEYBOARD.report[1][5] -.sym 12998 KEYBOARD.report[1][3] -.sym 13065 $abc$56607$n920 -.sym 13066 $abc$56607$n916 -.sym 13067 $abc$56607$n943 -.sym 13068 I2C.FLT_SCL.RESET -.sym 13071 $abc$56607$n920 -.sym 13072 $abc$56607$n916 -.sym 13073 $abc$56607$n943 -.sym 13074 I2C.FLT_SCL.RESET -.sym 13077 $abc$56607$n923 -.sym 13078 KEYBOARD.init_ram_cnt[8] -.sym 13079 $false -.sym 13080 $false -.sym 13083 KEYBOARD.kbd_code_hid[7] -.sym 13084 KEYBOARD.kbd_code_hid[6] -.sym 13085 KEYBOARD.kbd_code_hid[4] -.sym 13086 KEYBOARD.kbd_code_hid[5] -.sym 13089 KEYBOARD.kbd_code_hid[3] -.sym 13090 $abc$56607$n922 -.sym 13091 $abc$56607$n921 -.sym 13092 $false -.sym 13095 KEYBOARD.kbd_code_hid[2] -.sym 13096 KEYBOARD.kbd_code_hid[1] -.sym 13097 KEYBOARD.kbd_code_hid[0] -.sym 13098 $false -.sym 13101 $abc$56607$n943 -.sym 13102 I2C.FLT_SCL.RESET -.sym 13103 $false -.sym 13104 $false -.sym 13107 KEYBOARD.kbd_code_hid[2] +.sym 12990 $abc$51270$n35$2 +.sym 12991 $abc$51270$n2318 +.sym 12992 $abc$51270$n912 +.sym 12993 $abc$51270$n911 +.sym 12994 $abc$51270$n902 +.sym 12995 $abc$51270$n903 +.sym 12996 $abc$51270$n913 +.sym 12997 KEYBOARD.report[2][4] +.sym 12998 KEYBOARD.report[2][3] +.sym 13065 $abc$51270$n1117_1 +.sym 13066 KEYBOARD.report[1][6] +.sym 13067 $false +.sym 13068 $false +.sym 13071 KEYBOARD.report[4][3] +.sym 13072 $abc$51270$n1121 +.sym 13073 $abc$51270$n1117_1 +.sym 13074 KEYBOARD.report[1][3] +.sym 13077 $abc$51270$n1180 +.sym 13078 $abc$51270$n1469 +.sym 13079 $abc$51270$n1185 +.sym 13080 $abc$51270$n1120 +.sym 13083 $abc$51270$n1118 +.sym 13084 KEYBOARD.report[0][4] +.sym 13085 $abc$51270$n1115 +.sym 13086 KEYBOARD.report[2][4] +.sym 13089 KEYBOARD.report[1][6] +.sym 13090 KEYBOARD.report[5][6] +.sym 13091 wr_cnt[2] +.sym 13092 $abc$51270$n1127 +.sym 13095 KEYBOARD.report[2][3] +.sym 13096 $abc$51270$n1115 +.sym 13097 $abc$51270$n1152_1 +.sym 13098 $abc$51270$n1154 +.sym 13101 $abc$51270$n1501 +.sym 13102 $abc$51270$n1473 +.sym 13103 $abc$51270$n1127 +.sym 13104 $abc$51270$n1120 +.sym 13107 $abc$51270$n2314 .sym 13108 $false .sym 13109 $false .sym 13110 $false -.sym 13111 $abc$56607$n1328 +.sym 13111 $abc$51270$n1325 .sym 13112 CLK$2$2 -.sym 13113 $abc$56607$n27 -.sym 13114 $abc$56607$n911 -.sym 13115 $abc$56607$n1375 -.sym 13116 $abc$56607$n1163 -.sym 13117 $abc$56607$n1524_1 -.sym 13118 $abc$56607$n1188 -.sym 13119 $abc$56607$n1485 -.sym 13120 KEYBOARD.report[0][6] -.sym 13121 KEYBOARD.report[0][5] -.sym 13188 KEYBOARD.report[0][3] -.sym 13189 KEYBOARD.report[1][3] -.sym 13190 $abc$56607$n1124 -.sym 13191 $abc$56607$n1116 -.sym 13200 KEYBOARD.report[0][2] -.sym 13201 KEYBOARD.report[1][2] -.sym 13202 $abc$56607$n1124 +.sym 13113 $abc$51270$n35$2 +.sym 13114 $abc$51270$n1479 +.sym 13115 $abc$51270$n1506 +.sym 13116 $abc$51270$n880 +.sym 13117 $abc$51270$n1478_1 +.sym 13118 $abc$51270$n1507 +.sym 13119 $abc$51270$n1505 +.sym 13120 $abc$51270$n796_1 +.sym 13121 I2C_HID_DESC.last_rd_request +.sym 13188 $abc$51270$n269 +.sym 13189 wr_cnt[1] +.sym 13190 $false +.sym 13191 $false +.sym 13194 KEYBOARD.report[0][3] +.sym 13195 $abc$51270$n1118 +.sym 13196 $abc$51270$n1120 +.sym 13197 $abc$51270$n1151 +.sym 13200 $false +.sym 13201 wr_cnt[0] +.sym 13202 $false .sym 13203 $false -.sym 13206 $abc$56607$n1149 -.sym 13207 $abc$56607$n1152 -.sym 13208 KEYBOARD.report[0][2] -.sym 13209 $abc$56607$n1120 -.sym 13212 KEYBOARD.report[0][4] -.sym 13213 $abc$56607$n1120 -.sym 13214 $abc$56607$n1178 -.sym 13215 $abc$56607$n1116 -.sym 13224 KEYBOARD.report[0][4] -.sym 13225 KEYBOARD.report[1][4] -.sym 13226 $abc$56607$n1124 -.sym 13227 $abc$56607$n1116 -.sym 13230 $abc$56607$n1288 -.sym 13231 $abc$56607$n875 -.sym 13232 $false +.sym 13206 KEYBOARD.report[0][3] +.sym 13207 KEYBOARD.report[1][3] +.sym 13208 $abc$51270$n1128 +.sym 13209 $abc$51270$n1120 +.sym 13212 KEYBOARD.kbd_code_hid[2] +.sym 13213 KEYBOARD.kbd_code_hid[1] +.sym 13214 KEYBOARD.kbd_code_hid[0] +.sym 13215 $false +.sym 13218 wr_cnt[2] +.sym 13219 $abc$51270$n269 +.sym 13220 wr_cnt[1] +.sym 13221 $false +.sym 13224 KEYBOARD.report[0][3] +.sym 13225 $abc$51270$n27 +.sym 13226 $abc$51270$n1352 +.sym 13227 $false +.sym 13230 KEYBOARD.report[0][0] +.sym 13231 $abc$51270$n27 +.sym 13232 $abc$51270$n912 .sym 13233 $false -.sym 13234 $true +.sym 13234 $abc$51270$n1381 .sym 13235 CLK$2$2 -.sym 13236 $false -.sym 13238 $abc$56607$n1201 -.sym 13239 $abc$56607$n1497 -.sym 13240 $abc$56607$n1528 -.sym 13241 $abc$56607$n1116 -.sym 13242 $abc$56607$n1124 -.sym 13243 $abc$56607$n2458 -.sym 13244 $abc$56607$n1531 -.sym 13311 $abc$56607$n1120 -.sym 13312 KEYBOARD.report[0][7] -.sym 13313 $abc$56607$n1209 -.sym 13314 $abc$56607$n1129 -.sym 13317 $abc$56607$n1124 -.sym 13318 KEYBOARD.report[0][6] -.sym 13319 $abc$56607$n1201 -.sym 13320 $abc$56607$n1129 -.sym 13323 KEYBOARD.report[0][0] -.sym 13324 $abc$56607$n1120 -.sym 13325 $abc$56607$n1518 -.sym 13326 $abc$56607$n1129 -.sym 13329 KEYBOARD.report[1][7] -.sym 13330 $abc$56607$n1126 -.sym 13331 KEYBOARD.report[0][7] -.sym 13332 $abc$56607$n1124 -.sym 13335 $abc$56607$n1124 -.sym 13336 KEYBOARD.report[0][0] -.sym 13337 $abc$56607$n1497 -.sym 13338 $abc$56607$n1129 -.sym 13341 $abc$56607$n1120 -.sym 13342 KEYBOARD.report[0][6] -.sym 13343 $abc$56607$n1531 -.sym 13344 $abc$56607$n1129 -.sym 13353 I2C.FLT_SDA.out +.sym 13236 $abc$51270$n35$2 +.sym 13237 $abc$51270$n1420 +.sym 13238 UART_TX_DATA[7] +.sym 13239 UART_TX_DATA[3] +.sym 13241 UART_TX_DATA[1] +.sym 13242 UART_TX_DATA[4] +.sym 13243 UART_TX_DATA[0] +.sym 13244 UART_TX_DATA[5] +.sym 13311 UART_TX_DATA[3] +.sym 13312 UART_TX_DATA[1] +.sym 13313 $abc$51270$n680 +.sym 13314 $false +.sym 13317 REPORT_DATA.r_data[4] +.sym 13318 I2C_TX_DESC[4] +.sym 13319 I2C_OUT_DESC_MASK[4] +.sym 13320 $false +.sym 13323 KEYBOARD.kbd_code_hid[0] +.sym 13324 KEYBOARD.kbd_code_hid[1] +.sym 13325 KEYBOARD.kbd_code_hid[2] +.sym 13326 $false +.sym 13329 $abc$51270$n27 +.sym 13330 KEYBOARD.kbd_code_hid[0] +.sym 13331 $false +.sym 13332 $false +.sym 13335 UART_TX_DATA[7] +.sym 13336 UART_TX_DATA[5] +.sym 13337 $abc$51270$n680 +.sym 13338 $false +.sym 13341 UART_TX_DATA[2] +.sym 13342 UART_TX_DATA[0] +.sym 13343 $abc$51270$n680 +.sym 13344 $false +.sym 13347 KEYBOARD.kbd_code_hid[1] +.sym 13348 KEYBOARD.kbd_code_hid[2] +.sym 13349 KEYBOARD.kbd_code_hid[0] +.sym 13350 $false +.sym 13353 I2C.byte_counter[3] .sym 13354 $false .sym 13355 $false .sym 13356 $false -.sym 13357 $abc$56607$n1290 +.sym 13357 $abc$51270$n1420 .sym 13358 CLK$2$2 -.sym 13359 $false -.sym 13360 $abc$56607$n893 -.sym 13361 $abc$56607$n1126 -.sym 13362 $abc$56607$n1139 -.sym 13363 $abc$56607$n1132 -.sym 13364 $abc$56607$n1130 -.sym 13365 $abc$56607$n1133 -.sym 13366 UART.TX_sig_last -.sym 13367 UART.tx_activity -.sym 13434 I2C.FLT_SCL.RESET -.sym 13435 $abc$56607$n893 -.sym 13436 $false -.sym 13437 $false -.sym 13446 I2C.received_byte[7] -.sym 13447 I2C.received_byte[3] -.sym 13448 $abc$56607$n1279 -.sym 13449 I2C.received_byte[6] -.sym 13452 I2C.received_byte[4] -.sym 13453 I2C.received_byte[1] -.sym 13454 I2C.received_byte[2] -.sym 13455 I2C.received_byte[5] -.sym 13458 $abc$56607$n895 -.sym 13459 $abc$56607$n898 -.sym 13460 $abc$56607$n893 -.sym 13461 I2C.FLT_SCL.RESET -.sym 13464 $abc$56607$n670 -.sym 13465 $abc$56607$n1130 +.sym 13359 $abc$51270$n35$2 +.sym 13360 $abc$51270$n1046_1 +.sym 13361 $abc$51270$n937 +.sym 13362 $abc$51270$n1054 +.sym 13365 KEYBOARD.ROWS_EN[14] +.sym 13366 KEYBOARD.ROWS_EN[13] +.sym 13434 $2\uart_double_ff[0:0] +.sym 13435 I2C.wr +.sym 13436 last_wr +.sym 13437 $abc$51270$n769 +.sym 13458 REPORT_DATA.r_data[0] +.sym 13459 I2C_HID_DESC.VAL[0] +.sym 13460 I2C_OUT_DESC_MASK[0] +.sym 13461 $false +.sym 13464 last_wr +.sym 13465 I2C.wr .sym 13466 $false .sym 13467 $false -.sym 13470 $abc$56607$n22 -.sym 13471 $false +.sym 13470 I2C.wr +.sym 13471 last_wr .sym 13472 $false .sym 13473 $false -.sym 13476 I2C.FLT_SDA.out +.sym 13476 I2C.wr .sym 13477 $false .sym 13478 $false .sym 13479 $false -.sym 13480 $abc$56607$n1302 +.sym 13480 $abc$51270$n1149 .sym 13481 CLK$2$2 .sym 13482 $false -.sym 13483 $abc$56607$n832 -.sym 13484 $abc$56607$n1540 -.sym 13485 $abc$56607$n1113 -.sym 13486 $abc$56607$n1504 -.sym 13487 $abc$56607$n808 -.sym 13488 $abc$56607$n1502_1 -.sym 13489 $abc$56607$n804 -.sym 13490 $abc$56607$n1503 -.sym 13557 $abc$56607$n22 -.sym 13558 I2C.byte_counter[1] -.sym 13559 $abc$56607$n810 -.sym 13560 $false -.sym 13563 $abc$56607$n1536_1 -.sym 13564 $abc$56607$n1543 -.sym 13565 $abc$56607$n816_1 -.sym 13566 $abc$56607$n1542 -.sym 13569 I2C.byte_counter[1] -.sym 13570 $abc$56607$n1541_1 -.sym 13571 $abc$56607$n833 -.sym 13572 $abc$56607$n754 -.sym 13575 I2C.byte_counter[0] -.sym 13576 I2C.byte_counter[1] -.sym 13577 $abc$56607$n807 +.sym 13483 $abc$51270$n837 +.sym 13484 $abc$51270$n836 +.sym 13485 $abc$51270$n828 +.sym 13486 $abc$51270$n847 +.sym 13487 $abc$51270$n827 +.sym 13488 $abc$51270$n830 +.sym 13489 $abc$51270$n1079 +.sym 13490 $abc$51270$n860 +.sym 13557 $abc$51270$n796_1 +.sym 13558 $abc$51270$n757 +.sym 13559 $abc$51270$n780 +.sym 13560 $abc$51270$n795 +.sym 13563 $abc$51270$n769 +.sym 13564 I2C.FLT_SCL.RESET +.sym 13565 $false +.sym 13566 $false +.sym 13569 $abc$51270$n829 +.sym 13570 $abc$51270$n848 +.sym 13571 I2C_OUTPUT_TYPE[1] +.sym 13572 $false +.sym 13575 $abc$51270$n19 +.sym 13576 $abc$51270$n769 +.sym 13577 $abc$51270$n759 .sym 13578 $false -.sym 13581 I2C.byte_counter[0] -.sym 13582 $abc$56607$n673 -.sym 13583 $abc$56607$n834 -.sym 13584 I2C.is_read -.sym 13587 $abc$56607$n834 -.sym 13588 $abc$56607$n809 -.sym 13589 $abc$56607$n1537 -.sym 13590 I2C.byte_counter[0] -.sym 13593 $abc$56607$n673 -.sym 13594 $abc$56607$n807 -.sym 13595 I2C.is_read -.sym 13596 $abc$56607$n754 -.sym 13599 I2C.FLT_SDA.out -.sym 13600 $false +.sym 13581 i2c_input_data_type[0] +.sym 13582 i2c_input_data_type[1] +.sym 13583 i2c_input_data_type[3] +.sym 13584 $abc$51270$n848 +.sym 13587 $abc$51270$n796_1 +.sym 13588 $abc$51270$n757 +.sym 13589 $abc$51270$n795 +.sym 13590 $false +.sym 13593 $abc$51270$n1079 +.sym 13594 $abc$51270$n1099 +.sym 13595 $abc$51270$n860 +.sym 13596 $abc$51270$n1096 +.sym 13599 $abc$51270$n729 +.sym 13600 $abc$51270$n690 .sym 13601 $false .sym 13602 $false -.sym 13603 $abc$56607$n1278 +.sym 13603 $abc$51270$n945 .sym 13604 CLK$2$2 -.sym 13605 $false -.sym 13606 $abc$56607$n1095 -.sym 13607 $abc$56607$n809 -.sym 13608 $abc$56607$n1077 -.sym 13609 $abc$56607$n1478 -.sym 13610 $abc$56607$n1251 -.sym 13611 $abc$56607$n1096 -.sym 13612 i2c_input_data_type[2] -.sym 13613 i2c_input_data_type[1] -.sym 13680 $abc$56607$n1079 -.sym 13681 $abc$56607$n1082 -.sym 13682 $abc$56607$n745 +.sym 13605 $abc$51270$n23$2 +.sym 13606 $abc$51270$n728 +.sym 13607 $abc$51270$n754 +.sym 13608 $abc$51270$n737 +.sym 13609 $abc$51270$n690 +.sym 13610 $abc$51270$n1163 +.sym 13611 $abc$51270$n756 +.sym 13612 $abc$51270$n733 +.sym 13613 last_isr +.sym 13680 $abc$51270$n772 +.sym 13681 $2\uart_double_ff[0:0] +.sym 13682 $abc$51270$n842_1 .sym 13683 $false -.sym 13686 $abc$56607$n1540 -.sym 13687 $abc$56607$n825 -.sym 13688 $abc$56607$n822 -.sym 13689 I2C.byte_counter[0] -.sym 13692 $abc$56607$n1073 -.sym 13693 I2C.received_byte[2] -.sym 13694 $abc$56607$n834 -.sym 13695 $abc$56607$n812 -.sym 13698 I2C.received_byte[1] -.sym 13699 $abc$56607$n1073 -.sym 13700 $abc$56607$n1079 -.sym 13701 $abc$56607$n745 -.sym 13704 I2C.received_byte[0] -.sym 13705 I2C.received_byte[3] -.sym 13706 I2C.received_byte[1] -.sym 13707 I2C.received_byte[2] -.sym 13710 I2C.received_byte[4] -.sym 13711 I2C.received_byte[5] -.sym 13712 $abc$56607$n828 -.sym 13713 $abc$56607$n835 -.sym 13716 $abc$56607$n812 -.sym 13717 $abc$56607$n828 -.sym 13718 $abc$56607$n835 +.sym 13686 $abc$51270$n780 +.sym 13687 $abc$51270$n779 +.sym 13688 $abc$51270$n758 +.sym 13689 I2C.FLT_SCL.RESET +.sym 13692 $abc$51270$n729 +.sym 13693 $abc$51270$n22 +.sym 13694 $false +.sym 13695 $false +.sym 13698 $abc$51270$n756 +.sym 13699 $abc$51270$n764 +.sym 13700 $abc$51270$n757 +.sym 13701 $false +.sym 13704 $abc$51270$n758 +.sym 13705 $abc$51270$n728 +.sym 13706 $abc$51270$n755 +.sym 13707 $false +.sym 13710 $abc$51270$n756 +.sym 13711 $abc$51270$n764 +.sym 13712 $abc$51270$n776 +.sym 13713 $false +.sym 13716 $abc$51270$n22 +.sym 13717 $abc$51270$n729 +.sym 13718 I2C.FLT_SCL.RESET .sym 13719 $false -.sym 13722 I2C.received_byte[4] -.sym 13723 I2C.received_byte[5] -.sym 13724 $abc$56607$n1074 -.sym 13725 $false -.sym 13729 $abc$56607$n848 -.sym 13730 $abc$56607$n1509 -.sym 13731 $abc$56607$n863 -.sym 13732 $abc$56607$n1075 -.sym 13733 $abc$56607$n1211 -.sym 13734 $abc$56607$n849 -.sym 13735 i2c_input_data_type[0] -.sym 13736 i2c_input_data_type[3] -.sym 13803 $abc$56607$n1073 -.sym 13804 I2C.received_byte[0] -.sym 13805 I2C.received_byte[4] -.sym 13806 $abc$56607$n1074 -.sym 13809 $abc$56607$n988 -.sym 13810 I2C.is_read -.sym 13811 $false +.sym 13722 $abc$51270$n761 +.sym 13723 $abc$51270$n1149 +.sym 13724 $abc$51270$n755 +.sym 13725 $abc$51270$n779 +.sym 13729 $abc$51270$n791 +.sym 13730 $abc$51270$n769 +.sym 13731 $abc$51270$n788 +.sym 13732 $abc$51270$n735 +.sym 13733 $abc$51270$n734 +.sym 13734 $abc$51270$n736 +.sym 13735 $abc$51270$n759 +.sym 13736 LED1$2 +.sym 13803 $abc$51270$n771 +.sym 13804 $abc$51270$n1149 +.sym 13805 $abc$51270$n917 +.sym 13806 $abc$51270$n776 +.sym 13809 $abc$51270$n759 +.sym 13810 $abc$51270$n917 +.sym 13811 $abc$51270$n774 .sym 13812 $false -.sym 13815 $abc$56607$n667 -.sym 13816 $abc$56607$n747 -.sym 13817 $abc$56607$n742 -.sym 13818 $false -.sym 13821 $abc$56607$n1073 -.sym 13822 $abc$56607$n745 -.sym 13823 I2C.received_byte[3] +.sym 13815 $abc$51270$n739 +.sym 13816 UART.tx_activity +.sym 13817 uart_double_ff +.sym 13818 last_uart_active +.sym 13821 $abc$51270$n739 +.sym 13822 $abc$51270$n769 +.sym 13823 $false .sym 13824 $false -.sym 13827 $abc$56607$n769 -.sym 13828 I2C.FLT_SCL.RESET -.sym 13829 $false +.sym 13827 $abc$51270$n771 +.sym 13828 $abc$51270$n778 +.sym 13829 $abc$51270$n773 .sym 13830 $false -.sym 13833 $abc$56607$n741 -.sym 13834 $abc$56607$n774 -.sym 13835 $abc$56607$n776 -.sym 13836 $abc$56607$n772 -.sym 13839 $abc$56607$n836 -.sym 13840 $abc$56607$n776 -.sym 13841 $abc$56607$n819 -.sym 13842 $abc$56607$n1544_1 -.sym 13845 $3\report_wr_en[0:0] +.sym 13833 $abc$51270$n772 +.sym 13834 $abc$51270$n744 +.sym 13835 $false +.sym 13836 $false +.sym 13839 $abc$51270$n769 +.sym 13840 $abc$51270$n762 +.sym 13841 $abc$51270$n775 +.sym 13842 $false +.sym 13845 $2\uart_double_ff[0:0] .sym 13846 $false .sym 13847 $false .sym 13848 $false -.sym 13849 $abc$56607$n1014 +.sym 13849 $abc$51270$n1168 .sym 13850 CLK$2$2 -.sym 13851 $abc$56607$n23 -.sym 13852 $abc$56607$n873 -.sym 13853 $abc$56607$n760 -.sym 13854 $abc$56607$n901 -.sym 13855 $abc$56607$n1191 -.sym 13856 $abc$56607$n766 -.sym 13857 $abc$56607$n778 -.sym 13858 $abc$56607$n779 -.sym 13859 uart_double_ff -.sym 13926 $abc$56607$n741 -.sym 13927 $abc$56607$n774 -.sym 13928 $abc$56607$n754 -.sym 13929 $abc$56607$n988 -.sym 13932 last_trans -.sym 13933 I2C_TRANS -.sym 13934 $false -.sym 13935 $false -.sym 13938 $2\uart_double_ff[0:0] -.sym 13939 $abc$56607$n19 -.sym 13940 $false -.sym 13941 $false -.sym 13944 $abc$56607$n742 -.sym 13945 $abc$56607$n19 -.sym 13946 $abc$56607$n741 -.sym 13947 $false -.sym 13950 $abc$56607$n742 -.sym 13951 $abc$56607$n19 -.sym 13952 $abc$56607$n776 -.sym 13953 $false -.sym 13956 $abc$56607$n754 -.sym 13957 $abc$56607$n819 -.sym 13958 $abc$56607$n769 +.sym 13851 $abc$51270$n35$2 +.sym 13852 $abc$51270$n761 +.sym 13853 $abc$51270$n687 +.sym 13854 $abc$51270$n917 +.sym 13855 $abc$51270$n974 +.sym 13856 $abc$51270$n762 +.sym 13857 $abc$51270$n767 +.sym 13858 $abc$51270$n838 +.sym 13859 report_data_radr[0] +.sym 13888 $true +.sym 13925 ring_wr[0]$2 +.sym 13926 $false +.sym 13927 ring_wr[0] +.sym 13928 $false +.sym 13929 $false +.sym 13931 $auto$alumacc.cc:474:replace_alu$9579.C[2] +.sym 13933 $false +.sym 13934 ring_wr[1] +.sym 13937 $auto$alumacc.cc:474:replace_alu$9579.C[3] +.sym 13938 $false +.sym 13939 $false +.sym 13940 ring_wr[2] +.sym 13941 $auto$alumacc.cc:474:replace_alu$9579.C[2] +.sym 13943 $auto$alumacc.cc:474:replace_alu$9579.C[4] +.sym 13944 $false +.sym 13945 $false +.sym 13946 ring_wr[3] +.sym 13947 $auto$alumacc.cc:474:replace_alu$9579.C[3] +.sym 13950 $false +.sym 13951 $false +.sym 13952 $false +.sym 13953 $auto$alumacc.cc:474:replace_alu$9579.C[4] +.sym 13956 $abc$51270$n759 +.sym 13957 $abc$51270$n768 +.sym 13958 $abc$51270$n776 .sym 13959 $false -.sym 13962 $abc$56607$n742 -.sym 13963 $abc$56607$n19 -.sym 13964 $abc$56607$n769 -.sym 13965 $abc$56607$n870 -.sym 13968 I2C_TRANS +.sym 13968 $2\ring_wr[3:0][2] .sym 13969 $false .sym 13970 $false .sym 13971 $false -.sym 13972 $abc$56607$n1221 +.sym 13972 $abc$51270$n921 .sym 13973 CLK$2$2 -.sym 13974 $abc$56607$n35$2 -.sym 13975 $abc$56607$n2551 -.sym 13976 $abc$56607$n2548 -.sym 13977 $abc$56607$n1230 -.sym 13978 $abc$56607$n1233 -.sym 13979 $abc$56607$n1232_1 -.sym 13980 $abc$56607$n1231 -.sym 13981 report_data_wadr[3] -.sym 14049 $abc$56607$n733 -.sym 14050 $abc$56607$n670 -.sym 14051 $false -.sym 14052 $false -.sym 14055 $abc$56607$n22 -.sym 14056 $3\report_wr_en[0:0] -.sym 14057 I2C.FLT_SCL.RESET +.sym 13974 $abc$51270$n35$2 +.sym 13975 $abc$51270$n740 +.sym 13976 $abc$51270$n1089 +.sym 13977 $abc$51270$n1865 +.sym 13978 $2\ring_wr[3:0][0] +.sym 13979 $abc$51270$n741 +.sym 13980 $abc$51270$n742 +.sym 13981 $abc$51270$n1091 +.sym 13982 $abc$51270$n1088 +.sym 14055 $abc$51270$n1874 +.sym 14056 ring_wr[3] +.sym 14057 $abc$51270$n1091 .sym 14058 $false -.sym 14067 ring_wr[3] -.sym 14068 $abc$56607$n1911 -.sym 14069 $abc$56607$n1089 +.sym 14061 $abc$51270$n1871 +.sym 14062 ring_wr[2] +.sym 14063 $abc$51270$n1091 +.sym 14064 $false +.sym 14067 $abc$51270$n1091 +.sym 14068 ring_wr[0] +.sym 14069 ring_wr[1] .sym 14070 $false -.sym 14073 $2\ring_wr[3:0][1] +.sym 14073 $2\ring_wr[3:0][3] .sym 14074 $false .sym 14075 $false .sym 14076 $false -.sym 14079 $2\ring_wr[3:0][2] +.sym 14079 $2\ring_wr[3:0][0] .sym 14080 $false .sym 14081 $false .sym 14082 $false -.sym 14085 $2\ring_wr[3:0][0] +.sym 14085 $2\ring_wr[3:0][1] .sym 14086 $false .sym 14087 $false .sym 14088 $false -.sym 14091 $2\ring_wr[3:0][3] -.sym 14092 $false -.sym 14093 $false -.sym 14094 $false -.sym 14095 $abc$56607$n992 +.sym 14095 $abc$51270$n921 .sym 14096 CLK$2$2 -.sym 14097 $abc$56607$n35$2 -.sym 14098 $abc$56607$n1223 -.sym 14099 $abc$56607$n1228 -.sym 14100 $abc$56607$n1226 -.sym 14101 $abc$56607$n895 -.sym 14102 $abc$56607$n1227_1 -.sym 14103 $abc$56607$n1224 -.sym 14104 report_data_wadr[2] -.sym 14105 report_data_wadr[1] -.sym 14214 $abc$56607$n1089 -.sym 14215 ring_wr[0] -.sym 14216 ring_wr[1] -.sym 14217 $false -.sym 14221 $abc$56607$n1541 -.sym 14222 $abc$56607$n896 -.sym 14224 UART.tx_clk_counter[0] -.sym 14226 UART.tx_clk_counter[1] -.sym 14257 $true -.sym 14294 UART.tx_clk_counter[0]$2 -.sym 14295 $false -.sym 14296 UART.tx_clk_counter[0] -.sym 14297 $false -.sym 14298 $false -.sym 14300 $auto$alumacc.cc:470:replace_alu$12197.C[2] -.sym 14302 UART.tx_clk_counter[1] -.sym 14303 $true$2 -.sym 14306 $auto$alumacc.cc:470:replace_alu$12197.C[3] -.sym 14307 $false -.sym 14308 UART.tx_clk_counter[2] -.sym 14309 $true$2 -.sym 14310 $auto$alumacc.cc:470:replace_alu$12197.C[2] -.sym 14313 $false -.sym 14314 UART.tx_clk_counter[3] -.sym 14315 $true$2 -.sym 14316 $auto$alumacc.cc:470:replace_alu$12197.C[3] -.sym 14319 KEYBOARD.init_ram_cnt[8] +.sym 14097 $abc$51270$n35$2 +.sym 14098 $abc$51270$n2413 +.sym 14099 report_data_radr[7] +.sym 14100 report_data_radr[5] +.sym 14101 report_data_radr[6] +.sym 14102 report_data_radr[4] +.sym 14104 report_data_radr[3] +.sym 14105 report_data_radr[2] +.sym 14134 $true +.sym 14171 $abc$51270$n194$2 +.sym 14172 $false +.sym 14173 $abc$51270$n194 +.sym 14174 $false +.sym 14175 $false +.sym 14177 $auto$alumacc.cc:474:replace_alu$9534.C[2] +.sym 14179 $true$2 +.sym 14180 $abc$51270$n1918 +.sym 14183 $auto$alumacc.cc:474:replace_alu$9534.C[3] +.sym 14185 $false +.sym 14186 $abc$51270$n191 +.sym 14189 $auto$alumacc.cc:474:replace_alu$9534.C[4] +.sym 14191 $true$2 +.sym 14192 $abc$51270$n2475 +.sym 14195 $auto$alumacc.cc:474:replace_alu$9534.C[5] +.sym 14197 $false +.sym 14198 $abc$51270$n188 +.sym 14201 $auto$alumacc.cc:474:replace_alu$9534.C[6] +.sym 14203 $false +.sym 14204 $abc$51270$n187 +.sym 14207 $auto$alumacc.cc:474:replace_alu$9534.C[7] +.sym 14209 $false +.sym 14210 $abc$51270$n185 +.sym 14213 $abc$51270$n2423 +.sym 14215 $false +.sym 14216 $abc$51270$n184 +.sym 14223 $abc$51270$n1788 +.sym 14224 $abc$51270$n1791 +.sym 14225 $abc$51270$n1794 +.sym 14226 $abc$51270$n1797 +.sym 14227 $abc$51270$n1800 +.sym 14228 $abc$51270$n1803 +.sym 14295 init_ram_cnt[7] +.sym 14296 init_ram_cnt[5] +.sym 14297 $abc$51270$n730 +.sym 14298 $abc$51270$n2423 +.sym 14301 $abc$51270$n730 +.sym 14302 init_ram_cnt[7] +.sym 14303 init_ram_cnt[5] +.sym 14304 $false +.sym 14319 init_ram_cnt[7] .sym 14320 $false .sym 14321 $false .sym 14322 $false -.sym 14325 $abc$56607$n1541 -.sym 14326 $abc$56607$n1544 -.sym 14327 $abc$56607$n1545 +.sym 14325 $abc$51270$n22 +.sym 14326 $false +.sym 14327 $false .sym 14328 $false -.sym 14331 $abc$56607$n1544 -.sym 14332 $abc$56607$n895 +.sym 14331 init_ram_cnt[2] +.sym 14332 $false .sym 14333 $false .sym 14334 $false -.sym 14337 $abc$56607$n1545 -.sym 14338 $abc$56607$n895 +.sym 14337 init_ram_cnt[5] +.sym 14338 $false .sym 14339 $false .sym 14340 $false -.sym 14341 $abc$56607$n1315 -.sym 14342 CLK$2$2 -.sym 14343 $abc$56607$n35$2 -.sym 14388 $abc$56607$n31 -.sym 14418 $abc$56607$n33 -.sym 14444 $abc$56607$n33 -.sym 14445 $abc$56607$n697 -.sym 14446 $abc$56607$n706 -.sym 14447 $abc$56607$n699 -.sym 14448 $abc$56607$n700 -.sym 14450 $abc$56607$n707 -.sym 14451 I2C.FLT_SDA.out -.sym 14519 KBD_COLUMNS[4]$2 -.sym 14520 $false -.sym 14521 $false +.sym 14388 $abc$51270$n23 +.sym 14418 $abc$51270$n33 +.sym 14446 $abc$51270$n706 +.sym 14447 $abc$51270$n942 +.sym 14448 KEYBOARD.COLS_SHADOW[5] +.sym 14449 KEYBOARD.COLS_SHADOW[4] +.sym 14519 $abc$51270$n394 +.sym 14520 $abc$51270$n383 +.sym 14521 $abc$51270$n396 .sym 14522 $false -.sym 14525 KBD_COLUMNS[3]$2 +.sym 14525 KEYBOARD.init_ram_cnt[8] .sym 14526 $false .sym 14527 $false .sym 14528 $false -.sym 14531 KBD_COLUMNS[0]$2 -.sym 14532 $false +.sym 14531 KEYBOARD.COLS_SHADOW[5] +.sym 14532 $abc$51270$n711 .sym 14533 $false .sym 14534 $false -.sym 14543 KBD_COLUMNS[7]$2 +.sym 14543 KEYBOARD.kbd_code_hid[4] .sym 14544 $false .sym 14545 $false .sym 14546 $false -.sym 14549 KBD_COLUMNS[2]$2 +.sym 14549 KEYBOARD.kbd_code_hid[6] .sym 14550 $false .sym 14551 $false .sym 14552 $false -.sym 14555 KBD_COLUMNS[1]$2 +.sym 14555 KEYBOARD.kbd_code_hid[3] .sym 14556 $false .sym 14557 $false .sym 14558 $false -.sym 14561 KBD_COLUMNS[5]$2 +.sym 14561 KEYBOARD.kbd_code_hid[1] .sym 14562 $false .sym 14563 $false .sym 14564 $false -.sym 14565 $true +.sym 14565 $abc$51270$n1313 .sym 14566 CLK$2$2 -.sym 14567 $false +.sym 14567 $abc$51270$n27 .sym 14570 KBD_COLUMNS[5]$2 -.sym 14572 $abc$56607$n2480 -.sym 14573 $abc$56607$n1501 -.sym 14574 $abc$56607$n1004 -.sym 14575 $abc$56607$n1632 -.sym 14576 $abc$56607$n1502 -.sym 14577 I2C.FLT_SDA.counter[1] -.sym 14578 I2C.FLT_SDA.counter[2] -.sym 14579 I2C.FLT_SDA.counter[0] -.sym 14644 $true -.sym 14681 I2C.FLT_SDA.counter[0]$2 -.sym 14682 $false -.sym 14683 I2C.FLT_SDA.counter[0] -.sym 14684 $false +.sym 14572 $abc$51270$n938 +.sym 14573 $abc$51270$n985 +.sym 14574 $abc$51270$n910 +.sym 14575 $abc$51270$n900 +.sym 14576 $abc$51270$n925 +.sym 14577 $abc$51270$n935 +.sym 14578 $abc$51270$n898 +.sym 14579 $abc$51270$n968_1 +.sym 14682 $abc$51270$n27 +.sym 14683 $abc$51270$n915 +.sym 14684 $abc$51270$n910 .sym 14685 $false -.sym 14687 $auto$alumacc.cc:470:replace_alu$12161.C[2] -.sym 14689 I2C.FLT_SDA.counter[1] -.sym 14690 $true$2 -.sym 14694 $false -.sym 14695 I2C.FLT_SDA.counter[2] -.sym 14696 $true$2 -.sym 14697 $auto$alumacc.cc:470:replace_alu$12161.C[2] -.sym 14700 $abc$56607$n2231 -.sym 14701 KEYBOARD.row_counter[0] -.sym 14702 $abc$56607$n33$2 +.sym 14688 $abc$51270$n926 +.sym 14689 $abc$51270$n925 +.sym 14690 $false +.sym 14691 $false +.sym 14694 $abc$51270$n953 +.sym 14695 $abc$51270$n1418$2 +.sym 14696 $abc$51270$n926 +.sym 14697 $false +.sym 14700 $abc$51270$n924 +.sym 14701 $abc$51270$n930 +.sym 14702 $abc$51270$n27 .sym 14703 $false -.sym 14706 I2C.FLT_SDA.counter[0] -.sym 14707 I2C.FLT_SDA.counter[1] -.sym 14708 I2C.FLT_SDA.counter[2] -.sym 14709 $false -.sym 14712 $abc$56607$n1003 -.sym 14713 $abc$56607$n1635 -.sym 14714 $false -.sym 14715 $false -.sym 14718 $abc$56607$n27 -.sym 14719 $false -.sym 14720 $false -.sym 14721 $false -.sym 14728 $abc$56607$n1490$2 +.sym 14706 $abc$51270$n1418$2 +.sym 14707 $abc$51270$n923 +.sym 14708 $abc$51270$n935 +.sym 14709 $abc$51270$n898 +.sym 14712 $abc$51270$n920 +.sym 14713 $abc$51270$n899 +.sym 14714 $abc$51270$n1457_1 +.sym 14715 $abc$51270$n935 +.sym 14718 $abc$51270$n952_1 +.sym 14719 $abc$51270$n946 +.sym 14720 $abc$51270$n27 +.sym 14721 $abc$51270$n910 +.sym 14724 $abc$51270$n27 +.sym 14725 $abc$51270$n953 +.sym 14726 $abc$51270$n924 +.sym 14727 $abc$51270$n911 +.sym 14728 $abc$51270$n1415 .sym 14729 CLK$2$2 -.sym 14730 $false -.sym 14731 $abc$56607$n994 -.sym 14732 $abc$56607$n690 -.sym 14733 $abc$56607$n683 -.sym 14734 $abc$56607$n689 -.sym 14735 $abc$56607$n695 -.sym 14736 $abc$56607$n680 -.sym 14737 $abc$56607$n686 -.sym 14738 $abc$56607$n730 -.sym 14805 $abc$56607$n698 -.sym 14806 $abc$56607$n710 -.sym 14807 $abc$56607$n695 +.sym 14730 $abc$51270$n35$2 +.sym 14733 $abc$51270$n1860 +.sym 14734 $abc$51270$n1862 +.sym 14735 $abc$51270$n958 +.sym 14736 $abc$51270$n1325 +.sym 14737 $abc$51270$n959 +.sym 14738 $abc$51270$n1354 +.sym 14805 $false +.sym 14806 $false +.sym 14807 wr_cnt[0] .sym 14808 $false -.sym 14811 $abc$56607$n680 -.sym 14812 $abc$56607$n683 -.sym 14813 $abc$56607$n684 +.sym 14811 $abc$51270$n27 +.sym 14812 KEYBOARD.kbd_code_hid[4] +.sym 14813 $false .sym 14814 $false -.sym 14817 KEYBOARD.row_time[2] -.sym 14818 $abc$56607$n684 -.sym 14819 KEYBOARD.row_time[3] +.sym 14817 $abc$51270$n916 +.sym 14818 $abc$51270$n917_1 +.sym 14819 $false .sym 14820 $false -.sym 14823 $abc$56607$n680 -.sym 14824 $abc$56607$n694 -.sym 14825 $abc$56607$n695 +.sym 14823 $abc$51270$n908 +.sym 14824 $abc$51270$n909 +.sym 14825 $false .sym 14826 $false -.sym 14829 $abc$56607$n698 -.sym 14830 $abc$56607$n724 -.sym 14831 $abc$56607$n683 -.sym 14832 $false -.sym 14835 KEYBOARD.row_time[2] -.sym 14836 $abc$56607$n694 -.sym 14837 $abc$56607$n686 -.sym 14838 KEYBOARD.row_time[3] -.sym 14841 $abc$56607$n694 -.sym 14842 KEYBOARD.row_time[2] -.sym 14843 KEYBOARD.row_time[3] +.sym 14829 $abc$51270$n690 +.sym 14830 $abc$51270$n796_1 +.sym 14831 wr_cnt[0] +.sym 14832 wr_cnt[1] +.sym 14835 $abc$51270$n796_1 +.sym 14836 $abc$51270$n1857 +.sym 14837 $abc$51270$n690 +.sym 14838 $false +.sym 14841 $abc$51270$n690 +.sym 14842 $abc$51270$n796_1 +.sym 14843 $abc$51270$n1860 .sym 14844 $false -.sym 14847 $abc$56607$n2250 -.sym 14848 $false -.sym 14849 $false +.sym 14847 $abc$51270$n690 +.sym 14848 $abc$51270$n796_1 +.sym 14849 $abc$51270$n1862 .sym 14850 $false -.sym 14851 $abc$56607$n1490$2 +.sym 14851 $abc$51270$n937 .sym 14852 CLK$2$2 -.sym 14853 $false -.sym 14854 $abc$56607$n953 -.sym 14855 $abc$56607$n954 -.sym 14856 $abc$56607$n936 -.sym 14857 $abc$56607$n957 -.sym 14858 $abc$56607$n955 -.sym 14859 kbd_report[5][3] -.sym 14860 kbd_report[5][7] -.sym 14861 kbd_report[5][0] -.sym 14890 $true -.sym 14927 KEYBOARD.row_counter[0]$2 -.sym 14928 $false -.sym 14929 KEYBOARD.row_counter[0] -.sym 14930 $false -.sym 14931 $false -.sym 14933 $auto$alumacc.cc:470:replace_alu$12191.C[2] -.sym 14935 $false -.sym 14936 KEYBOARD.row_counter[1] -.sym 14939 $auto$alumacc.cc:470:replace_alu$12191.C[3] -.sym 14940 $false -.sym 14941 $false -.sym 14942 KEYBOARD.row_counter[2] -.sym 14943 $auto$alumacc.cc:470:replace_alu$12191.C[2] -.sym 14946 $false -.sym 14947 $false -.sym 14948 KEYBOARD.row_counter[3] -.sym 14949 $auto$alumacc.cc:470:replace_alu$12191.C[3] -.sym 14952 $abc$56607$n28 -.sym 14953 KEYBOARD.row_counter[3] -.sym 14954 $abc$56607$n33$2 -.sym 14955 $false -.sym 14958 $abc$56607$n27 -.sym 14959 KEYBOARD.kbd_code_hid[5] -.sym 14960 $false -.sym 14961 $false -.sym 14964 KEYBOARD.kbd_code_hid[0] +.sym 14853 $abc$51270$n35$2 +.sym 14854 $abc$51270$n936 +.sym 14855 $abc$51270$n1190 +.sym 14856 $abc$51270$n1365 +.sym 14857 $abc$51270$n970 +.sym 14858 $abc$51270$n937_1 +.sym 14859 $abc$51270$n979 +.sym 14860 $abc$51270$n960 +.sym 14861 I2C.received_byte[1] +.sym 14928 $abc$51270$n1461 +.sym 14929 $abc$51270$n930 +.sym 14930 $abc$51270$n946 +.sym 14931 $abc$51270$n980 +.sym 14934 KEYBOARD.kbd_code_hid[3] +.sym 14935 KEYBOARD.report[2][3] +.sym 14936 KEYBOARD.kbd_code_hid[7] +.sym 14937 KEYBOARD.report[2][7] +.sym 14940 $abc$51270$n981 +.sym 14941 $abc$51270$n982 +.sym 14942 $abc$51270$n983 +.sym 14943 $abc$51270$n984 +.sym 14946 KEYBOARD.report[2][4] +.sym 14947 KEYBOARD.report[2][5] +.sym 14948 KEYBOARD.report[2][6] +.sym 14949 KEYBOARD.report[2][7] +.sym 14952 KEYBOARD.kbd_code_hid[4] +.sym 14953 KEYBOARD.report[2][4] +.sym 14954 KEYBOARD.kbd_code_hid[5] +.sym 14955 KEYBOARD.report[2][5] +.sym 14958 KEYBOARD.kbd_code_hid[0] +.sym 14959 KEYBOARD.report[2][0] +.sym 14960 KEYBOARD.kbd_code_hid[6] +.sym 14961 KEYBOARD.report[2][6] +.sym 14964 $abc$51270$n2317 .sym 14965 $false .sym 14966 $false .sym 14967 $false -.sym 14970 KEYBOARD.kbd_code_hid[3] +.sym 14970 $abc$51270$n2318 .sym 14971 $false .sym 14972 $false .sym 14973 $false -.sym 14974 $abc$56607$n1328 +.sym 14974 $abc$51270$n1365 .sym 14975 CLK$2$2 -.sym 14976 $abc$56607$n27 -.sym 14977 $abc$56607$n952 -.sym 14978 $abc$56607$n1435 -.sym 14979 $abc$56607$n1477 -.sym 14980 $abc$56607$n951 -.sym 14981 $abc$56607$n998 -.sym 14982 $abc$56607$n961 -.sym 14983 KEYBOARD.report[1][7] -.sym 14984 KEYBOARD.report[1][6] -.sym 15051 $abc$56607$n27 -.sym 15052 $abc$56607$n909 -.sym 15053 $abc$56607$n927 -.sym 15054 $false -.sym 15057 $abc$56607$n27 -.sym 15058 $abc$56607$n905 -.sym 15059 $abc$56607$n927 -.sym 15060 $false -.sym 15063 $abc$56607$n1516 -.sym 15064 $abc$56607$n989 -.sym 15065 $abc$56607$n959 -.sym 15066 $false -.sym 15069 $abc$56607$n912 -.sym 15070 $abc$56607$n905 -.sym 15071 $false -.sym 15072 $false -.sym 15075 $abc$56607$n976 -.sym 15076 $abc$56607$n1516 -.sym 15077 $abc$56607$n998 -.sym 15078 $abc$56607$n997 -.sym 15081 $abc$56607$n906 -.sym 15082 $abc$56607$n909 -.sym 15083 $abc$56607$n27 -.sym 15084 $abc$56607$n927 -.sym 15087 $abc$56607$n906 -.sym 15088 $abc$56607$n909 -.sym 15089 $false -.sym 15090 $false -.sym 15093 $abc$56607$n27 -.sym 15094 $abc$56607$n904 -.sym 15095 $abc$56607$n932 -.sym 15096 $abc$56607$n920 -.sym 15097 $abc$56607$n1483 +.sym 14976 $abc$51270$n35$2 +.sym 14977 $abc$51270$n1265 +.sym 14978 $abc$51270$n901 +.sym 14979 $abc$51270$n995 +.sym 14980 I2C_TRANS +.sym 14981 $abc$51270$n871_1 +.sym 14982 $abc$51270$n869 +.sym 14983 $abc$51270$n1374 +.sym 14984 I2C.is_read +.sym 15051 KEYBOARD.report[4][4] +.sym 15052 KEYBOARD.report[4][5] +.sym 15053 KEYBOARD.report[4][6] +.sym 15054 KEYBOARD.report[4][7] +.sym 15057 KEYBOARD.kbd_code_hid[1] +.sym 15058 KEYBOARD.report[4][1] +.sym 15059 KEYBOARD.kbd_code_hid[6] +.sym 15060 KEYBOARD.report[4][6] +.sym 15063 $abc$51270$n1459 +.sym 15064 $abc$51270$n1460_1 +.sym 15065 $abc$51270$n963 +.sym 15066 $abc$51270$n966 +.sym 15069 $abc$51270$n1495 +.sym 15070 $abc$51270$n1006 +.sym 15071 $abc$51270$n972 +.sym 15072 $abc$51270$n1257 +.sym 15075 $abc$51270$n921_1 +.sym 15076 $abc$51270$n922 +.sym 15077 $false +.sym 15078 $false +.sym 15081 KEYBOARD.kbd_code_hid[3] +.sym 15082 KEYBOARD.report[4][3] +.sym 15083 KEYBOARD.kbd_code_hid[4] +.sym 15084 KEYBOARD.report[4][4] +.sym 15087 KEYBOARD.kbd_code_hid[5] +.sym 15088 KEYBOARD.report[4][5] +.sym 15089 KEYBOARD.kbd_code_hid[7] +.sym 15090 KEYBOARD.report[4][7] +.sym 15093 $abc$51270$n2317 +.sym 15094 $false +.sym 15095 $false +.sym 15096 $false +.sym 15097 $abc$51270$n1325 .sym 15098 CLK$2$2 -.sym 15099 $abc$56607$n35$2 -.sym 15100 $abc$56607$n985_1 -.sym 15101 $abc$56607$n987 -.sym 15102 $abc$56607$n1212 -.sym 15103 $abc$56607$n1514 -.sym 15104 $abc$56607$n1516 -.sym 15105 kbd_report[5][1] -.sym 15106 kbd_report[5][6] -.sym 15107 kbd_report[5][2] -.sym 15174 $abc$56607$n910 -.sym 15175 $abc$56607$n911 +.sym 15099 $abc$51270$n35$2 +.sym 15100 $abc$51270$n1495 +.sym 15101 $abc$51270$n2193 +.sym 15102 $abc$51270$n1320 +.sym 15103 $abc$51270$n1381 +.sym 15104 $abc$51270$n918 +.sym 15105 $abc$51270$n971 +.sym 15106 $abc$51270$n919 +.sym 15107 KEYBOARD.report[1][3] +.sym 15174 $abc$51270$n27 +.sym 15175 KEYBOARD.kbd_code_hid[7] .sym 15176 $false .sym 15177 $false -.sym 15180 KEYBOARD.report[1][4] -.sym 15181 KEYBOARD.report[1][5] -.sym 15182 KEYBOARD.report[1][6] -.sym 15183 KEYBOARD.report[1][7] -.sym 15186 KEYBOARD.report[1][3] -.sym 15187 kbd_report[5][3] -.sym 15188 $abc$56607$n312 -.sym 15189 $abc$56607$n1113_1 -.sym 15192 KEYBOARD.kbd_code_hid[5] -.sym 15193 KEYBOARD.report[1][5] -.sym 15194 KEYBOARD.kbd_code_hid[3] -.sym 15195 KEYBOARD.report[1][3] -.sym 15198 $abc$56607$n2460 -.sym 15199 $false -.sym 15200 $false +.sym 15180 KEYBOARD.kbd_code_hid[0] +.sym 15181 KEYBOARD.kbd_code_hid[1] +.sym 15182 KEYBOARD.kbd_code_hid[2] +.sym 15183 $false +.sym 15186 KEYBOARD.kbd_code_hid[6] +.sym 15187 $abc$51270$n913 +.sym 15188 $abc$51270$n912 +.sym 15189 $false +.sym 15192 KEYBOARD.kbd_code_hid[3] +.sym 15193 KEYBOARD.kbd_code_hid[4] +.sym 15194 $abc$51270$n903 +.sym 15195 $false +.sym 15198 KEYBOARD.kbd_code_hid[5] +.sym 15199 KEYBOARD.kbd_code_hid[6] +.sym 15200 KEYBOARD.kbd_code_hid[7] .sym 15201 $false -.sym 15204 $abc$56607$n2458 -.sym 15205 $false -.sym 15206 $false -.sym 15207 $false -.sym 15210 $abc$56607$n2463 +.sym 15204 KEYBOARD.kbd_code_hid[3] +.sym 15205 KEYBOARD.kbd_code_hid[4] +.sym 15206 KEYBOARD.kbd_code_hid[5] +.sym 15207 KEYBOARD.kbd_code_hid[7] +.sym 15210 $abc$51270$n2315 .sym 15211 $false .sym 15212 $false .sym 15213 $false -.sym 15216 $abc$56607$n2461 +.sym 15216 $abc$51270$n2314 .sym 15217 $false .sym 15218 $false .sym 15219 $false -.sym 15220 $abc$56607$n1450 +.sym 15220 $abc$51270$n1365 .sym 15221 CLK$2$2 -.sym 15222 $abc$56607$n35$2 -.sym 15223 $abc$56607$n1150 -.sym 15224 $abc$56607$n1111 -.sym 15225 $abc$56607$n1112 -.sym 15226 $abc$56607$n1151 -.sym 15227 $abc$56607$n1517 -.sym 15228 $abc$56607$n1523_1 -.sym 15229 $abc$56607$n1518 -.sym 15230 $abc$56607$n1149 -.sym 15297 KEYBOARD.report[1][0] -.sym 15298 KEYBOARD.report[1][1] -.sym 15299 KEYBOARD.report[1][2] -.sym 15300 KEYBOARD.report[1][3] -.sym 15303 KEYBOARD.kbd_code_hid[1] -.sym 15304 KEYBOARD.kbd_code_hid[2] -.sym 15305 KEYBOARD.kbd_code_hid[0] -.sym 15306 $false -.sym 15309 $abc$56607$n1120 -.sym 15310 KEYBOARD.report[0][3] -.sym 15311 $abc$56607$n1164 +.sym 15222 $abc$51270$n35$2 +.sym 15223 $abc$51270$n1252 +.sym 15224 $abc$51270$n1283 +.sym 15225 $abc$51270$n1225 +.sym 15226 $abc$51270$n1257 +.sym 15227 $abc$51270$n1200 +.sym 15228 $abc$51270$n1282 +.sym 15229 $abc$51270$n1497 +.sym 15230 I2C.received_byte[3] +.sym 15297 $abc$51270$n1050 +.sym 15298 $abc$51270$n1058 +.sym 15299 $abc$51270$n307 +.sym 15300 $abc$51270$n880 +.sym 15303 $abc$51270$n1048 +.sym 15304 $abc$51270$n1044 +.sym 15305 $abc$51270$n307 +.sym 15306 $abc$51270$n1505 +.sym 15309 $abc$51270$n875 +.sym 15310 $abc$51270$n305 +.sym 15311 $false .sym 15312 $false -.sym 15315 $abc$56607$n1116 -.sym 15316 $abc$56607$n1523_1 -.sym 15317 $abc$56607$n1522 -.sym 15318 $abc$56607$n1163 -.sym 15321 KEYBOARD.report[0][5] -.sym 15322 $abc$56607$n1120 -.sym 15323 $abc$56607$n1189 -.sym 15324 $abc$56607$n1116 -.sym 15327 KEYBOARD.report[0][5] -.sym 15328 KEYBOARD.report[1][5] -.sym 15329 $abc$56607$n1124 -.sym 15330 $abc$56607$n1116 -.sym 15333 $abc$56607$n27 -.sym 15334 KEYBOARD.report[0][6] -.sym 15335 $abc$56607$n1377 -.sym 15336 $false -.sym 15339 $abc$56607$n27 -.sym 15340 KEYBOARD.report[0][5] -.sym 15341 $abc$56607$n1375 +.sym 15315 $abc$51270$n1046_1 +.sym 15316 $abc$51270$n1054 +.sym 15317 $abc$51270$n307 +.sym 15318 $abc$51270$n877 +.sym 15321 $abc$51270$n1506 +.sym 15322 $abc$51270$n305 +.sym 15323 $abc$51270$n1478_1 +.sym 15324 $abc$51270$n1479 +.sym 15327 $abc$51270$n1056 +.sym 15328 $abc$51270$n1052 +.sym 15329 $abc$51270$n307 +.sym 15330 $abc$51270$n875 +.sym 15333 wr_cnt[0] +.sym 15334 wr_cnt[2] +.sym 15335 wr_cnt[1] +.sym 15336 wr_cnt[3] +.sym 15339 I2C.wr +.sym 15340 $false +.sym 15341 $false .sym 15342 $false -.sym 15343 $abc$56607$n1457 +.sym 15343 $true .sym 15344 CLK$2$2 -.sym 15345 $abc$56607$n35$2 -.sym 15346 $abc$56607$n1529_1 -.sym 15347 $abc$56607$n1204 -.sym 15348 $abc$56607$n1203 -.sym 15349 $abc$56607$n1202 -.sym 15350 $abc$56607$n1138 -.sym 15351 $abc$56607$n1145 -.sym 15352 $abc$56607$n1128 -.sym 15353 KEYBOARD.report[2][6] -.sym 15426 $abc$56607$n1126 -.sym 15427 KEYBOARD.report[1][6] -.sym 15428 $abc$56607$n1124 -.sym 15429 $abc$56607$n1202 -.sym 15432 $abc$56607$n1126 -.sym 15433 KEYBOARD.report[1][0] -.sym 15434 $false +.sym 15345 $abc$51270$n35$2 +.sym 15346 $abc$51270$n694 +.sym 15347 $abc$51270$n1254 +.sym 15349 $abc$51270$n1418 +.sym 15350 $abc$51270$n695 +.sym 15351 $abc$51270$n1253 +.sym 15352 $abc$51270$n1255 +.sym 15353 I2C.received_byte[7] +.sym 15420 I2C_HID_DESC.last_rd_request +.sym 15421 I2C.wr +.sym 15422 I2C.FLT_SCL.RESET +.sym 15423 $false +.sym 15426 I2C.received_byte[7] +.sym 15427 $abc$51270$n1058 +.sym 15428 I2C.is_read +.sym 15429 $false +.sym 15432 I2C.received_byte[3] +.sym 15433 $abc$51270$n1050 +.sym 15434 I2C.is_read .sym 15435 $false -.sym 15438 KEYBOARD.report[1][6] -.sym 15439 kbd_report[5][6] -.sym 15440 $abc$56607$n312 -.sym 15441 $abc$56607$n1113_1 -.sym 15444 wr_cnt[0] -.sym 15445 wr_cnt[2] -.sym 15446 wr_cnt[3] -.sym 15447 wr_cnt[1] -.sym 15450 wr_cnt[2] -.sym 15451 wr_cnt[1] -.sym 15452 $abc$56607$n321 +.sym 15444 I2C.received_byte[1] +.sym 15445 $abc$51270$n1046_1 +.sym 15446 I2C.is_read +.sym 15447 $false +.sym 15450 I2C.received_byte[4] +.sym 15451 $abc$51270$n1052 +.sym 15452 I2C.is_read .sym 15453 $false -.sym 15456 $abc$56607$n27 -.sym 15457 KEYBOARD.kbd_code_hid[0] -.sym 15458 $false +.sym 15456 I2C.received_byte[0] +.sym 15457 $abc$51270$n1044 +.sym 15458 I2C.is_read .sym 15459 $false -.sym 15462 $abc$56607$n1528 -.sym 15463 $abc$56607$n1529_1 -.sym 15464 $abc$56607$n1530_1 +.sym 15462 I2C.received_byte[5] +.sym 15463 $abc$51270$n1054 +.sym 15464 I2C.is_read .sym 15465 $false -.sym 15469 $abc$56607$n1115 -.sym 15470 $abc$56607$n1140 -.sym 15471 $abc$56607$n1127 -.sym 15472 $abc$56607$n1144 -.sym 15473 $abc$56607$n1134 -.sym 15475 $abc$56607$n1146 -.sym 15476 KEYBOARD.report[2][1] -.sym 15543 UART_WR -.sym 15544 UART.TX_sig_last -.sym 15545 UART.tx_activity +.sym 15466 $abc$51270$n799 +.sym 15467 CLK$2$2 +.sym 15468 $abc$51270$n19 +.sym 15469 $abc$51270$n1497_1 +.sym 15470 $abc$51270$n861 +.sym 15471 $abc$51270$n814 +.sym 15472 $abc$51270$n1498 +.sym 15473 $abc$51270$n1499_1 +.sym 15474 i2c_input_data_type[1] +.sym 15475 i2c_input_data_type[0] +.sym 15476 i2c_input_data_type[2] +.sym 15543 REPORT_DATA.r_data[1] +.sym 15544 I2C_HID_DESC.VAL[1] +.sym 15545 I2C_OUT_DESC_MASK[1] .sym 15546 $false -.sym 15549 wr_cnt[2] -.sym 15550 wr_cnt[1] -.sym 15551 $abc$56607$n321 +.sym 15549 $abc$51270$n769 +.sym 15550 $abc$51270$n754 +.sym 15551 $false .sym 15552 $false -.sym 15555 $abc$56607$n1140 -.sym 15556 $abc$56607$n1145 -.sym 15557 KEYBOARD.report[0][1] -.sym 15558 $abc$56607$n1124 -.sym 15561 $abc$56607$n1133 -.sym 15562 $abc$56607$n1139 -.sym 15563 $abc$56607$n1130 -.sym 15564 $abc$56607$n1116 -.sym 15567 wr_cnt[2] -.sym 15568 wr_cnt[3] -.sym 15569 wr_cnt[0] -.sym 15570 $false -.sym 15573 $abc$56607$n1120 -.sym 15574 KEYBOARD.report[0][1] -.sym 15575 $abc$56607$n1134 +.sym 15555 REPORT_DATA.r_data[5] +.sym 15556 I2C_TX_DESC[5] +.sym 15557 I2C_OUT_DESC_MASK[5] +.sym 15558 $false +.sym 15573 $abc$51270$n2581 +.sym 15574 $false +.sym 15575 $false .sym 15576 $false -.sym 15579 UART_WR +.sym 15579 $abc$51270$n2580 .sym 15580 $false .sym 15581 $false .sym 15582 $false -.sym 15585 $abc$56607$n896 -.sym 15586 $abc$56607$n898 -.sym 15587 $abc$56607$n893 -.sym 15588 $false -.sym 15589 I2C.FLT_SCL.RESET +.sym 15589 $abc$51270$n1404$2 .sym 15590 CLK$2$2 -.sym 15591 $false -.sym 15592 $abc$56607$n1014 -.sym 15593 $abc$56607$n756 -.sym 15594 $abc$56607$n770 -.sym 15595 $abc$56607$n811 -.sym 15596 $abc$56607$n759 -.sym 15597 $abc$56607$n758 -.sym 15598 $abc$56607$n810 -.sym 15599 $abc$56607$n769 -.sym 15666 I2C.received_byte[0] -.sym 15667 I2C.received_byte[1] -.sym 15668 i2c_input_data_type[0] -.sym 15669 $abc$56607$n809 +.sym 15591 $abc$51270$n31 +.sym 15592 $abc$51270$n839 +.sym 15593 $abc$51270$n1112 +.sym 15594 $abc$51270$n1455 +.sym 15595 $abc$51270$n1086 +.sym 15596 $abc$51270$n21 +.sym 15597 $abc$51270$n693 +.sym 15598 $abc$51270$n840 +.sym 15599 i2c_input_data_type[3] +.sym 15666 I2C.is_read +.sym 15667 $abc$51270$n917 +.sym 15668 I2C.FLT_SCL.RESET +.sym 15669 $abc$51270$n776 .sym 15672 I2C.is_read -.sym 15673 $abc$56607$n832 -.sym 15674 $abc$56607$n807 -.sym 15675 $abc$56607$n736 -.sym 15678 $abc$56607$n1503 -.sym 15679 $abc$56607$n1504 -.sym 15680 $abc$56607$n769 +.sym 15673 $abc$51270$n759 +.sym 15674 $abc$51270$n837 +.sym 15675 $false +.sym 15678 I2C.received_byte[1] +.sym 15679 I2C.received_byte[0] +.sym 15680 $abc$51270$n829 .sym 15681 $false -.sym 15684 $abc$56607$n816_1 -.sym 15685 $abc$56607$n805 -.sym 15686 $abc$56607$n810 -.sym 15687 I2C.FLT_SCL.RESET -.sym 15690 $abc$56607$n809 -.sym 15691 i2c_input_data_type[0] -.sym 15692 $false -.sym 15693 $false -.sym 15696 $abc$56607$n815_1 -.sym 15697 $abc$56607$n806 -.sym 15698 $abc$56607$n807 -.sym 15699 $abc$56607$n22 -.sym 15702 $abc$56607$n808 -.sym 15703 $abc$56607$n805 -.sym 15704 $abc$56607$n806 -.sym 15705 $abc$56607$n807 -.sym 15708 $abc$56607$n804 -.sym 15709 $abc$56607$n754 -.sym 15710 $abc$56607$n1502_1 -.sym 15711 I2C.is_read -.sym 15715 $abc$56607$n270 -.sym 15716 $abc$56607$n771 -.sym 15717 $abc$56607$n754 -.sym 15718 $abc$56607$n815_1 -.sym 15719 $abc$56607$n822 -.sym 15720 $abc$56607$n2620 -.sym 15721 $abc$56607$n269 -.sym 15722 temp_output_report[2] -.sym 15789 i2c_input_data_type[3] -.sym 15790 i2c_input_data_type[2] -.sym 15791 $abc$56607$n1513 -.sym 15792 i2c_input_data_type[0] -.sym 15795 i2c_input_data_type[3] -.sym 15796 i2c_input_data_type[1] -.sym 15797 i2c_input_data_type[2] +.sym 15684 $abc$51270$n848 +.sym 15685 i2c_input_data_type[0] +.sym 15686 $false +.sym 15687 $false +.sym 15690 $abc$51270$n729 +.sym 15691 $abc$51270$n828 +.sym 15692 $abc$51270$n809 +.sym 15693 $abc$51270$n830 +.sym 15696 I2C.is_read +.sym 15697 I2C.byte_counter[1] +.sym 15698 I2C.FLT_SCL.RESET +.sym 15699 I2C.byte_counter[0] +.sym 15702 $abc$51270$n848 +.sym 15703 i2c_input_data_type[1] +.sym 15704 $false +.sym 15705 $false +.sym 15708 $abc$51270$n848 +.sym 15709 i2c_input_data_type[2] +.sym 15710 $false +.sym 15711 $false +.sym 15715 $abc$51270$n835 +.sym 15716 $abc$51270$n834 +.sym 15717 $abc$51270$n812 +.sym 15718 $abc$51270$n829 +.sym 15719 $abc$51270$n832 +.sym 15720 $abc$51270$n831 +.sym 15721 $abc$51270$n833 +.sym 15722 $abc$51270$n850 +.sym 15789 $abc$51270$n729 +.sym 15790 I2C.FLT_SCL.RESET +.sym 15791 $false +.sym 15792 $false +.sym 15795 $abc$51270$n756 +.sym 15796 $abc$51270$n764 +.sym 15797 $abc$51270$n755 .sym 15798 $false -.sym 15801 $abc$56607$n1513 -.sym 15802 i2c_input_data_type[1] -.sym 15803 $false +.sym 15801 KEYBOARD.isr +.sym 15802 last_isr +.sym 15803 $abc$51270$n738 .sym 15804 $false -.sym 15807 i2c_input_data_type[0] -.sym 15808 i2c_input_data_type[3] -.sym 15809 $abc$56607$n1077 +.sym 15807 last_isr +.sym 15808 KEYBOARD.isr +.sym 15809 $false .sym 15810 $false -.sym 15813 i2c_input_data_type[3] -.sym 15814 $abc$56607$n848 -.sym 15815 i2c_input_data_type[2] -.sym 15816 $false -.sym 15819 i2c_input_data_type[3] -.sym 15820 i2c_input_data_type[2] -.sym 15821 $abc$56607$n1513 -.sym 15822 i2c_input_data_type[1] -.sym 15825 $abc$56607$n1075 -.sym 15826 i2c_input_data_type[2] -.sym 15827 $abc$56607$n1513 -.sym 15828 $abc$56607$n1081 -.sym 15831 $abc$56607$n1075 -.sym 15832 $abc$56607$n1077 -.sym 15833 $abc$56607$n1078 +.sym 15813 I2C.FLT_SCL.RESET +.sym 15814 KEYBOARD.isr +.sym 15815 last_isr +.sym 15816 $abc$51270$n755 +.sym 15819 KEYBOARD.isr +.sym 15820 last_isr +.sym 15821 $false +.sym 15822 $false +.sym 15825 $abc$51270$n734 +.sym 15826 $abc$51270$n757 +.sym 15827 $abc$51270$n759 +.sym 15828 $abc$51270$n754 +.sym 15831 KEYBOARD.isr +.sym 15832 $false +.sym 15833 $false .sym 15834 $false -.sym 15835 $abc$56607$n1155 +.sym 15835 $abc$51270$n1163 .sym 15836 CLK$2$2 -.sym 15837 $false -.sym 15840 $abc$56607$n312 -.sym 15841 $abc$56607$n772 -.sym 15842 $abc$56607$n881 -.sym 15843 $abc$56607$n773 -.sym 15844 $abc$56607$n768 -.sym 15845 UART_WR -.sym 15912 i2c_input_data_type[1] -.sym 15913 I2C.is_read -.sym 15914 $abc$56607$n849 -.sym 15915 $abc$56607$n988 -.sym 15918 I2C.is_read -.sym 15919 $abc$56607$n1513 -.sym 15920 $abc$56607$n863 -.sym 15921 $abc$56607$n988 -.sym 15924 i2c_input_data_type[1] -.sym 15925 i2c_input_data_type[0] -.sym 15926 i2c_input_data_type[3] -.sym 15927 i2c_input_data_type[2] -.sym 15930 $2\uart_double_ff[0:0] -.sym 15931 $abc$56607$n745 -.sym 15932 $false -.sym 15933 $false -.sym 15936 $abc$56607$n741 -.sym 15937 $abc$56607$n848 -.sym 15938 $abc$56607$n778 -.sym 15939 $abc$56607$n1509 -.sym 15942 $abc$56607$n1513 -.sym 15943 i2c_input_data_type[0] +.sym 15837 $abc$51270$n35$2 +.sym 15838 $abc$51270$n789 +.sym 15839 $abc$51270$n765 +.sym 15840 $abc$51270$n799_1 +.sym 15841 $abc$51270$n968 +.sym 15842 $abc$51270$n1117 +.sym 15843 $abc$51270$n934 +.sym 15844 $abc$51270$n790 +.sym 15845 COM_DCD$2 +.sym 15912 $abc$51270$n737 +.sym 15913 $abc$51270$n739 +.sym 15914 $abc$51270$n22 +.sym 15915 $false +.sym 15918 $abc$51270$n729 +.sym 15919 $abc$51270$n737 +.sym 15920 I2C.FLT_SCL.RESET +.sym 15921 $abc$51270$n22 +.sym 15924 $abc$51270$n765 +.sym 15925 $abc$51270$n768 +.sym 15926 $abc$51270$n1448 +.sym 15927 $abc$51270$n733 +.sym 15930 $abc$51270$n743 +.sym 15931 UART_WR +.sym 15932 $abc$51270$n736 +.sym 15933 $abc$51270$n740 +.sym 15936 $abc$51270$n764 +.sym 15937 $abc$51270$n735 +.sym 15938 $abc$51270$n744 +.sym 15939 $false +.sym 15942 $abc$51270$n739 +.sym 15943 $abc$51270$n737 .sym 15944 $false .sym 15945 $false -.sym 15948 $abc$56607$n849 -.sym 15949 $abc$56607$n1075 -.sym 15950 $abc$56607$n1072 -.sym 15951 $abc$56607$n745 -.sym 15954 $abc$56607$n1075 -.sym 15955 i2c_input_data_type[3] -.sym 15956 $abc$56607$n1513 -.sym 15957 $abc$56607$n1084 -.sym 15958 $abc$56607$n1155 +.sym 15948 $abc$51270$n728 +.sym 15949 $abc$51270$n737 +.sym 15950 $abc$51270$n739 +.sym 15951 $abc$51270$n22 +.sym 15954 $abc$51270$n690 +.sym 15955 $abc$51270$n687 +.sym 15956 I2C.FLT_SCL.RESET +.sym 15957 $false +.sym 15958 $abc$51270$n788 .sym 15959 CLK$2$2 .sym 15960 $false -.sym 15962 $abc$56607$n1943 -.sym 15963 $abc$56607$n1946 -.sym 15964 $abc$56607$n1949 -.sym 15965 $abc$56607$n867 -.sym 15966 $abc$56607$n781 -.sym 15967 $abc$56607$n1227 -.sym 15968 last_uart_active -.sym 16035 $abc$56607$n760 -.sym 16036 $abc$56607$n753 -.sym 16037 $abc$56607$n756 -.sym 16038 $abc$56607$n1501_1 -.sym 16041 $abc$56607$n763 -.sym 16042 $abc$56607$n766 -.sym 16043 $abc$56607$n761 +.sym 15961 $abc$51270$n1392 +.sym 15963 $abc$51270$n1152 +.sym 15964 $abc$51270$n2581 +.sym 15965 $abc$51270$n2580 +.sym 15966 $2\uart_double_ff[0:0] +.sym 15968 last_trans +.sym 16035 $abc$51270$n764 +.sym 16036 $abc$51270$n736 +.sym 16037 $abc$51270$n762 +.sym 16038 $false +.sym 16041 I2C_TRANS +.sym 16042 last_trans +.sym 16043 $false .sym 16044 $false -.sym 16047 $abc$56607$n779 -.sym 16048 $abc$56607$n778 -.sym 16049 $abc$56607$n1501_1 +.sym 16047 $abc$51270$n728 +.sym 16048 $abc$51270$n736 +.sym 16049 $abc$51270$n767 .sym 16050 $false -.sym 16053 $abc$56607$n741 -.sym 16054 $abc$56607$n753 -.sym 16055 $abc$56607$n756 +.sym 16053 $abc$51270$n759 +.sym 16054 $abc$51270$n753 +.sym 16055 I2C.is_read .sym 16056 $false .sym 16059 I2C_TRANS -.sym 16060 UART_WR -.sym 16061 $abc$56607$n751 -.sym 16062 int_tmr[19] -.sym 16065 $abc$56607$n769 -.sym 16066 $abc$56607$n753 -.sym 16067 $false +.sym 16060 last_trans +.sym 16061 $abc$51270$n19 +.sym 16062 $false +.sym 16065 $abc$51270$n687 +.sym 16066 $abc$51270$n19 +.sym 16067 $abc$51270$n22 .sym 16068 $false -.sym 16071 UART_WR -.sym 16072 int_tmr[19] -.sym 16073 $abc$56607$n761 +.sym 16071 $abc$51270$n19 +.sym 16072 $abc$51270$n769 +.sym 16073 $abc$51270$n761 .sym 16074 $false -.sym 16077 $2\uart_double_ff[0:0] +.sym 16077 $false .sym 16078 $false -.sym 16079 $false +.sym 16079 report_data_radr[0] .sym 16080 $false -.sym 16081 $abc$56607$n1236 +.sym 16081 $abc$51270$n974 .sym 16082 CLK$2$2 -.sym 16083 $abc$56607$n35$2 -.sym 16084 $6\report_data_wadr[7:0][0] -.sym 16085 $abc$56607$n2671 -.sym 16086 $abc$56607$n1249_1 -.sym 16087 $abc$56607$n2549 -.sym 16088 $abc$56607$n1236_1 -.sym 16089 report_data_wadr[4] -.sym 16090 report_data_wadr[7] -.sym 16091 report_data_wadr[0] -.sym 16158 $2\ring_wr[3:0][2] -.sym 16159 $2\ring_wr[3:0][0] -.sym 16160 $false -.sym 16161 $false -.sym 16164 $abc$56607$n1233 -.sym 16165 $abc$56607$n1232_1 -.sym 16166 ring_wr[0] -.sym 16167 $abc$56607$n1234 -.sym 16170 $abc$56607$n1231 -.sym 16171 $2\ring_wr[3:0][0] -.sym 16172 $2\ring_wr[3:0][2] -.sym 16173 $abc$56607$n670 -.sym 16176 wr_cnt[2] -.sym 16177 ring_wr[1] +.sym 16083 $abc$51270$n21 +.sym 16084 $abc$51270$n2532 +.sym 16085 $10\ring_rd[3:0][2] +.sym 16087 $10\ring_rd[3:0][3] +.sym 16088 $10\ring_rd[3:0][0] +.sym 16089 $abc$51270$n2411 +.sym 16090 $10\ring_rd[3:0][1] +.sym 16091 report_data_radr[1] +.sym 16158 ring_rd[2] +.sym 16159 ring_wr[2] +.sym 16160 $abc$51270$n741 +.sym 16161 $abc$51270$n742 +.sym 16164 ring_rd[0] +.sym 16165 $abc$51270$n1865 +.sym 16166 ring_rd[2] +.sym 16167 $abc$51270$n1871 +.sym 16170 $false +.sym 16171 $false +.sym 16172 ring_wr[0] +.sym 16173 $false +.sym 16176 $abc$51270$n741 +.sym 16177 $abc$51270$n1088 .sym 16178 ring_wr[0] -.sym 16179 wr_cnt[1] -.sym 16182 wr_cnt[2] +.sym 16179 $abc$51270$n1865 +.sym 16182 ring_rd[1] .sym 16183 ring_wr[1] -.sym 16184 $abc$56607$n1233 +.sym 16184 $false .sym 16185 $false -.sym 16188 $abc$56607$n1232_1 -.sym 16189 $abc$56607$n1234 -.sym 16190 ring_wr[0] -.sym 16191 $false -.sym 16194 $abc$56607$n1220 -.sym 16195 $abc$56607$n1959 -.sym 16196 $abc$56607$n1230 -.sym 16197 $abc$56607$n22 -.sym 16204 $abc$56607$n1018$2 -.sym 16205 CLK$2$2 -.sym 16206 $false -.sym 16208 $abc$56607$n1247 -.sym 16210 $abc$56607$n1245 -.sym 16211 report_data_wadr[6] -.sym 16214 report_data_wadr[5] -.sym 16281 $2\ring_wr[3:0][0] -.sym 16282 $abc$56607$n1224 -.sym 16283 $abc$56607$n670 -.sym 16284 $abc$56607$n22 -.sym 16287 $abc$56607$n1220 -.sym 16288 init_ram_cnt[1] -.sym 16289 init_ram_cnt[2] -.sym 16290 $abc$56607$n22 -.sym 16293 $2\ring_wr[3:0][1] -.sym 16294 $abc$56607$n1227_1 -.sym 16295 $abc$56607$n670 -.sym 16296 $abc$56607$n22 -.sym 16299 $abc$56607$n896 -.sym 16300 UART.tx_activity -.sym 16301 $false +.sym 16188 ring_rd[0] +.sym 16189 ring_wr[0] +.sym 16190 ring_rd[3] +.sym 16191 ring_wr[3] +.sym 16194 $abc$51270$n741 +.sym 16195 ring_wr[0] +.sym 16196 $abc$51270$n1088 +.sym 16197 $false +.sym 16200 $abc$51270$n1454 +.sym 16201 ring_rd[3] +.sym 16202 $abc$51270$n1874 +.sym 16203 $abc$51270$n1089 +.sym 16208 $abc$51270$n1796 +.sym 16209 $abc$51270$n1799 +.sym 16210 $abc$51270$n1802 +.sym 16211 ring_rd[1] +.sym 16212 ring_rd[3] +.sym 16213 ring_rd[2] +.sym 16214 ring_rd[0] +.sym 16281 $10\ring_rd[3:0][0] +.sym 16282 $10\ring_rd[3:0][2] +.sym 16283 $false +.sym 16284 $false +.sym 16287 $abc$51270$n1803 +.sym 16288 $abc$51270$n1802 +.sym 16289 $abc$51270$n21 +.sym 16290 $false +.sym 16293 $abc$51270$n1797 +.sym 16294 $abc$51270$n1796 +.sym 16295 $abc$51270$n21 +.sym 16296 $false +.sym 16299 $abc$51270$n1800 +.sym 16300 $abc$51270$n1799 +.sym 16301 $abc$51270$n21 .sym 16302 $false -.sym 16305 wr_cnt[1] -.sym 16306 ring_wr[0] -.sym 16307 wr_cnt[2] -.sym 16308 ring_wr[1] -.sym 16311 wr_cnt[1] -.sym 16312 ring_wr[0] -.sym 16313 $false -.sym 16314 $false -.sym 16317 $abc$56607$n1226 -.sym 16318 $abc$56607$n1228 -.sym 16319 $false -.sym 16320 $false -.sym 16323 $abc$56607$n22 -.sym 16324 init_ram_cnt[1] -.sym 16325 $abc$56607$n1220 -.sym 16326 $abc$56607$n1223 -.sym 16327 $abc$56607$n1018$2 +.sym 16305 $abc$51270$n1794 +.sym 16306 $abc$51270$n2413 +.sym 16307 $abc$51270$n2411 +.sym 16308 $abc$51270$n21 +.sym 16317 $abc$51270$n1791 +.sym 16318 $10\ring_rd[3:0][0] +.sym 16319 $10\ring_rd[3:0][2] +.sym 16320 $abc$51270$n21 +.sym 16323 $abc$51270$n1788 +.sym 16324 $10\ring_rd[3:0][1] +.sym 16325 $abc$51270$n21 +.sym 16326 $false +.sym 16327 $abc$51270$n974 .sym 16328 CLK$2$2 .sym 16329 $false -.sym 16332 $abc$56607$n1959 -.sym 16333 $abc$56607$n1961 -.sym 16334 $abc$56607$n1963 -.sym 16335 $abc$56607$n1965 -.sym 16336 $abc$56607$n1967 +.sym 16332 $abc$51270$n1781 +.sym 16333 $abc$51270$n1784 +.sym 16335 KEYBOARD.ROWS_EN[6] +.sym 16366 $true +.sym 16403 report_data_radr[0]$2 .sym 16404 $false -.sym 16405 UART.tx_clk_counter[0] +.sym 16405 report_data_radr[0] .sym 16406 $false -.sym 16407 $true$2 -.sym 16410 UART.tx_clk_counter[1] -.sym 16411 UART.tx_clk_counter[0] -.sym 16412 $abc$56607$n897 -.sym 16413 $false -.sym 16422 $abc$56607$n1541 -.sym 16423 $abc$56607$n895 -.sym 16424 $false -.sym 16425 $false -.sym 16434 UART.tx_clk_counter[1] -.sym 16435 UART.tx_clk_counter[0] -.sym 16436 UART.tx_activity -.sym 16437 $false -.sym 16450 $abc$56607$n1315 -.sym 16451 CLK$2$2 -.sym 16452 $abc$56607$n35$2 -.sym 16497 $abc$56607$n901 -.sym 16555 KEYBOARD.row_time[2] -.sym 16556 KEYBOARD.row_time[3] -.sym 16557 KEYBOARD.row_time[4] -.sym 16558 KEYBOARD.row_time[5] -.sym 16559 KEYBOARD.row_time[6] -.sym 16560 KEYBOARD.row_time[7] -.sym 16628 $abc$56607$n730 -.sym 16629 $abc$56607$n707 -.sym 16630 $false -.sym 16631 $false -.sym 16634 $abc$56607$n698 -.sym 16635 $abc$56607$n699 -.sym 16636 $false -.sym 16637 $false -.sym 16640 KEYBOARD.row_time[4] -.sym 16641 KEYBOARD.row_time[5] -.sym 16642 $abc$56607$n698 -.sym 16643 $abc$56607$n707 -.sym 16646 $abc$56607$n684 -.sym 16647 $abc$56607$n700 -.sym 16648 KEYBOARD.row_time[4] -.sym 16649 KEYBOARD.row_time[5] -.sym 16652 KEYBOARD.row_time[2] -.sym 16653 KEYBOARD.row_time[3] -.sym 16654 KEYBOARD.row_time[6] -.sym 16655 KEYBOARD.row_time[7] -.sym 16664 $abc$56607$n694 -.sym 16665 $abc$56607$n700 -.sym 16666 $false -.sym 16667 $false -.sym 16670 I2C.SDAF -.sym 16671 $false -.sym 16672 $false -.sym 16673 $false -.sym 16674 $abc$56607$n1501 +.sym 16407 $false +.sym 16409 $auto$alumacc.cc:474:replace_alu$9588.C[2] +.sym 16411 $false +.sym 16412 report_data_radr[1] +.sym 16415 $auto$alumacc.cc:474:replace_alu$9588.C[3] +.sym 16416 $false +.sym 16417 $false +.sym 16418 report_data_radr[2] +.sym 16419 $auto$alumacc.cc:474:replace_alu$9588.C[2] +.sym 16421 $auto$alumacc.cc:474:replace_alu$9588.C[4] +.sym 16422 $false +.sym 16423 $false +.sym 16424 report_data_radr[3] +.sym 16425 $auto$alumacc.cc:474:replace_alu$9588.C[3] +.sym 16427 $auto$alumacc.cc:474:replace_alu$9588.C[5] +.sym 16428 $false +.sym 16429 $false +.sym 16430 report_data_radr[4] +.sym 16431 $auto$alumacc.cc:474:replace_alu$9588.C[4] +.sym 16433 $auto$alumacc.cc:474:replace_alu$9588.C[6] +.sym 16434 $false +.sym 16435 $false +.sym 16436 report_data_radr[5] +.sym 16437 $auto$alumacc.cc:474:replace_alu$9588.C[5] +.sym 16439 $auto$alumacc.cc:474:replace_alu$9588.C[7] +.sym 16440 $false +.sym 16441 $false +.sym 16442 report_data_radr[6] +.sym 16443 $auto$alumacc.cc:474:replace_alu$9588.C[6] +.sym 16446 $false +.sym 16447 $false +.sym 16448 report_data_radr[7] +.sym 16449 $auto$alumacc.cc:474:replace_alu$9588.C[7] +.sym 16497 $abc$51270$n950 +.sym 16553 KEYBOARD.COLS_SHADOW[7] +.sym 16640 $abc$51270$n394 +.sym 16641 $abc$51270$n396 +.sym 16642 $abc$51270$n383 +.sym 16643 KEYBOARD.COLS_SHADOW[4] +.sym 16646 $abc$51270$n1418$2 +.sym 16647 $abc$51270$n902 +.sym 16648 $false +.sym 16649 $false +.sym 16652 KEYBOARD.COLS_SHADOW[5] +.sym 16653 KBD_COLUMNS[5]$2 +.sym 16654 $abc$51270$n700 +.sym 16655 $false +.sym 16658 KEYBOARD.COLS_SHADOW[4] +.sym 16659 KBD_COLUMNS[4]$2 +.sym 16660 $abc$51270$n700 +.sym 16661 $false +.sym 16674 $abc$51270$n1402 .sym 16675 CLK$2$2 -.sym 16676 $abc$56607$n35$2 +.sym 16676 $false .sym 16677 KBD_COLUMNS[6]$2 .sym 16679 KBD_COLUMNS[7]$2 -.sym 16681 KEYBOARD.row_time[8] -.sym 16682 KEYBOARD.row_time[9] -.sym 16683 KEYBOARD.row_time[10] -.sym 16684 KEYBOARD.row_time[11] -.sym 16685 KEYBOARD.row_time[12] -.sym 16686 KEYBOARD.row_time[13] -.sym 16687 KEYBOARD.row_time[14] -.sym 16688 KEYBOARD.row_time[15] -.sym 16791 I2C.FLT_SDA.counter[0] -.sym 16792 I2C.FLT_SDA.counter[1] -.sym 16793 $false +.sym 16681 $abc$51270$n1487 +.sym 16683 $abc$51270$n1246 +.sym 16684 $abc$51270$n873 +.sym 16685 $abc$51270$n1228 +.sym 16686 $abc$51270$n1485 +.sym 16687 $abc$51270$n1263 +.sym 16688 I2C.i2c_start_latency +.sym 16791 $abc$51270$n27 +.sym 16792 $abc$51270$n1418$2 +.sym 16793 $abc$51270$n907 .sym 16794 $false -.sym 16797 $abc$56607$n2481 -.sym 16798 $abc$56607$n1632 -.sym 16799 $abc$56607$n1004 -.sym 16800 $false -.sym 16803 $abc$56607$n2480 -.sym 16804 I2C.FLT_SDA.out -.sym 16805 I2C.SDAF +.sym 16797 $abc$51270$n27 +.sym 16798 $abc$51270$n900 +.sym 16799 $abc$51270$n910 +.sym 16800 $abc$51270$n968_1 +.sym 16803 $abc$51270$n911 +.sym 16804 $abc$51270$n1418$2 +.sym 16805 $false .sym 16806 $false -.sym 16809 $false -.sym 16810 I2C.FLT_SDA.counter[0] +.sym 16809 $abc$51270$n907 +.sym 16810 $abc$51270$n901 .sym 16811 $false -.sym 16812 $true$2 -.sym 16815 I2C.FLT_SDA.out -.sym 16816 I2C.SDAF -.sym 16817 $abc$56607$n1003 +.sym 16812 $false +.sym 16815 $abc$51270$n920 +.sym 16816 $abc$51270$n915 +.sym 16817 $abc$51270$n900 .sym 16818 $false -.sym 16821 $abc$56607$n2480 -.sym 16822 $false -.sym 16823 $false -.sym 16824 $false -.sym 16827 $abc$56607$n2481 -.sym 16828 $false -.sym 16829 $false +.sym 16821 $abc$51270$n936 +.sym 16822 $abc$51270$n938 +.sym 16823 $abc$51270$n940 +.sym 16824 $abc$51270$n942 +.sym 16827 $abc$51270$n920 +.sym 16828 $abc$51270$n899 +.sym 16829 $abc$51270$n918 .sym 16830 $false -.sym 16833 $abc$56607$n1003 -.sym 16834 $abc$56607$n1632 +.sym 16833 $abc$51270$n918 +.sym 16834 $abc$51270$n942 .sym 16835 $false .sym 16836 $false -.sym 16837 $abc$56607$n1502 -.sym 16838 CLK$2$2 -.sym 16839 $abc$56607$n35$2 -.sym 16840 $abc$56607$n698 -.sym 16841 $abc$56607$n713 -.sym 16842 $abc$56607$n731 -.sym 16843 $abc$56607$n681 -.sym 16844 $abc$56607$n682 -.sym 16845 $abc$56607$n687 -.sym 16846 $abc$56607$n688 -.sym 16847 KEYBOARD.report[2][4] -.sym 16914 $abc$56607$n681 -.sym 16915 $abc$56607$n682 -.sym 16916 $abc$56607$n683 -.sym 16917 $abc$56607$n690 -.sym 16920 KEYBOARD.row_time[3] -.sym 16921 KEYBOARD.row_time[2] -.sym 16922 KEYBOARD.row_time[0] -.sym 16923 KEYBOARD.row_time[1] -.sym 16926 KEYBOARD.row_time[5] -.sym 16927 KEYBOARD.row_time[7] -.sym 16928 KEYBOARD.row_time[6] -.sym 16929 KEYBOARD.row_time[4] -.sym 16932 KEYBOARD.row_time[4] -.sym 16933 KEYBOARD.row_time[5] -.sym 16934 KEYBOARD.row_time[6] -.sym 16935 KEYBOARD.row_time[7] -.sym 16938 KEYBOARD.row_time[4] -.sym 16939 KEYBOARD.row_time[6] -.sym 16940 KEYBOARD.row_time[7] -.sym 16941 KEYBOARD.row_time[5] -.sym 16944 KEYBOARD.row_time[3] -.sym 16945 $abc$56607$n682 -.sym 16946 KEYBOARD.row_time[2] -.sym 16947 $abc$56607$n681 -.sym 16950 $abc$56607$n681 -.sym 16951 $abc$56607$n687 -.sym 16952 $abc$56607$n688 -.sym 16953 $abc$56607$n689 -.sym 16956 KEYBOARD.row_time[4] -.sym 16957 $abc$56607$n681 -.sym 16958 $abc$56607$n731 -.sym 16959 KEYBOARD.row_time[5] -.sym 16963 $abc$56607$n1494 -.sym 16964 $abc$56607$n2707 -.sym 16965 $abc$56607$n1475 -.sym 16966 $abc$56607$n1495 -.sym 16968 kbd_report[5][4] -.sym 16969 kbd_report[5][5] -.sym 17037 $abc$56607$n954 -.sym 17038 $abc$56607$n955 -.sym 17039 $abc$56607$n956 -.sym 17040 $abc$56607$n957 -.sym 17043 KEYBOARD.kbd_code_hid[7] -.sym 17044 kbd_report[5][7] -.sym 17045 kbd_report[5][3] -.sym 17046 KEYBOARD.kbd_code_hid[3] -.sym 17049 kbd_report[5][0] -.sym 17050 kbd_report[5][1] -.sym 17051 kbd_report[5][2] -.sym 17052 kbd_report[5][3] -.sym 17055 KEYBOARD.kbd_code_hid[2] -.sym 17056 kbd_report[5][2] -.sym 17057 kbd_report[5][1] -.sym 17058 KEYBOARD.kbd_code_hid[1] -.sym 17061 KEYBOARD.kbd_code_hid[6] -.sym 17062 kbd_report[5][6] -.sym 17063 kbd_report[5][0] -.sym 17064 KEYBOARD.kbd_code_hid[0] -.sym 17067 $abc$56607$n2461 -.sym 17068 $false -.sym 17069 $false +.sym 16840 $abc$51270$n1270 +.sym 16841 $abc$51270$n1256 +.sym 16842 $abc$51270$n1258_1 +.sym 16843 $abc$51270$n1269 +.sym 16844 $abc$51270$n307 +.sym 16845 $abc$51270$n2014 +.sym 16846 $abc$51270$n319 +.sym 16847 I2C.i2c_state_machine +.sym 16876 $true +.sym 16913 wr_cnt[0]$2 +.sym 16914 $false +.sym 16915 wr_cnt[0] +.sym 16916 $false +.sym 16917 $false +.sym 16919 $auto$alumacc.cc:474:replace_alu$9582.C[2] +.sym 16921 $false +.sym 16922 wr_cnt[1] +.sym 16925 $auto$alumacc.cc:474:replace_alu$9582.C[3] +.sym 16926 $false +.sym 16927 $false +.sym 16928 wr_cnt[2] +.sym 16929 $auto$alumacc.cc:474:replace_alu$9582.C[2] +.sym 16932 $false +.sym 16933 $false +.sym 16934 wr_cnt[3] +.sym 16935 $auto$alumacc.cc:474:replace_alu$9582.C[3] +.sym 16938 $abc$51270$n936 +.sym 16939 $abc$51270$n938 +.sym 16940 $false +.sym 16941 $false +.sym 16944 $abc$51270$n940 +.sym 16945 $abc$51270$n959 +.sym 16946 $abc$51270$n958 +.sym 16947 $false +.sym 16950 $abc$51270$n920 +.sym 16951 $abc$51270$n899 +.sym 16952 $abc$51270$n960 +.sym 16953 $abc$51270$n968_1 +.sym 16956 $abc$51270$n970 +.sym 16957 $abc$51270$n899 +.sym 16958 $abc$51270$n958 +.sym 16959 $abc$51270$n968_1 +.sym 16963 $abc$51270$n2012 +.sym 16964 $abc$51270$n1257_1 +.sym 16965 $abc$51270$n1294 +.sym 16966 $abc$51270$n882 +.sym 16967 $abc$51270$n867_1 +.sym 16968 I2C.i2c_bit_counter[2] +.sym 16969 I2C.i2c_bit_counter[0] +.sym 16970 I2C.i2c_bit_counter[1] +.sym 17037 $abc$51270$n27 +.sym 17038 $abc$51270$n937_1 +.sym 17039 $abc$51270$n904 +.sym 17040 $false +.sym 17043 $abc$51270$n867_1 +.sym 17044 $abc$51270$n877 +.sym 17045 $false +.sym 17046 $false +.sym 17049 $abc$51270$n979 +.sym 17050 $abc$51270$n936 +.sym 17051 $abc$51270$n985 +.sym 17052 $false +.sym 17055 $abc$51270$n971 +.sym 17056 $abc$51270$n972 +.sym 17057 $false +.sym 17058 $false +.sym 17061 $abc$51270$n902 +.sym 17062 $abc$51270$n1418$2 +.sym 17063 $false +.sym 17064 $false +.sym 17067 $abc$51270$n980 +.sym 17068 $abc$51270$n937_1 +.sym 17069 $abc$51270$n971 .sym 17070 $false -.sym 17073 $abc$56607$n2465 -.sym 17074 $false -.sym 17075 $false +.sym 17073 $abc$51270$n1461 +.sym 17074 $abc$51270$n27 +.sym 17075 $abc$51270$n937_1 .sym 17076 $false -.sym 17079 $abc$56607$n2458 +.sym 17079 I2C.FLT_SDA.out .sym 17080 $false .sym 17081 $false .sym 17082 $false -.sym 17083 $abc$56607$n1407 +.sym 17083 $abc$51270$n1190 .sym 17084 CLK$2$2 -.sym 17085 $abc$56607$n35$2 -.sym 17086 $abc$56607$n968 -.sym 17087 $abc$56607$n950 -.sym 17088 $abc$56607$n958 -.sym 17089 $abc$56607$n901_1 -.sym 17090 $abc$56607$n1328 -.sym 17091 $abc$56607$n1422 -.sym 17092 $abc$56607$n1407 -.sym 17093 KEYBOARD.report[1][4] -.sym 17160 $abc$56607$n27 -.sym 17161 $abc$56607$n927 -.sym 17162 $false -.sym 17163 $false -.sym 17166 $abc$56607$n952 -.sym 17167 $abc$56607$n976 -.sym 17168 $abc$56607$n959 -.sym 17169 $abc$56607$n975 -.sym 17172 $abc$56607$n27 -.sym 17173 $abc$56607$n944 -.sym 17174 $abc$56607$n927 +.sym 17085 $false +.sym 17086 $abc$51270$n1483_1 +.sym 17087 $abc$51270$n1490 +.sym 17088 $abc$51270$n1482 +.sym 17089 $abc$51270$n1489 +.sym 17090 $abc$51270$n1301 +.sym 17091 $abc$51270$n1295 +.sym 17092 I2C.wr +.sym 17093 I2C.is_ack +.sym 17160 $abc$51270$n1253 +.sym 17161 $abc$51270$n1256 +.sym 17162 $abc$51270$n871_1 +.sym 17163 I2C.received_byte[0] +.sym 17166 $abc$51270$n902 +.sym 17167 $abc$51270$n904 +.sym 17168 $false +.sym 17169 $false +.sym 17172 $abc$51270$n27 +.sym 17173 $abc$51270$n901 +.sym 17174 $false .sym 17175 $false -.sym 17178 $abc$56607$n953 -.sym 17179 $abc$56607$n952 +.sym 17178 I2C.i2c_state_machine +.sym 17179 I2C.i2c_start_latency .sym 17180 $false .sym 17181 $false -.sym 17184 $abc$56607$n944 -.sym 17185 $abc$56607$n953 -.sym 17186 $abc$56607$n962 -.sym 17187 $abc$56607$n969 -.sym 17190 $abc$56607$n952 -.sym 17191 $abc$56607$n962 -.sym 17192 $abc$56607$n926 -.sym 17193 $false -.sym 17196 $abc$56607$n2465 -.sym 17197 $false -.sym 17198 $false -.sym 17199 $false -.sym 17202 $abc$56607$n2464 -.sym 17203 $false +.sym 17184 I2C.i2c_state_machine +.sym 17185 $abc$51270$n2006 +.sym 17186 $abc$51270$n872 +.sym 17187 $false +.sym 17190 I2C.i2c_start_latency +.sym 17191 I2C.i2c_state_machine +.sym 17192 $abc$51270$n2006 +.sym 17193 I2C.is_read +.sym 17196 $abc$51270$n995 +.sym 17197 $abc$51270$n1495 +.sym 17198 $abc$51270$n1418$2 +.sym 17199 $abc$51270$n968_1 +.sym 17202 $abc$51270$n1265 +.sym 17203 $abc$51270$n869 .sym 17204 $false .sym 17205 $false -.sym 17206 $abc$56607$n1450 +.sym 17206 $true .sym 17207 CLK$2$2 -.sym 17208 $abc$56607$n35$2 -.sym 17209 $abc$56607$n966 -.sym 17210 $abc$56607$n1210 -.sym 17211 $abc$56607$n1179 -.sym 17212 $abc$56607$n930 -.sym 17213 $abc$56607$n1152 -.sym 17214 KEYBOARD.report[4][5] -.sym 17215 KEYBOARD.report[4][7] -.sym 17216 KEYBOARD.report[4][2] -.sym 17283 KEYBOARD.kbd_code_hid[2] -.sym 17284 KEYBOARD.report[1][2] -.sym 17285 $abc$56607$n986 -.sym 17286 $abc$56607$n987 -.sym 17289 KEYBOARD.report[1][5] -.sym 17290 KEYBOARD.kbd_code_hid[5] -.sym 17291 KEYBOARD.kbd_code_hid[4] -.sym 17292 KEYBOARD.report[1][4] -.sym 17295 kbd_report[5][7] -.sym 17296 KEYBOARD.report[1][7] -.sym 17297 $abc$56607$n312 -.sym 17298 $abc$56607$n1113_1 -.sym 17301 KEYBOARD.kbd_code_hid[7] -.sym 17302 KEYBOARD.report[1][7] -.sym 17303 KEYBOARD.report[1][6] -.sym 17304 KEYBOARD.kbd_code_hid[6] -.sym 17307 $abc$56607$n1515_1 -.sym 17308 $abc$56607$n1514 -.sym 17309 $abc$56607$n985_1 -.sym 17310 $abc$56607$n952 -.sym 17313 $abc$56607$n2459 -.sym 17314 $false +.sym 17208 $false +.sym 17209 $abc$51270$n305 +.sym 17210 $abc$51270$n1500 +.sym 17211 $abc$51270$n1281 +.sym 17212 $abc$51270$n2393 +.sym 17213 $abc$51270$n2470 +.sym 17214 $abc$51270$n2473 +.sym 17215 I2C.received_byte[2] +.sym 17283 $abc$51270$n902 +.sym 17284 $abc$51270$n1494_1 +.sym 17285 $abc$51270$n971 +.sym 17286 $false +.sym 17289 $false +.sym 17290 $false +.sym 17291 KEYBOARD.row_counter[0] +.sym 17292 $false +.sym 17295 I2C.FLT_SCL.RESET +.sym 17296 $abc$51270$n896_1 +.sym 17297 $false +.sym 17298 $false +.sym 17301 $abc$51270$n902 +.sym 17302 $abc$51270$n919 +.sym 17303 I2C.FLT_SCL.RESET +.sym 17304 $false +.sym 17307 $abc$51270$n919 +.sym 17308 I2C.FLT_SCL.RESET +.sym 17309 $false +.sym 17310 $false +.sym 17313 $abc$51270$n911 +.sym 17314 $abc$51270$n27 .sym 17315 $false .sym 17316 $false -.sym 17319 $abc$56607$n2464 -.sym 17320 $false -.sym 17321 $false +.sym 17319 $abc$51270$n911 +.sym 17320 $abc$51270$n896_1 +.sym 17321 KEYBOARD.init_ram_cnt[8] .sym 17322 $false -.sym 17325 $abc$56607$n2460 +.sym 17325 $abc$51270$n2314 .sym 17326 $false .sym 17327 $false .sym 17328 $false -.sym 17329 $abc$56607$n1407 +.sym 17329 $abc$51270$n1374 .sym 17330 CLK$2$2 -.sym 17331 $abc$56607$n35$2 -.sym 17332 $abc$56607$n1209 -.sym 17333 $abc$56607$n1211_1 -.sym 17334 $abc$56607$n972 -.sym 17335 $abc$56607$n1164 -.sym 17336 $abc$56607$n969 -.sym 17337 $abc$56607$n973 -.sym 17338 KEYBOARD.report[6][7] -.sym 17339 KEYBOARD.report[6][5] -.sym 17406 $abc$56607$n1112 -.sym 17407 kbd_report[5][2] -.sym 17408 $abc$56607$n1118 -.sym 17409 KEYBOARD.report[3][2] -.sym 17412 $abc$56607$n1112 -.sym 17413 kbd_report[5][0] -.sym 17414 $abc$56607$n1114 -.sym 17415 KEYBOARD.report[2][0] -.sym 17418 $abc$56607$n1113_1 -.sym 17419 $abc$56607$n312 +.sym 17331 $abc$51270$n35$2 +.sym 17339 $abc$51270$n2431 +.sym 17406 $abc$51270$n1253 +.sym 17407 $abc$51270$n1254 +.sym 17408 $false +.sym 17409 $false +.sym 17412 I2C.byte_counter[2] +.sym 17413 I2C.byte_counter[4] +.sym 17414 I2C.byte_counter[5] +.sym 17415 I2C.byte_counter[7] +.sym 17418 $abc$51270$n882 +.sym 17419 $abc$51270$n880 .sym 17420 $false .sym 17421 $false -.sym 17424 $abc$56607$n1115 -.sym 17425 KEYBOARD.report[1][2] -.sym 17426 KEYBOARD.report[2][2] -.sym 17427 $abc$56607$n1114 -.sym 17430 $abc$56607$n1115 -.sym 17431 KEYBOARD.report[1][0] -.sym 17432 KEYBOARD.report[6][0] -.sym 17433 $abc$56607$n1121 -.sym 17436 $abc$56607$n1119 -.sym 17437 KEYBOARD.report[4][3] -.sym 17438 KEYBOARD.report[6][3] -.sym 17439 $abc$56607$n1121 -.sym 17442 $abc$56607$n1517 -.sym 17443 $abc$56607$n1111 -.sym 17444 $abc$56607$n1117 +.sym 17424 $abc$51270$n896_1 +.sym 17425 KEYBOARD.init_ram_cnt[8] +.sym 17426 I2C.FLT_SCL.RESET +.sym 17427 $false +.sym 17430 $abc$51270$n867_1 +.sym 17431 $abc$51270$n880 +.sym 17432 $false +.sym 17433 $false +.sym 17436 $abc$51270$n1497 +.sym 17437 $abc$51270$n1283 +.sym 17438 I2C.byte_counter[0] +.sym 17439 I2C.byte_counter[1] +.sym 17442 $abc$51270$n2006 +.sym 17443 I2C.byte_counter[3] +.sym 17444 $false .sym 17445 $false -.sym 17448 $abc$56607$n1150 -.sym 17449 $abc$56607$n1151 +.sym 17448 I2C.FLT_SDA.out +.sym 17449 $false .sym 17450 $false .sym 17451 $false -.sym 17455 $abc$56607$n907 -.sym 17456 $abc$56607$n977 -.sym 17457 $abc$56607$n976 -.sym 17458 $abc$56607$n906 -.sym 17459 $abc$56607$n978 -.sym 17460 $abc$56607$n908 -.sym 17461 $abc$56607$n980 -.sym 17462 KEYBOARD.report[2][3] -.sym 17529 $abc$56607$n1119 -.sym 17530 KEYBOARD.report[4][6] -.sym 17531 KEYBOARD.report[6][6] -.sym 17532 $abc$56607$n1121 -.sym 17535 $abc$56607$n1127 -.sym 17536 KEYBOARD.report[2][6] -.sym 17537 KEYBOARD.report[3][6] -.sym 17538 $abc$56607$n1146 -.sym 17541 $abc$56607$n1128 -.sym 17542 kbd_report[5][6] -.sym 17543 KEYBOARD.report[6][6] -.sym 17544 $abc$56607$n1142 -.sym 17547 $abc$56607$n1143 -.sym 17548 KEYBOARD.report[4][6] -.sym 17549 $abc$56607$n1203 -.sym 17550 $abc$56607$n1204 -.sym 17553 $abc$56607$n1112 -.sym 17554 kbd_report[5][1] -.sym 17555 $abc$56607$n1118 -.sym 17556 KEYBOARD.report[3][1] -.sym 17559 $abc$56607$n1128 -.sym 17560 kbd_report[5][1] -.sym 17561 $abc$56607$n1146 -.sym 17562 KEYBOARD.report[3][1] -.sym 17565 wr_cnt[1] -.sym 17566 wr_cnt[2] -.sym 17567 $abc$56607$n321 -.sym 17568 $false -.sym 17571 $abc$56607$n2464 +.sym 17452 $abc$51270$n1200 +.sym 17453 CLK$2$2 +.sym 17454 $false +.sym 17455 $abc$51270$n822 +.sym 17456 $abc$51270$n809 +.sym 17457 $2\I2C_INPUT_LEN[7:0][1] +.sym 17458 $abc$51270$n1076 +.sym 17459 $abc$51270$n1493 +.sym 17460 $abc$51270$n1077 +.sym 17461 $abc$51270$n821 +.sym 17529 I2C.byte_counter[3] +.sym 17530 I2C.byte_counter[2] +.sym 17531 $abc$51270$n695 +.sym 17532 $false +.sym 17535 I2C.received_byte[1] +.sym 17536 I2C.received_byte[7] +.sym 17537 $abc$51270$n1255 +.sym 17538 I2C.received_byte[6] +.sym 17547 I2C.FLT_SCL.RESET +.sym 17548 $abc$51270$n896_1 +.sym 17549 KEYBOARD.init_ram_cnt[8] +.sym 17550 $false +.sym 17553 I2C.byte_counter[4] +.sym 17554 I2C.byte_counter[5] +.sym 17555 I2C.byte_counter[6] +.sym 17556 I2C.byte_counter[7] +.sym 17559 I2C.byte_counter[0] +.sym 17560 I2C.byte_counter[1] +.sym 17561 $abc$51270$n694 +.sym 17562 $false +.sym 17565 I2C.received_byte[4] +.sym 17566 I2C.received_byte[2] +.sym 17567 I2C.received_byte[5] +.sym 17568 I2C.received_byte[3] +.sym 17571 I2C.FLT_SDA.out .sym 17572 $false .sym 17573 $false .sym 17574 $false -.sym 17575 $abc$56607$n1435 +.sym 17575 $abc$51270$n1225 .sym 17576 CLK$2$2 -.sym 17577 $abc$56607$n35$2 -.sym 17578 $abc$56607$n791 -.sym 17579 $abc$56607$n1143 -.sym 17580 $abc$56607$n1113_1 -.sym 17581 $abc$56607$n1114 -.sym 17582 $abc$56607$n305 -.sym 17583 $abc$56607$n2708 -.sym 17584 KEYBOARD.report[4][3] -.sym 17585 KEYBOARD.report[4][1] -.sym 17652 $abc$56607$n312 -.sym 17653 $abc$56607$n1113_1 -.sym 17654 $false -.sym 17655 $false -.sym 17658 $abc$56607$n1141 -.sym 17659 $abc$56607$n1144 +.sym 17577 $false +.sym 17578 $abc$51270$n846 +.sym 17579 $abc$51270$n1080 +.sym 17580 $abc$51270$n1083 +.sym 17581 $abc$51270$n823 +.sym 17582 $abc$51270$n1073 +.sym 17583 $abc$51270$n1081 +.sym 17584 $abc$51270$n1228_1 +.sym 17585 $abc$51270$n859 +.sym 17652 $abc$51270$n809 +.sym 17653 I2C.byte_counter[0] +.sym 17654 I2C.received_byte[2] +.sym 17655 I2C.received_byte[1] +.sym 17658 I2C.is_read +.sym 17659 $abc$51270$n917 .sym 17660 $false .sym 17661 $false -.sym 17664 wr_cnt[1] -.sym 17665 $abc$56607$n321 -.sym 17666 wr_cnt[2] +.sym 17664 i2c_input_data_type[3] +.sym 17665 i2c_input_data_type[1] +.sym 17666 i2c_input_data_type[2] .sym 17667 $false -.sym 17670 $abc$56607$n1126 -.sym 17671 KEYBOARD.report[1][1] -.sym 17672 KEYBOARD.report[2][1] -.sym 17673 $abc$56607$n1127 -.sym 17676 $abc$56607$n1114 -.sym 17677 KEYBOARD.report[2][1] -.sym 17678 $abc$56607$n1135 -.sym 17679 $abc$56607$n1138 -.sym 17688 wr_cnt[1] -.sym 17689 wr_cnt[2] -.sym 17690 $abc$56607$n321 -.sym 17691 $false -.sym 17694 $abc$56607$n2459 -.sym 17695 $false -.sym 17696 $false -.sym 17697 $false -.sym 17698 $abc$56607$n1435 +.sym 17670 $abc$51270$n814 +.sym 17671 $abc$51270$n809 +.sym 17672 $abc$51270$n1496_1 +.sym 17673 $abc$51270$n1497_1 +.sym 17676 $abc$51270$n809 +.sym 17677 $abc$51270$n694 +.sym 17678 $abc$51270$n1498 +.sym 17679 I2C.byte_counter[1] +.sym 17682 $2\uart_double_ff[0:0] +.sym 17683 $abc$51270$n1079 +.sym 17684 $abc$51270$n1080 +.sym 17685 $abc$51270$n739 +.sym 17688 $2\uart_double_ff[0:0] +.sym 17689 $abc$51270$n847 +.sym 17690 $abc$51270$n1073 +.sym 17691 $abc$51270$n739 +.sym 17694 $2\uart_double_ff[0:0] +.sym 17695 $abc$51270$n860 +.sym 17696 $abc$51270$n1083 +.sym 17697 $abc$51270$n739 +.sym 17698 $abc$51270$n1112 .sym 17699 CLK$2$2 -.sym 17700 $abc$56607$n35$2 -.sym 17701 $abc$56607$n793 -.sym 17702 $abc$56607$n1232 -.sym 17703 $abc$56607$n736 -.sym 17704 $abc$56607$n1007 -.sym 17705 $abc$56607$n746 -.sym 17706 $abc$56607$n842 -.sym 17707 $abc$56607$n1018 -.sym 17708 last_isr -.sym 17775 $abc$56607$n757 -.sym 17776 $abc$56607$n791 -.sym 17777 $abc$56607$n770 -.sym 17778 $false -.sym 17781 $abc$56607$n759 -.sym 17782 $abc$56607$n758 -.sym 17783 I2C.FLT_SCL.RESET -.sym 17784 $abc$56607$n757 -.sym 17787 $abc$56607$n733 -.sym 17788 $abc$56607$n771 -.sym 17789 I2C.FLT_SCL.RESET -.sym 17790 $abc$56607$n757 -.sym 17793 $abc$56607$n733 -.sym 17794 $abc$56607$n812 -.sym 17795 $abc$56607$n673 -.sym 17796 $false -.sym 17799 KEYBOARD.isr -.sym 17800 last_isr +.sym 17700 $false +.sym 17701 $abc$51270$n813 +.sym 17702 $abc$51270$n222 +.sym 17703 $abc$51270$n1451 +.sym 17704 $abc$51270$n1046 +.sym 17705 $abc$51270$n1450 +.sym 17706 temp_output_report[2] +.sym 17707 temp_output_report[1] +.sym 17708 temp_output_report[0] +.sym 17775 $abc$51270$n840 +.sym 17776 $abc$51270$n809 +.sym 17777 $abc$51270$n693 +.sym 17778 $abc$51270$n790 +.sym 17781 $abc$51270$n1455 +.sym 17782 $abc$51270$n836 +.sym 17783 $abc$51270$n838 +.sym 17784 $abc$51270$n839 +.sym 17787 $abc$51270$n827 +.sym 17788 $abc$51270$n831 +.sym 17789 $abc$51270$n1499_1 +.sym 17790 $abc$51270$n791 +.sym 17793 $2\uart_double_ff[0:0] +.sym 17794 $abc$51270$n739 +.sym 17795 $abc$51270$n848 +.sym 17796 i2c_input_data_type[3] +.sym 17799 I2C.byte_counter[0] +.sym 17800 $abc$51270$n693 .sym 17801 $false .sym 17802 $false -.sym 17805 $abc$56607$n733 -.sym 17806 $abc$56607$n22 +.sym 17805 $abc$51270$n694 +.sym 17806 I2C.byte_counter[1] .sym 17807 $false .sym 17808 $false -.sym 17811 $abc$56607$n811 -.sym 17812 $abc$56607$n755 -.sym 17813 $false +.sym 17811 $abc$51270$n833 +.sym 17812 I2C.byte_counter[0] +.sym 17813 I2C.byte_counter[1] .sym 17814 $false -.sym 17817 $abc$56607$n842 -.sym 17818 $abc$56607$n759 -.sym 17819 $abc$56607$n770 -.sym 17820 $false -.sym 17828 $abc$56607$n2577 -.sym 17829 $abc$56607$n755 -.sym 17831 temp_output_report[1] -.sym 17898 i2c_input_data_type[0] -.sym 17899 $false -.sym 17900 $false +.sym 17817 I2C.received_byte[3] +.sym 17818 $abc$51270$n1074 +.sym 17819 $abc$51270$n739 +.sym 17820 $abc$51270$n1086 +.sym 17821 $abc$51270$n1112 +.sym 17822 CLK$2$2 +.sym 17823 $false +.sym 17824 $abc$51270$n848 +.sym 17825 $abc$51270$n857 +.sym 17826 $abc$51270$n858 +.sym 17827 $abc$51270$n851 +.sym 17828 $abc$51270$n854 +.sym 17829 $abc$51270$n855 +.sym 17830 $abc$51270$n849 +.sym 17831 LED4$2 +.sym 17898 I2C.received_byte[1] +.sym 17899 I2C.received_byte[0] +.sym 17900 i2c_input_data_type[0] .sym 17901 $false -.sym 17904 $abc$56607$n670 -.sym 17905 $abc$56607$n22 -.sym 17906 $false -.sym 17907 $false -.sym 17910 $abc$56607$n842 -.sym 17911 $abc$56607$n755 -.sym 17912 $false -.sym 17913 $false -.sym 17916 i2c_input_data_type[1] -.sym 17917 i2c_input_data_type[0] -.sym 17918 i2c_input_data_type[3] -.sym 17919 i2c_input_data_type[2] -.sym 17922 i2c_input_data_type[1] -.sym 17923 i2c_input_data_type[3] -.sym 17924 i2c_input_data_type[0] -.sym 17925 i2c_input_data_type[2] -.sym 17928 i2c_input_data_type[2] -.sym 17929 $false -.sym 17930 $false +.sym 17904 $abc$51270$n835 +.sym 17905 I2C.received_byte[3] +.sym 17906 I2C.received_byte[2] +.sym 17907 $abc$51270$n823 +.sym 17910 i2c_input_data_type[3] +.sym 17911 i2c_input_data_type[1] +.sym 17912 i2c_input_data_type[0] +.sym 17913 i2c_input_data_type[2] +.sym 17916 i2c_input_data_type[0] +.sym 17917 $abc$51270$n814 +.sym 17918 $false +.sym 17919 $false +.sym 17922 I2C.received_byte[0] +.sym 17923 $abc$51270$n823 +.sym 17924 $abc$51270$n822 +.sym 17925 $abc$51270$n833 +.sym 17928 $abc$51270$n814 +.sym 17929 $abc$51270$n834 +.sym 17930 $abc$51270$n832 .sym 17931 $false -.sym 17934 i2c_input_data_type[1] -.sym 17935 $false -.sym 17936 $false -.sym 17937 $false -.sym 17940 I2C.received_byte[2] -.sym 17941 $false -.sym 17942 $false +.sym 17934 i2c_input_data_type[3] +.sym 17935 i2c_input_data_type[1] +.sym 17936 i2c_input_data_type[0] +.sym 17937 i2c_input_data_type[2] +.sym 17940 $abc$51270$n851 +.sym 17941 $abc$51270$n812 +.sym 17942 $abc$51270$n2425 .sym 17943 $false -.sym 17944 $abc$56607$n1113 -.sym 17945 CLK$2$2 -.sym 17946 $false -.sym 17947 $abc$56607$n742 -.sym 17948 $abc$56607$n762 -.sym 17949 $13\int_tmr[19:0][0] -.sym 17951 $abc$56607$n761 -.sym 17952 $abc$56607$n744 -.sym 17953 $abc$56607$n743 -.sym 17954 int_tmr[1] -.sym 17983 $true -.sym 18020 wr_cnt[0]$3 -.sym 18021 $false -.sym 18022 wr_cnt[0] -.sym 18023 $false +.sym 17947 $abc$51270$n225 +.sym 17948 $abc$51270$n2455 +.sym 17949 $abc$51270$n224 +.sym 17950 $abc$51270$n230 +.sym 17951 $abc$51270$n228 +.sym 17952 KEYBOARD.ROWS_EN[10] +.sym 17953 KEYBOARD.ROWS_EN[11] +.sym 17954 KEYBOARD.ROWS_EN[15] +.sym 18021 $abc$51270$n790 +.sym 18022 $abc$51270$n753 +.sym 18023 $abc$51270$n759 .sym 18024 $false -.sym 18026 $auto$alumacc.cc:470:replace_alu$12138.C[2] -.sym 18028 wr_cnt[1] -.sym 18029 $false -.sym 18033 $false -.sym 18034 wr_cnt[2] -.sym 18035 $true$2 -.sym 18036 $auto$alumacc.cc:470:replace_alu$12138.C[2] -.sym 18039 $abc$56607$n773 -.sym 18040 $abc$56607$n842 -.sym 18041 $abc$56607$n988 -.sym 18042 $abc$56607$n754 -.sym 18045 $abc$56607$n768 -.sym 18046 $abc$56607$n772 +.sym 18027 $abc$51270$n2422 +.sym 18028 I2C.is_read +.sym 18029 $abc$51270$n753 +.sym 18030 $abc$51270$n917 +.sym 18033 $abc$51270$n21 +.sym 18034 report_data_radr[0] +.sym 18035 $false +.sym 18036 $false +.sym 18039 $abc$51270$n772 +.sym 18040 $abc$51270$n799_1 +.sym 18041 $abc$51270$n778 +.sym 18042 $abc$51270$n789 +.sym 18045 $abc$51270$n772 +.sym 18046 $abc$51270$n776 .sym 18047 $false .sym 18048 $false -.sym 18051 uart_double_ff -.sym 18052 $abc$56607$n774 -.sym 18053 $abc$56607$n762 -.sym 18054 $false -.sym 18057 last_uart_active -.sym 18058 $abc$56607$n741 -.sym 18059 UART.tx_activity -.sym 18060 $abc$56607$n769 -.sym 18063 $abc$56607$n774 -.sym 18064 $abc$56607$n747 +.sym 18051 I2C.FLT_SCL.RESET +.sym 18052 $abc$51270$n21 +.sym 18053 $abc$51270$n789 +.sym 18054 $abc$51270$n1117 +.sym 18057 I2C.is_read +.sym 18058 $abc$51270$n791 +.sym 18059 $abc$51270$n728 +.sym 18060 $false +.sym 18063 LED1$2 +.sym 18064 $false .sym 18065 $false .sym 18066 $false -.sym 18067 $abc$56607$n881 -.sym 18068 CLK$2$2 -.sym 18069 $abc$56607$n35$2 -.sym 18070 $abc$56607$n1501_1 -.sym 18071 $abc$56607$n985 -.sym 18072 $abc$56607$n938 -.sym 18074 COM_DCD$2 -.sym 18076 $abc$56607$n782 -.sym 18077 LED1$2 -.sym 18106 $false -.sym 18143 $auto$maccmap.cc:240:synth$13026.C[5] -.sym 18145 $abc$56607$n2549 -.sym 18146 $abc$56607$n2551 -.sym 18149 $auto$maccmap.cc:240:synth$13026.C[6] -.sym 18150 $false -.sym 18151 $2\ring_wr[3:0][2] -.sym 18152 $abc$56607$n2671 -.sym 18153 $auto$maccmap.cc:240:synth$13026.C[5] -.sym 18155 $auto$maccmap.cc:240:synth$13026.C[7] -.sym 18156 $false -.sym 18157 $2\ring_wr[3:0][3] -.sym 18158 $false -.sym 18159 $auto$maccmap.cc:240:synth$13026.C[6] -.sym 18162 $false -.sym 18163 $false -.sym 18164 $false -.sym 18165 $auto$maccmap.cc:240:synth$13026.C[7] -.sym 18168 $abc$56607$n741 -.sym 18169 UART.tx_activity -.sym 18170 last_uart_active -.sym 18171 $abc$56607$n988 -.sym 18174 $abc$56607$n761 -.sym 18175 UART_WR -.sym 18176 $abc$56607$n769 -.sym 18177 $abc$56607$n753 -.sym 18180 $abc$56607$n778 -.sym 18181 $abc$56607$n867 -.sym 18182 $false -.sym 18183 $false -.sym 18186 UART.tx_activity +.sym 18077 $abc$51270$n1075 +.sym 18144 $abc$51270$n1320 +.sym 18145 $false +.sym 18146 $false +.sym 18147 $false +.sym 18156 $abc$51270$n759 +.sym 18157 $abc$51270$n838 +.sym 18158 $abc$51270$n776 +.sym 18159 $false +.sym 18162 $abc$51270$n2193 +.sym 18163 KEYBOARD.row_counter[0] +.sym 18164 KEYBOARD.row_counter[1] +.sym 18165 $abc$51270$n2199 +.sym 18168 KEYBOARD.row_counter[0] +.sym 18169 KEYBOARD.row_counter[1] +.sym 18170 $abc$51270$n2193 +.sym 18171 $abc$51270$n2199 +.sym 18174 last_trans +.sym 18175 I2C_TRANS +.sym 18176 $false +.sym 18177 $false +.sym 18186 I2C_TRANS .sym 18187 $false .sym 18188 $false .sym 18189 $false -.sym 18190 $abc$56607$n1227 +.sym 18190 $abc$51270$n1152 .sym 18191 CLK$2$2 -.sym 18192 $abc$56607$n35$2 -.sym 18193 $abc$56607$n2546 -.sym 18194 $abc$56607$n1221_1 -.sym 18195 $abc$56607$n1243 -.sym 18196 $abc$56607$n1953 -.sym 18197 $abc$56607$n1234 -.sym 18198 $abc$56607$n1239 -.sym 18199 $abc$56607$n1242 -.sym 18200 int_tmr[19] -.sym 18267 $false -.sym 18268 wr_cnt[0] +.sym 18192 $abc$51270$n35$2 +.sym 18193 $abc$51270$n2582 +.sym 18194 $abc$51270$n2578 +.sym 18195 $abc$51270$n2576 +.sym 18198 $abc$51270$n2579 +.sym 18199 $abc$51270$n2575 +.sym 18267 $10\ring_rd[3:0][1] +.sym 18268 $10\ring_rd[3:0][3] .sym 18269 $false .sym 18270 $false -.sym 18273 $2\ring_wr[3:0][1] -.sym 18274 $2\ring_wr[3:0][3] -.sym 18275 $false +.sym 18273 $abc$51270$n1781 +.sym 18274 ring_rd[2] +.sym 18275 $abc$51270$n740 .sym 18276 $false -.sym 18279 $abc$56607$n1949 -.sym 18280 $6\report_data_wadr[7:0][7] -.sym 18281 $abc$56607$n670 -.sym 18282 $abc$56607$n22 -.sym 18285 $2\ring_wr[3:0][1] -.sym 18286 $2\ring_wr[3:0][3] -.sym 18287 $false +.sym 18285 $abc$51270$n1784 +.sym 18286 ring_rd[3] +.sym 18287 $abc$51270$n740 .sym 18288 $false -.sym 18291 $abc$56607$n1239 -.sym 18292 $abc$56607$n2549 -.sym 18293 $abc$56607$n2551 -.sym 18294 $abc$56607$n670 -.sym 18297 $abc$56607$n1220 -.sym 18298 $abc$56607$n1961 -.sym 18299 $abc$56607$n1236_1 -.sym 18300 $abc$56607$n22 -.sym 18303 $abc$56607$n1220 -.sym 18304 $abc$56607$n1967 -.sym 18305 $abc$56607$n22 -.sym 18306 $abc$56607$n1249_1 -.sym 18309 $abc$56607$n1220 -.sym 18310 $abc$56607$n1221_1 -.sym 18311 $abc$56607$n771 -.sym 18312 $6\report_data_wadr[7:0][0] -.sym 18313 $abc$56607$n1018$2 +.sym 18291 $abc$51270$n1775 +.sym 18292 ring_rd[0] +.sym 18293 $abc$51270$n740 +.sym 18294 $false +.sym 18297 $10\ring_rd[3:0][1] +.sym 18298 $10\ring_rd[3:0][3] +.sym 18299 $false +.sym 18300 $false +.sym 18303 $abc$51270$n740 +.sym 18304 ring_rd[0] +.sym 18305 ring_rd[1] +.sym 18306 $false +.sym 18309 report_data_radr[1] +.sym 18310 $10\ring_rd[3:0][0] +.sym 18311 $abc$51270$n21 +.sym 18312 $false +.sym 18313 $abc$51270$n968 .sym 18314 CLK$2$2 .sym 18315 $false -.sym 18317 $6\report_data_wadr[7:0][5] -.sym 18318 $6\report_data_wadr[7:0][6] -.sym 18319 $6\report_data_wadr[7:0][7] -.sym 18320 $abc$56607$n2680 -.sym 18321 $abc$56607$n2681 -.sym 18322 $abc$56607$n2678 -.sym 18396 $abc$56607$n1946 -.sym 18397 $6\report_data_wadr[7:0][6] -.sym 18398 $abc$56607$n670 -.sym 18399 $abc$56607$n22 -.sym 18408 $abc$56607$n1943 -.sym 18409 $6\report_data_wadr[7:0][5] -.sym 18410 $abc$56607$n670 -.sym 18411 $abc$56607$n22 -.sym 18414 $abc$56607$n1220 -.sym 18415 $abc$56607$n1965 -.sym 18416 $abc$56607$n22 -.sym 18417 $abc$56607$n1247 -.sym 18432 $abc$56607$n1220 -.sym 18433 $abc$56607$n1963 -.sym 18434 $abc$56607$n22 -.sym 18435 $abc$56607$n1245 -.sym 18436 $abc$56607$n1018$2 +.sym 18316 $abc$51270$n1609 +.sym 18319 KEYBOARD.ROWS_EN[2] +.sym 18320 KEYBOARD.ROWS_EN[5] +.sym 18322 KEYBOARD.ROWS_EN[3] +.sym 18352 $false +.sym 18389 $auto$maccmap.cc:240:synth$10473.C[5] +.sym 18391 $abc$51270$n2411 +.sym 18392 $abc$51270$n2413 +.sym 18395 $auto$maccmap.cc:240:synth$10473.C[6] +.sym 18396 $false +.sym 18397 $10\ring_rd[3:0][2] +.sym 18398 $abc$51270$n2532 +.sym 18399 $auto$maccmap.cc:240:synth$10473.C[5] +.sym 18401 $auto$maccmap.cc:240:synth$10473.C[7] +.sym 18402 $false +.sym 18403 $10\ring_rd[3:0][3] +.sym 18404 $false +.sym 18405 $auto$maccmap.cc:240:synth$10473.C[6] +.sym 18408 $false +.sym 18409 $false +.sym 18410 $false +.sym 18411 $auto$maccmap.cc:240:synth$10473.C[7] +.sym 18414 $10\ring_rd[3:0][1] +.sym 18415 $false +.sym 18416 $false +.sym 18417 $false +.sym 18420 $10\ring_rd[3:0][3] +.sym 18421 $false +.sym 18422 $false +.sym 18423 $false +.sym 18426 $10\ring_rd[3:0][2] +.sym 18427 $false +.sym 18428 $false +.sym 18429 $false +.sym 18432 $10\ring_rd[3:0][0] +.sym 18433 $false +.sym 18434 $false +.sym 18435 $false +.sym 18436 $abc$51270$n934 .sym 18437 CLK$2$2 -.sym 18438 $false -.sym 18446 $abc$56607$n22 +.sym 18438 $abc$51270$n35$2 +.sym 18440 KEYBOARD.ROWS_EN[7] +.sym 18444 KEYBOARD.ROWS_EN[1] +.sym 18445 KEYBOARD.ROWS_EN[4] +.sym 18446 KEYBOARD.ROWS_EN[0] .sym 18475 $true -.sym 18512 init_ram_cnt[1]$3 +.sym 18512 ring_rd[0]$2 .sym 18513 $false -.sym 18514 init_ram_cnt[1] +.sym 18514 ring_rd[0] .sym 18515 $false .sym 18516 $false -.sym 18518 $auto$alumacc.cc:470:replace_alu$12144.C[3] -.sym 18520 init_ram_cnt[2] -.sym 18521 $true$2 -.sym 18524 $auto$alumacc.cc:470:replace_alu$12144.C[4] +.sym 18518 $auto$alumacc.cc:474:replace_alu$9585.C[2] +.sym 18520 $false +.sym 18521 ring_rd[1] +.sym 18524 $auto$alumacc.cc:474:replace_alu$9585.C[3] .sym 18525 $false -.sym 18526 init_ram_cnt[3] -.sym 18527 $false -.sym 18528 $auto$alumacc.cc:470:replace_alu$12144.C[3] -.sym 18530 $auto$alumacc.cc:470:replace_alu$12144.C[5] +.sym 18526 $false +.sym 18527 ring_rd[2] +.sym 18528 $auto$alumacc.cc:474:replace_alu$9585.C[2] .sym 18531 $false -.sym 18532 init_ram_cnt[4] -.sym 18533 $true$2 -.sym 18534 $auto$alumacc.cc:470:replace_alu$12144.C[4] -.sym 18536 $auto$alumacc.cc:470:replace_alu$12144.C[6] -.sym 18537 $false -.sym 18538 init_ram_cnt[5] -.sym 18539 $true$2 -.sym 18540 $auto$alumacc.cc:470:replace_alu$12144.C[5] -.sym 18542 $auto$alumacc.cc:470:replace_alu$12144.C[7] -.sym 18543 $false -.sym 18544 init_ram_cnt[6] -.sym 18545 $true$2 -.sym 18546 $auto$alumacc.cc:470:replace_alu$12144.C[6] -.sym 18549 $false -.sym 18550 init_ram_cnt[7] -.sym 18551 $true$2 -.sym 18552 $auto$alumacc.cc:470:replace_alu$12144.C[7] -.sym 18698 $true -.sym 18735 KEYBOARD.row_time[0]$2 -.sym 18736 $false -.sym 18737 KEYBOARD.row_time[0] -.sym 18738 $false +.sym 18532 $false +.sym 18533 ring_rd[3] +.sym 18534 $auto$alumacc.cc:474:replace_alu$9585.C[3] +.sym 18543 $abc$51270$n2581 +.sym 18544 $false +.sym 18545 $false +.sym 18546 $false +.sym 18559 $abc$51270$n1404$2 +.sym 18560 CLK$2$2 +.sym 18561 $abc$51270$n30 +.sym 18736 KEYBOARD.COLS_SHADOW[7] +.sym 18737 KBD_COLUMNS[7]$2 +.sym 18738 $abc$51270$n700 .sym 18739 $false -.sym 18741 $auto$alumacc.cc:470:replace_alu$12194.C[2] -.sym 18743 $false -.sym 18744 KEYBOARD.row_time[1] -.sym 18747 $auto$alumacc.cc:470:replace_alu$12194.C[3] -.sym 18748 $false -.sym 18749 $false -.sym 18750 KEYBOARD.row_time[2] -.sym 18751 $auto$alumacc.cc:470:replace_alu$12194.C[2] -.sym 18753 $auto$alumacc.cc:470:replace_alu$12194.C[4] -.sym 18754 $false -.sym 18755 $false -.sym 18756 KEYBOARD.row_time[3] -.sym 18757 $auto$alumacc.cc:470:replace_alu$12194.C[3] -.sym 18759 $auto$alumacc.cc:470:replace_alu$12194.C[5] -.sym 18760 $false -.sym 18761 $false -.sym 18762 KEYBOARD.row_time[4] -.sym 18763 $auto$alumacc.cc:470:replace_alu$12194.C[4] -.sym 18765 $auto$alumacc.cc:470:replace_alu$12194.C[6] -.sym 18766 $false -.sym 18767 $false -.sym 18768 KEYBOARD.row_time[5] -.sym 18769 $auto$alumacc.cc:470:replace_alu$12194.C[5] -.sym 18771 $auto$alumacc.cc:470:replace_alu$12194.C[7] -.sym 18772 $false -.sym 18773 $false -.sym 18774 KEYBOARD.row_time[6] -.sym 18775 $auto$alumacc.cc:470:replace_alu$12194.C[6] -.sym 18777 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18778 $false -.sym 18779 $false -.sym 18780 KEYBOARD.row_time[7] -.sym 18781 $auto$alumacc.cc:470:replace_alu$12194.C[7] -.sym 18782 $abc$56607$n1490$2 +.sym 18782 $abc$51270$n1402 .sym 18783 CLK$2$2 -.sym 18784 $abc$56607$n33$2 -.sym 18861 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18898 $auto$alumacc.cc:470:replace_alu$12194.C[9] -.sym 18899 $false -.sym 18900 $false -.sym 18901 KEYBOARD.row_time[8] -.sym 18902 $auto$alumacc.cc:470:replace_alu$12194.C[8] -.sym 18904 $auto$alumacc.cc:470:replace_alu$12194.C[10] -.sym 18905 $false -.sym 18906 $false -.sym 18907 KEYBOARD.row_time[9] -.sym 18908 $auto$alumacc.cc:470:replace_alu$12194.C[9] -.sym 18910 $auto$alumacc.cc:470:replace_alu$12194.C[11] -.sym 18911 $false -.sym 18912 $false -.sym 18913 KEYBOARD.row_time[10] -.sym 18914 $auto$alumacc.cc:470:replace_alu$12194.C[10] -.sym 18916 $auto$alumacc.cc:470:replace_alu$12194.C[12] -.sym 18917 $false -.sym 18918 $false -.sym 18919 KEYBOARD.row_time[11] -.sym 18920 $auto$alumacc.cc:470:replace_alu$12194.C[11] -.sym 18922 $auto$alumacc.cc:470:replace_alu$12194.C[13] -.sym 18923 $false -.sym 18924 $false -.sym 18925 KEYBOARD.row_time[12] -.sym 18926 $auto$alumacc.cc:470:replace_alu$12194.C[12] -.sym 18928 $auto$alumacc.cc:470:replace_alu$12194.C[14] -.sym 18929 $false -.sym 18930 $false -.sym 18931 KEYBOARD.row_time[13] -.sym 18932 $auto$alumacc.cc:470:replace_alu$12194.C[13] -.sym 18934 $auto$alumacc.cc:470:replace_alu$12194.C[15] -.sym 18935 $false -.sym 18936 $false -.sym 18937 KEYBOARD.row_time[14] -.sym 18938 $auto$alumacc.cc:470:replace_alu$12194.C[14] -.sym 18941 $false +.sym 18784 $false +.sym 18899 $abc$51270$n1269 +.sym 18900 $abc$51270$n1263 +.sym 18901 $abc$51270$n869 +.sym 18902 $false +.sym 18911 I2C.FLT_SCL.out +.sym 18912 $abc$51270$n16 +.sym 18913 $false +.sym 18914 $false +.sym 18917 $abc$51270$n1178 +.sym 18918 $abc$51270$n16 +.sym 18919 I2C.FLT_SCL.out +.sym 18920 $false +.sym 18923 I2C.i2c_start_latency +.sym 18924 I2C.i2c_state_machine +.sym 18925 $abc$51270$n2006 +.sym 18926 $false +.sym 18929 $abc$51270$n1178 +.sym 18930 $abc$51270$n1246 +.sym 18931 $abc$51270$n873 +.sym 18932 $abc$51270$n869 +.sym 18935 $abc$51270$n1257_1 +.sym 18936 I2C.FLT_SCL.out +.sym 18937 $abc$51270$n16 +.sym 18938 $abc$51270$n1178 +.sym 18941 $abc$51270$n2006 .sym 18942 $false -.sym 18943 KEYBOARD.row_time[15] -.sym 18944 $auto$alumacc.cc:470:replace_alu$12194.C[15] -.sym 18945 $abc$56607$n1490$2 +.sym 18943 $false +.sym 18944 $false +.sym 18945 $abc$51270$n1228 .sym 18946 CLK$2$2 -.sym 18947 $abc$56607$n33$2 -.sym 19022 $abc$56607$n681 -.sym 19023 $abc$56607$n687 -.sym 19024 KEYBOARD.row_time[8] -.sym 19025 KEYBOARD.row_time[9] -.sym 19028 KEYBOARD.row_time[4] -.sym 19029 KEYBOARD.row_time[5] -.sym 19030 KEYBOARD.row_time[2] -.sym 19031 KEYBOARD.row_time[3] -.sym 19034 $abc$56607$n688 -.sym 19035 KEYBOARD.row_time[10] -.sym 19036 KEYBOARD.row_time[11] +.sym 18947 $false +.sym 19022 $abc$51270$n1178 +.sym 19023 $abc$51270$n1246 +.sym 19024 $abc$51270$n869 +.sym 19025 $false +.sym 19028 $abc$51270$n1257_1 +.sym 19029 $abc$51270$n1258_1 +.sym 19030 $false +.sym 19031 $false +.sym 19034 $abc$51270$n1246 +.sym 19035 $abc$51270$n1178 +.sym 19036 $false .sym 19037 $false -.sym 19040 KEYBOARD.row_time[12] -.sym 19041 KEYBOARD.row_time[14] -.sym 19042 KEYBOARD.row_time[15] -.sym 19043 KEYBOARD.row_time[13] -.sym 19046 KEYBOARD.row_time[8] -.sym 19047 KEYBOARD.row_time[9] -.sym 19048 KEYBOARD.row_time[10] -.sym 19049 KEYBOARD.row_time[11] -.sym 19052 KEYBOARD.row_time[10] -.sym 19053 KEYBOARD.row_time[11] +.sym 19040 $abc$51270$n1257_1 +.sym 19041 $abc$51270$n1258_1 +.sym 19042 $false +.sym 19043 $false +.sym 19046 $false +.sym 19047 $abc$51270$n2012 +.sym 19048 $false +.sym 19049 $auto$alumacc.cc:474:replace_alu$9620.C[2] +.sym 19052 $abc$51270$n2461 +.sym 19053 $false .sym 19054 $false .sym 19055 $false -.sym 19058 KEYBOARD.row_time[8] -.sym 19059 KEYBOARD.row_time[9] +.sym 19058 $false +.sym 19059 $false .sym 19060 $false -.sym 19061 $false -.sym 19064 $abc$56607$n2462 -.sym 19065 $false -.sym 19066 $false -.sym 19067 $false -.sym 19068 $abc$56607$n1435 +.sym 19061 $abc$51270$n2394 +.sym 19064 $abc$51270$n1262 +.sym 19065 $abc$51270$n1261 +.sym 19066 $abc$51270$n869 +.sym 19067 $abc$51270$n871_1 +.sym 19068 $true .sym 19069 CLK$2$2 -.sym 19070 $abc$56607$n35$2 -.sym 19145 $abc$56607$n27 -.sym 19146 $abc$56607$n912 -.sym 19147 I2C.FLT_SCL.RESET +.sym 19070 $abc$51270$n35$2 +.sym 19145 $abc$51270$n2006 +.sym 19146 I2C.i2c_bit_counter[2] +.sym 19147 $false .sym 19148 $false -.sym 19151 $abc$56607$n2231 -.sym 19152 KEYBOARD.row_counter[0] -.sym 19153 KEYBOARD.row_counter[1] -.sym 19154 $abc$56607$n2237 -.sym 19157 $abc$56607$n932 -.sym 19158 $abc$56607$n934 -.sym 19159 $abc$56607$n1494 -.sym 19160 $false -.sym 19163 $abc$56607$n27 -.sym 19164 I2C.FLT_SCL.RESET -.sym 19165 $abc$56607$n912 -.sym 19166 $false -.sym 19175 $abc$56607$n2462 -.sym 19176 $false -.sym 19177 $false -.sym 19178 $false -.sym 19181 $abc$56607$n2463 -.sym 19182 $false -.sym 19183 $false -.sym 19184 $false -.sym 19191 $abc$56607$n1407 +.sym 19151 $abc$51270$n2006 +.sym 19152 I2C.is_ack +.sym 19153 $false +.sym 19154 $false +.sym 19157 $abc$51270$n1252 +.sym 19158 $abc$51270$n1257_1 +.sym 19159 $abc$51270$n1258_1 +.sym 19160 $abc$51270$n868 +.sym 19163 $abc$51270$n868 +.sym 19164 $abc$51270$n873 +.sym 19165 $abc$51270$n874 +.sym 19166 $abc$51270$n307 +.sym 19169 $abc$51270$n307 +.sym 19170 $abc$51270$n873 +.sym 19171 $abc$51270$n874 +.sym 19172 $abc$51270$n868 +.sym 19175 $abc$51270$n1271 +.sym 19176 $abc$51270$n307 +.sym 19177 $abc$51270$n1267 +.sym 19178 $abc$51270$n2012 +.sym 19181 $abc$51270$n1271 +.sym 19182 $abc$51270$n305 +.sym 19183 $abc$51270$n1267 +.sym 19184 $abc$51270$n2008 +.sym 19187 $abc$51270$n875 +.sym 19188 $abc$51270$n1271 +.sym 19189 $abc$51270$n1267 +.sym 19190 $abc$51270$n2010 +.sym 19191 $true .sym 19192 CLK$2$2 -.sym 19193 $abc$56607$n35$2 -.sym 19268 $abc$56607$n969 -.sym 19269 $abc$56607$n952 -.sym 19270 $false -.sym 19271 $false -.sym 19274 $abc$56607$n1494 -.sym 19275 $abc$56607$n933 -.sym 19276 $false -.sym 19277 $false -.sym 19280 $abc$56607$n1495 -.sym 19281 $abc$56607$n959 -.sym 19282 $false +.sym 19193 $false +.sym 19268 $abc$51270$n1258_1 +.sym 19269 $abc$51270$n868 +.sym 19270 I2C.i2c_state_machine +.sym 19271 $abc$51270$n1248 +.sym 19274 $abc$51270$n2431 +.sym 19275 $abc$51270$n868 +.sym 19276 $abc$51270$n1263 +.sym 19277 $abc$51270$n1489 +.sym 19280 $abc$51270$n1248 +.sym 19281 $abc$51270$n1481 +.sym 19282 $abc$51270$n1246 .sym 19283 $false -.sym 19286 $abc$56607$n1494 -.sym 19287 $abc$56607$n928 -.sym 19288 $abc$56607$n926 -.sym 19289 $false -.sym 19292 $abc$56607$n1475 -.sym 19293 $abc$56607$n1495 -.sym 19294 $abc$56607$n901_1 -.sym 19295 $abc$56607$n1477 -.sym 19298 $abc$56607$n1494 -.sym 19299 $abc$56607$n928 -.sym 19300 $abc$56607$n958 -.sym 19301 $abc$56607$n961 -.sym 19304 $abc$56607$n950 -.sym 19305 $abc$56607$n951 -.sym 19306 $abc$56607$n901_1 -.sym 19307 $abc$56607$n958 -.sym 19310 $abc$56607$n2462 -.sym 19311 $false -.sym 19312 $false -.sym 19313 $false -.sym 19314 $abc$56607$n1450 +.sym 19286 $abc$51270$n1269 +.sym 19287 $abc$51270$n868 +.sym 19288 I2C.i2c_state_machine +.sym 19289 $abc$51270$n1300 +.sym 19292 $abc$51270$n1300 +.sym 19293 $abc$51270$n1263 +.sym 19294 $abc$51270$n871_1 +.sym 19295 $abc$51270$n1270 +.sym 19298 I2C.i2c_state_machine +.sym 19299 $abc$51270$n1246 +.sym 19300 I2C.is_ack +.sym 19301 $abc$51270$n2006 +.sym 19304 $abc$51270$n1262 +.sym 19305 $abc$51270$n1301 +.sym 19306 $abc$51270$n1490 +.sym 19307 $false +.sym 19310 $abc$51270$n1270 +.sym 19311 $abc$51270$n871_1 +.sym 19312 $abc$51270$n1295 +.sym 19313 $abc$51270$n1294 +.sym 19314 $true .sym 19315 CLK$2$2 -.sym 19316 $abc$56607$n35$2 -.sym 19391 KEYBOARD.kbd_code_hid[3] -.sym 19392 KEYBOARD.report[4][3] -.sym 19393 KEYBOARD.report[4][1] -.sym 19394 KEYBOARD.kbd_code_hid[1] -.sym 19397 $abc$56607$n1119 -.sym 19398 KEYBOARD.report[4][7] -.sym 19399 $abc$56607$n1211_1 -.sym 19400 $abc$56607$n1212 -.sym 19403 kbd_report[5][4] -.sym 19404 KEYBOARD.report[1][4] -.sym 19405 $abc$56607$n312 -.sym 19406 $abc$56607$n1113_1 -.sym 19409 KEYBOARD.report[4][0] -.sym 19410 KEYBOARD.report[4][1] -.sym 19411 KEYBOARD.report[4][2] -.sym 19412 KEYBOARD.report[4][3] -.sym 19415 $abc$56607$n1119 -.sym 19416 KEYBOARD.report[4][2] -.sym 19417 KEYBOARD.report[6][2] -.sym 19418 $abc$56607$n1121 -.sym 19421 $abc$56607$n2463 +.sym 19316 $false +.sym 19391 $false +.sym 19392 $abc$51270$n2008 +.sym 19393 $false +.sym 19394 $false +.sym 19397 $abc$51270$n2006 +.sym 19398 I2C.byte_counter[5] +.sym 19399 $false +.sym 19400 $false +.sym 19403 $abc$51270$n1282 +.sym 19404 $abc$51270$n1502 +.sym 19405 $false +.sym 19406 $false +.sym 19409 $abc$51270$n1494 +.sym 19410 $false +.sym 19411 $false +.sym 19412 $false +.sym 19415 $abc$51270$n1500 +.sym 19416 $false +.sym 19417 $false +.sym 19418 $false +.sym 19421 $abc$51270$n1497 .sym 19422 $false .sym 19423 $false .sym 19424 $false -.sym 19427 $abc$56607$n2465 +.sym 19427 I2C.FLT_SDA.out .sym 19428 $false .sym 19429 $false .sym 19430 $false -.sym 19433 $abc$56607$n2460 -.sym 19434 $false -.sym 19435 $false -.sym 19436 $false -.sym 19437 $abc$56607$n1422 +.sym 19437 $abc$51270$n1195 .sym 19438 CLK$2$2 -.sym 19439 $abc$56607$n35$2 -.sym 19514 $abc$56607$n1118 -.sym 19515 KEYBOARD.report[3][7] -.sym 19516 $abc$56607$n1213 -.sym 19517 $abc$56607$n1210 -.sym 19520 $abc$56607$n1114 -.sym 19521 KEYBOARD.report[2][7] -.sym 19522 $false -.sym 19523 $false -.sym 19526 KEYBOARD.kbd_code_hid[2] -.sym 19527 KEYBOARD.report[3][2] -.sym 19528 KEYBOARD.report[3][0] -.sym 19529 KEYBOARD.kbd_code_hid[0] -.sym 19532 $abc$56607$n1118 -.sym 19533 KEYBOARD.report[3][3] -.sym 19534 $abc$56607$n1114 -.sym 19535 KEYBOARD.report[2][3] -.sym 19538 $abc$56607$n970 -.sym 19539 $abc$56607$n971 -.sym 19540 $abc$56607$n972 -.sym 19541 $abc$56607$n973 -.sym 19544 KEYBOARD.kbd_code_hid[6] -.sym 19545 KEYBOARD.report[3][6] -.sym 19546 KEYBOARD.report[3][1] -.sym 19547 KEYBOARD.kbd_code_hid[1] -.sym 19550 KEYBOARD.kbd_code_hid[7] +.sym 19439 $false +.sym 19476 $true +.sym 19513 $abc$51270$n2393$2 +.sym 19514 $false +.sym 19515 $abc$51270$n2393 +.sym 19516 $false +.sym 19517 $false +.sym 19519 $auto$alumacc.cc:474:replace_alu$9612.C[3] +.sym 19521 $false +.sym 19522 $abc$51270$n2472 +.sym 19525 $auto$alumacc.cc:474:replace_alu$9612.C[4] +.sym 19527 $false +.sym 19528 $abc$51270$n2473 +.sym 19531 $auto$alumacc.cc:474:replace_alu$9612.C[5] +.sym 19533 $false +.sym 19534 $abc$51270$n2469 +.sym 19537 $auto$alumacc.cc:474:replace_alu$9612.C[6] +.sym 19539 $false +.sym 19540 $abc$51270$n2470 +.sym 19543 $auto$alumacc.cc:474:replace_alu$9612.C[7] +.sym 19545 $false +.sym 19546 $abc$51270$n2474 +.sym 19549 $abc$51270$n2431$2 .sym 19551 $false -.sym 19552 $false -.sym 19553 $false -.sym 19556 KEYBOARD.kbd_code_hid[5] -.sym 19557 $false -.sym 19558 $false -.sym 19559 $false -.sym 19560 $abc$56607$n1328 -.sym 19561 CLK$2$2 -.sym 19562 $abc$56607$n27 -.sym 19637 KEYBOARD.report[2][4] -.sym 19638 KEYBOARD.report[2][5] -.sym 19639 KEYBOARD.report[2][6] -.sym 19640 KEYBOARD.report[2][7] -.sym 19643 KEYBOARD.kbd_code_hid[7] -.sym 19644 KEYBOARD.report[2][7] -.sym 19645 KEYBOARD.report[2][3] -.sym 19646 KEYBOARD.kbd_code_hid[3] -.sym 19649 $abc$56607$n977 -.sym 19650 $abc$56607$n978 -.sym 19651 $abc$56607$n979_1 -.sym 19652 $abc$56607$n980 -.sym 19655 $abc$56607$n907 -.sym 19656 $abc$56607$n908 -.sym 19657 $false -.sym 19658 $false -.sym 19661 KEYBOARD.kbd_code_hid[4] -.sym 19662 KEYBOARD.report[2][4] -.sym 19663 KEYBOARD.report[2][0] -.sym 19664 KEYBOARD.kbd_code_hid[0] -.sym 19667 KEYBOARD.report[2][0] -.sym 19668 KEYBOARD.report[2][1] -.sym 19669 KEYBOARD.report[2][2] -.sym 19670 KEYBOARD.report[2][3] -.sym 19673 KEYBOARD.kbd_code_hid[6] -.sym 19674 KEYBOARD.report[2][6] -.sym 19675 KEYBOARD.report[2][1] -.sym 19676 KEYBOARD.kbd_code_hid[1] -.sym 19679 $abc$56607$n2461 -.sym 19680 $false -.sym 19681 $false -.sym 19682 $false -.sym 19683 $abc$56607$n1435 -.sym 19684 CLK$2$2 -.sym 19685 $abc$56607$n35$2 -.sym 19760 wr_cnt[0] -.sym 19761 wr_cnt[2] -.sym 19762 wr_cnt[1] -.sym 19763 wr_cnt[3] -.sym 19766 $abc$56607$n321 -.sym 19767 wr_cnt[2] -.sym 19768 wr_cnt[1] +.sym 19552 $abc$51270$n2471 +.sym 19559 $abc$51270$n2431$2 +.sym 19637 I2C.received_byte[3] +.sym 19638 I2C.received_byte[1] +.sym 19639 I2C.received_byte[2] +.sym 19640 $false +.sym 19643 I2C.byte_counter[3] +.sym 19644 $abc$51270$n695 +.sym 19645 I2C.byte_counter[2] +.sym 19646 $false +.sym 19649 I2C.byte_counter[0] +.sym 19650 I2C.byte_counter[1] +.sym 19651 $false +.sym 19652 $false +.sym 19655 I2C.received_byte[7] +.sym 19656 I2C.received_byte[6] +.sym 19657 $abc$51270$n1077 +.sym 19658 $abc$51270$n821 +.sym 19661 $abc$51270$n2006 +.sym 19662 I2C.byte_counter[0] +.sym 19663 $false +.sym 19664 $false +.sym 19667 I2C.byte_counter[0] +.sym 19668 I2C.byte_counter[1] +.sym 19669 $abc$51270$n809 +.sym 19670 $false +.sym 19673 I2C.received_byte[0] +.sym 19674 $abc$51270$n822 +.sym 19675 $false +.sym 19676 $false +.sym 19760 i2c_input_data_type[1] +.sym 19761 $abc$51270$n847 +.sym 19762 $false +.sym 19763 $false +.sym 19766 I2C.received_byte[1] +.sym 19767 $abc$51270$n1074 +.sym 19768 $abc$51270$n1081 .sym 19769 $false -.sym 19772 wr_cnt[0] -.sym 19773 wr_cnt[1] -.sym 19774 $abc$56607$n305 -.sym 19775 $false -.sym 19778 $abc$56607$n305 -.sym 19779 $abc$56607$n312 -.sym 19780 wr_cnt[0] -.sym 19781 wr_cnt[1] -.sym 19784 $false -.sym 19785 wr_cnt[0] -.sym 19786 $false -.sym 19787 $true$2 -.sym 19790 KEYBOARD.row_counter[0] -.sym 19791 KEYBOARD.row_counter[1] -.sym 19792 $abc$56607$n2231 -.sym 19793 $abc$56607$n2237 -.sym 19796 $abc$56607$n2461 -.sym 19797 $false +.sym 19772 $abc$51270$n1081 +.sym 19773 $abc$51270$n1073 +.sym 19774 I2C.received_byte[2] +.sym 19775 $abc$51270$n1074 +.sym 19778 I2C.received_byte[4] +.sym 19779 I2C.received_byte[5] +.sym 19780 I2C.received_byte[7] +.sym 19781 I2C.received_byte[6] +.sym 19784 I2C.received_byte[4] +.sym 19785 $abc$51270$n1076 +.sym 19786 $abc$51270$n1074 +.sym 19787 I2C.received_byte[0] +.sym 19790 I2C.received_byte[4] +.sym 19791 I2C.received_byte[5] +.sym 19792 $abc$51270$n1076 +.sym 19793 $false +.sym 19796 i2c_input_data_type[3] +.sym 19797 $abc$51270$n860 .sym 19798 $false .sym 19799 $false -.sym 19802 $abc$56607$n2459 -.sym 19803 $false -.sym 19804 $false -.sym 19805 $false -.sym 19806 $abc$56607$n1422 -.sym 19807 CLK$2$2 -.sym 19808 $abc$56607$n35$2 -.sym 19883 $abc$56607$n757 -.sym 19884 $abc$56607$n791 -.sym 19885 $abc$56607$n736 -.sym 19886 $abc$56607$n733 -.sym 19889 $abc$56607$n746 -.sym 19890 $abc$56607$n758 -.sym 19891 I2C.FLT_SCL.RESET +.sym 19802 i2c_input_data_type[0] +.sym 19803 i2c_input_data_type[1] +.sym 19804 i2c_input_data_type[3] +.sym 19805 $abc$51270$n860 +.sym 19883 $abc$51270$n814 +.sym 19884 i2c_input_data_type[0] +.sym 19885 $false +.sym 19886 $false +.sym 19889 I2C.received_byte[6] +.sym 19890 $false +.sym 19891 $false .sym 19892 $false -.sym 19895 I2C.FLT_SCL.RESET -.sym 19896 $abc$56607$n22 -.sym 19897 $false -.sym 19898 $false -.sym 19901 $abc$56607$n770 -.sym 19902 $abc$56607$n758 -.sym 19903 I2C.FLT_SCL.RESET +.sym 19895 I2C.byte_counter[0] +.sym 19896 $abc$51270$n1450 +.sym 19897 I2C.byte_counter[1] +.sym 19898 $abc$51270$n695 +.sym 19901 $abc$51270$n1451 +.sym 19902 $abc$51270$n790 +.sym 19903 $false .sym 19904 $false -.sym 19907 KEYBOARD.isr -.sym 19908 last_isr -.sym 19909 $false -.sym 19910 $false -.sym 19913 $abc$56607$n733 -.sym 19914 $abc$56607$n736 +.sym 19907 $abc$51270$n812 +.sym 19908 $abc$51270$n813 +.sym 19909 I2C.byte_counter[3] +.sym 19910 I2C.byte_counter[2] +.sym 19913 I2C.received_byte[2] +.sym 19914 $false .sym 19915 $false .sym 19916 $false -.sym 19919 $abc$56607$n770 -.sym 19920 $abc$56607$n793 +.sym 19919 I2C.received_byte[1] +.sym 19920 $false .sym 19921 $false .sym 19922 $false -.sym 19925 KEYBOARD.isr +.sym 19925 I2C.received_byte[0] .sym 19926 $false .sym 19927 $false .sym 19928 $false -.sym 19929 $abc$56607$n1232 +.sym 19929 $abc$51270$n1046 .sym 19930 CLK$2$2 -.sym 19931 $abc$56607$n35$2 -.sym 19968 $true -.sym 20005 $abc$56607$n270$2 -.sym 20006 $false -.sym 20007 $abc$56607$n270 -.sym 20008 $false -.sym 20009 $false -.sym 20011 $auto$alumacc.cc:470:replace_alu$12087.C[2] -.sym 20013 $false -.sym 20014 $abc$56607$n269 -.sym 20017 $auto$alumacc.cc:470:replace_alu$12087.C[3] -.sym 20019 $true$2 -.sym 20020 $abc$56607$n2620 -.sym 20023 $abc$56607$n2577$2 -.sym 20025 $false -.sym 20026 $abc$56607$n266 -.sym 20033 $abc$56607$n2577$2 -.sym 20036 $abc$56607$n744 -.sym 20037 $abc$56607$n745 -.sym 20038 $abc$56607$n746 -.sym 20039 $false -.sym 20048 I2C.received_byte[1] +.sym 19931 $false +.sym 20006 $abc$51270$n855 +.sym 20007 $abc$51270$n857 +.sym 20008 $abc$51270$n858 +.sym 20009 $abc$51270$n849 +.sym 20012 I2C_INPUT_LEN[1] +.sym 20013 I2C_INPUT_LEN[0] +.sym 20014 $abc$51270$n856 +.sym 20015 $abc$51270$n812 +.sym 20018 I2C_INPUT_LEN[0] +.sym 20019 I2C_INPUT_LEN[1] +.sym 20020 $abc$51270$n856 +.sym 20021 $abc$51270$n833 +.sym 20024 I2C_INPUT_LEN[3] +.sym 20025 $abc$51270$n853 +.sym 20026 $abc$51270$n852 +.sym 20027 $false +.sym 20030 I2C_INPUT_LEN[2] +.sym 20031 I2C_INPUT_LEN[1] +.sym 20032 I2C_INPUT_LEN[0] +.sym 20033 I2C_INPUT_LEN[3] +.sym 20036 I2C_INPUT_LEN[0] +.sym 20037 $abc$51270$n856 +.sym 20038 I2C_INPUT_LEN[1] +.sym 20039 $abc$51270$n829 +.sym 20042 $abc$51270$n852 +.sym 20043 $abc$51270$n854 +.sym 20044 $abc$51270$n813 +.sym 20045 $abc$51270$n850 +.sym 20048 temp_output_report[2] .sym 20049 $false .sym 20050 $false .sym 20051 $false -.sym 20052 $abc$56607$n1113 +.sym 20052 $abc$51270$n1138 .sym 20053 CLK$2$2 -.sym 20054 $false -.sym 20129 $abc$56607$n842 -.sym 20130 $abc$56607$n743 -.sym 20131 $abc$56607$n746 +.sym 20054 $abc$51270$n35$2 +.sym 20129 I2C.received_byte[4] +.sym 20130 $false +.sym 20131 $false .sym 20132 $false -.sym 20135 $abc$56607$n667 -.sym 20136 $abc$56607$n747 -.sym 20137 $abc$56607$n743 -.sym 20138 $abc$56607$n746 -.sym 20141 $false -.sym 20142 $true$2 -.sym 20143 int_tmr[0] +.sym 20135 I2C_INPUT_LEN[4] +.sym 20136 $false +.sym 20137 $false +.sym 20138 $false +.sym 20141 I2C.received_byte[5] +.sym 20142 $false +.sym 20143 $false .sym 20144 $false -.sym 20153 $abc$56607$n842 -.sym 20154 UART.tx_activity -.sym 20155 last_uart_active -.sym 20156 $abc$56607$n762 -.sym 20159 wr_cnt[0] -.sym 20160 wr_cnt[1] -.sym 20161 wr_cnt[2] -.sym 20162 wr_cnt[3] -.sym 20165 $abc$56607$n745 -.sym 20166 $abc$56607$n744 +.sym 20147 I2C.received_byte[1] +.sym 20148 $false +.sym 20149 $false +.sym 20150 $false +.sym 20153 I2C.received_byte[2] +.sym 20154 $false +.sym 20155 $false +.sym 20156 $false +.sym 20159 $abc$51270$n2577 +.sym 20160 $false +.sym 20161 $false +.sym 20162 $false +.sym 20165 $abc$51270$n2578 +.sym 20166 $false .sym 20167 $false .sym 20168 $false -.sym 20171 $abc$56607$n667 -.sym 20172 int_tmr[1] +.sym 20171 $abc$51270$n2582 +.sym 20172 $false .sym 20173 $false .sym 20174 $false -.sym 20175 $abc$56607$n938 +.sym 20175 $abc$51270$n1404$2 .sym 20176 CLK$2$2 -.sym 20177 $abc$56607$n35$2 -.sym 20252 $abc$56607$n1500 -.sym 20253 $abc$56607$n1498 -.sym 20254 $abc$56607$n667 +.sym 20177 $abc$51270$n31 +.sym 20214 $true +.sym 20251 $abc$51270$n230$3 +.sym 20252 $false +.sym 20253 $abc$51270$n230 +.sym 20254 $false .sym 20255 $false -.sym 20258 $abc$56607$n1501_1 -.sym 20259 $abc$56607$n781 -.sym 20260 $false -.sym 20261 $false -.sym 20264 $abc$56607$n782 -.sym 20265 $abc$56607$n781 -.sym 20266 $abc$56607$n1501_1 -.sym 20267 $false -.sym 20276 LED1$2 +.sym 20257 $auto$alumacc.cc:474:replace_alu$9563.C[3] +.sym 20259 $false +.sym 20260 $abc$51270$n228 +.sym 20263 $auto$alumacc.cc:474:replace_alu$9563.C[4] +.sym 20265 $false +.sym 20266 $abc$51270$n227 +.sym 20269 $auto$alumacc.cc:474:replace_alu$9563.C[5] +.sym 20271 $false +.sym 20272 $abc$51270$n225 +.sym 20275 $auto$alumacc.cc:474:replace_alu$9563.C[6] .sym 20277 $false -.sym 20278 $false -.sym 20279 $false -.sym 20288 int_tmr[19] -.sym 20289 int_tmr[0] -.sym 20290 UART_WR -.sym 20291 $abc$56607$n761 -.sym 20294 $abc$56607$n667 -.sym 20295 $abc$56607$n670 -.sym 20296 I2C.FLT_SCL.RESET -.sym 20297 $false -.sym 20298 $abc$56607$n873 -.sym 20299 CLK$2$2 -.sym 20300 $false -.sym 20375 $abc$56607$n1242 -.sym 20376 $abc$56607$n1243 -.sym 20377 ring_wr[1] -.sym 20378 ring_wr[3] -.sym 20381 $abc$56607$n22 -.sym 20382 $abc$56607$n1953 -.sym 20383 $false -.sym 20384 $false -.sym 20387 wr_cnt[3] -.sym 20388 ring_wr[2] -.sym 20389 $false -.sym 20390 $false -.sym 20393 $false -.sym 20394 init_ram_cnt[0] -.sym 20395 $true$2 -.sym 20396 $true$2 -.sym 20399 wr_cnt[3] -.sym 20400 ring_wr[2] -.sym 20401 $false -.sym 20402 $false -.sym 20405 $abc$56607$n2548 -.sym 20406 $abc$56607$n2546 -.sym 20407 $false -.sym 20408 $false -.sym 20411 $abc$56607$n1234 -.sym 20412 wr_cnt[2] -.sym 20413 ring_wr[1] -.sym 20414 $false -.sym 20417 int_tmr[19] -.sym 20418 $abc$56607$n1733 -.sym 20419 $abc$56607$n667 -.sym 20420 $false -.sym 20421 $abc$56607$n985 -.sym 20422 CLK$2$2 -.sym 20423 $abc$56607$n35$2 -.sym 20460 $false -.sym 20497 $auto$maccmap.cc:240:synth$13003.C[5] -.sym 20499 $abc$56607$n2546 -.sym 20500 $abc$56607$n2548 -.sym 20503 $auto$maccmap.cc:240:synth$13003.C[6] -.sym 20504 $false -.sym 20505 $abc$56607$n2678 -.sym 20506 $abc$56607$n2680 -.sym 20507 $auto$maccmap.cc:240:synth$13003.C[5] -.sym 20509 $auto$maccmap.cc:240:synth$13003.C[7] -.sym 20510 $false -.sym 20511 ring_wr[3] -.sym 20512 $abc$56607$n2681 -.sym 20513 $auto$maccmap.cc:240:synth$13003.C[6] -.sym 20516 $false +.sym 20278 $abc$51270$n224 +.sym 20281 $auto$alumacc.cc:474:replace_alu$9563.C[7] +.sym 20283 $false +.sym 20284 $abc$51270$n222 +.sym 20287 $abc$51270$n2428 +.sym 20289 $false +.sym 20290 $abc$51270$n221 +.sym 20294 $abc$51270$n823 +.sym 20295 $abc$51270$n822 +.sym 20296 I2C.received_byte[0] +.sym 20297 $abc$51270$n2428 +.sym 20375 KEYBOARD.row_counter[0] +.sym 20376 KEYBOARD.row_counter[1] +.sym 20377 $abc$51270$n2193 +.sym 20378 $abc$51270$n2199 +.sym 20381 $abc$51270$n2199 +.sym 20382 KEYBOARD.row_counter[0] +.sym 20383 KEYBOARD.row_counter[1] +.sym 20384 $abc$51270$n2193 +.sym 20387 $abc$51270$n2199 +.sym 20388 KEYBOARD.row_counter[0] +.sym 20389 KEYBOARD.row_counter[1] +.sym 20390 $abc$51270$n2193 +.sym 20405 $abc$51270$n2193 +.sym 20406 KEYBOARD.row_counter[0] +.sym 20407 KEYBOARD.row_counter[1] +.sym 20408 $abc$51270$n2199 +.sym 20411 $abc$51270$n2193 +.sym 20412 $abc$51270$n2199 +.sym 20413 KEYBOARD.row_counter[0] +.sym 20414 KEYBOARD.row_counter[1] +.sym 20498 $false +.sym 20499 $false +.sym 20500 KEYBOARD.row_time[0] +.sym 20501 $false +.sym 20516 $abc$51270$n2577 .sym 20517 $false .sym 20518 $false -.sym 20519 $auto$maccmap.cc:240:synth$13003.C[7] -.sym 20522 ring_wr[3] -.sym 20523 $abc$56607$n1242 +.sym 20519 $false +.sym 20522 $abc$51270$n2580 +.sym 20523 $false .sym 20524 $false .sym 20525 $false -.sym 20528 wr_cnt[3] -.sym 20529 ring_wr[1] -.sym 20530 ring_wr[3] -.sym 20531 ring_wr[2] -.sym 20534 wr_cnt[3] -.sym 20535 ring_wr[1] -.sym 20536 ring_wr[3] -.sym 20537 ring_wr[2] -.sym 20583 $true -.sym 20620 init_ram_cnt[1]$2 -.sym 20621 $false -.sym 20622 init_ram_cnt[1] -.sym 20623 $false -.sym 20624 $false -.sym 20626 $auto$alumacc.cc:470:replace_alu$12080.C[3] -.sym 20628 init_ram_cnt[2] -.sym 20629 $true$2 -.sym 20632 $auto$alumacc.cc:470:replace_alu$12080.C[4] -.sym 20634 init_ram_cnt[3] -.sym 20635 $false -.sym 20638 $auto$alumacc.cc:470:replace_alu$12080.C[5] -.sym 20640 init_ram_cnt[4] -.sym 20641 $true$2 -.sym 20644 $auto$alumacc.cc:470:replace_alu$12080.C[6] -.sym 20646 init_ram_cnt[5] -.sym 20647 $false -.sym 20650 $auto$alumacc.cc:470:replace_alu$12080.C[7] -.sym 20652 init_ram_cnt[6] -.sym 20653 $true$2 -.sym 20656 $abc$56607$n22$2 -.sym 20658 init_ram_cnt[7] +.sym 20534 $abc$51270$n2578 +.sym 20535 $false +.sym 20536 $false +.sym 20537 $false +.sym 20544 $abc$51270$n1404$2 +.sym 20545 CLK$2$2 +.sym 20546 $abc$51270$n30 +.sym 20627 $abc$51270$n2582 +.sym 20628 $false +.sym 20629 $false +.sym 20630 $false +.sym 20651 $abc$51270$n2576 +.sym 20652 $false +.sym 20653 $false +.sym 20654 $false +.sym 20657 $abc$51270$n2579 +.sym 20658 $false .sym 20659 $false -.sym 20666 $abc$56607$n22$2 +.sym 20660 $false +.sym 20663 $abc$51270$n2575 +.sym 20664 $false +.sym 20665 $false +.sym 20666 $false +.sym 20667 $abc$51270$n1404$2 +.sym 20668 CLK$2$2 +.sym 20669 $abc$51270$n30 .sym 20715 $false .sym 20717 KEYBOARD.ROWS_EN[0] .sym 20718 $false .sym 20720 KEYBOARD.ROWS_EN[1] -.sym 20771 I2C.SCLF -.sym 20774 KEYBOARD.COLS_SHADOW[6] -.sym 20851 $abc$56607$n2571 -.sym 20852 $abc$56607$n684 -.sym 20853 KEYBOARD.row_counter[0] -.sym 20984 $abc$56607$n694 -.sym 20985 $abc$56607$n917 -.sym 20986 $abc$56607$n712 -.sym 20987 $abc$56607$n916 -.sym 20988 $abc$56607$n1489 -.sym 20989 $abc$56607$n711 -.sym 20990 $abc$56607$n918 -.sym 20991 KEYBOARD.row_time[1] -.sym 21086 $abc$56607$n933 -.sym 21087 $abc$56607$n934 -.sym 21088 $abc$56607$n956 -.sym 21089 $abc$56607$n935 -.sym 21090 wr_cnt[1] -.sym 21091 wr_cnt[3] -.sym 21092 wr_cnt[2] -.sym 21093 wr_cnt[0] -.sym 21188 $abc$56607$n1431 -.sym 21189 $abc$56607$n928 -.sym 21190 $abc$56607$n929 -.sym 21191 $abc$56607$n965 -.sym 21192 $abc$56607$n912 -.sym 21193 KEYBOARD.report[3][4] -.sym 21194 KEYBOARD.report[3][7] -.sym 21195 KEYBOARD.report[3][6] -.sym 21290 $abc$56607$n971 -.sym 21291 $abc$56607$n1191_1 -.sym 21292 $abc$56607$n1189 -.sym 21293 $abc$56607$n913 -.sym 21294 $abc$56607$n1178 -.sym 21295 $abc$56607$n1181 -.sym 21296 $abc$56607$n1192 -.sym 21297 $abc$56607$n1190 -.sym 21392 $abc$56607$n1117 -.sym 21393 $abc$56607$n970 -.sym 21394 $abc$56607$n914 -.sym 21395 KEYBOARD.report[3][3] -.sym 21396 KEYBOARD.report[3][0] -.sym 21397 KEYBOARD.report[3][5] -.sym 21398 KEYBOARD.report[3][2] -.sym 21399 KEYBOARD.report[3][1] -.sym 21494 $abc$56607$n986 -.sym 21495 $abc$56607$n979_1 -.sym 21496 $abc$56607$n2459 -.sym 21497 $abc$56607$n1530_1 -.sym 21498 $abc$56607$n2461 -.sym 21499 $abc$56607$n2460 -.sym 21500 $abc$56607$n2465 -.sym 21501 KEYBOARD.report[1][1] -.sym 21596 $abc$56607$n1135 -.sym 21597 $abc$56607$n1141 -.sym 21598 $abc$56607$n1136 -.sym 21599 $abc$56607$n1119 -.sym 21600 KEYBOARD.report[2][5] -.sym 21601 KEYBOARD.report[2][7] -.sym 21602 KEYBOARD.report[2][2] -.sym 21603 KEYBOARD.report[2][0] -.sym 21698 $abc$56607$n757 -.sym 21699 $abc$56607$n1142 -.sym 21700 $abc$56607$n670 -.sym 21702 $abc$56607$n321 -.sym 21704 $abc$56607$n21 -.sym 21705 temp_output_report[0] -.sym 21801 $abc$56607$n266 -.sym 21803 LED4$2 -.sym 21804 LED2$2 -.sym 21805 LED3$2 -.sym 21905 $abc$56607$n774 -.sym 21907 int_tmr[0] -.sym 21908 int_tmr[3] -.sym 21909 int_tmr[7] -.sym 22004 $abc$56607$n1498 -.sym 22005 int_tmr[10] -.sym 22006 int_tmr[13] -.sym 22007 int_tmr[11] -.sym 22008 int_tmr[9] -.sym 22009 int_tmr[12] -.sym 22010 int_tmr[8] -.sym 22011 int_tmr[14] -.sym 22106 $abc$56607$n246 -.sym 22107 $abc$56607$n1955 -.sym 22110 $abc$56607$n2618 -.sym 22112 init_ram_cnt[0] -.sym 22113 init_ram_cnt[1] -.sym 22208 $abc$56607$n734 -.sym 22209 $abc$56607$n733 -.sym 22210 $abc$56607$n243 -.sym 22211 $abc$56607$n236 -.sym 22212 $abc$56607$n237 -.sym 22213 $abc$56607$n240 -.sym 22214 $abc$56607$n735 -.sym 22215 $abc$56607$n239 -.sym 22312 init_ram_cnt[2] -.sym 22313 init_ram_cnt[3] -.sym 22314 init_ram_cnt[4] -.sym 22315 init_ram_cnt[5] -.sym 22316 init_ram_cnt[6] -.sym 22317 init_ram_cnt[7] +.sym 20774 $abc$51270$n1178 +.sym 20776 $abc$51270$n2460 +.sym 20777 $abc$51270$n1402 +.sym 20846 $abc$51270$n2461 +.sym 20847 $abc$51270$n2395 +.sym 20848 $abc$51270$n1486 +.sym 20849 $abc$51270$n2459 +.sym 20851 $abc$51270$n16 +.sym 20852 $abc$51270$n14 +.sym 20853 I2C.i2c_bit_counter[3] +.sym 20984 $abc$51270$n874 +.sym 20985 $abc$51270$n2006 +.sym 20986 $abc$51270$n308 +.sym 20987 $abc$51270$n872 +.sym 20988 $abc$51270$n940 +.sym 20989 $abc$51270$n1268 +.sym 20990 $abc$51270$n1267 +.sym 20991 $abc$51270$n1261 +.sym 21086 $abc$51270$n1271 +.sym 21087 $abc$51270$n2008 +.sym 21088 $abc$51270$n2010 +.sym 21089 $abc$51270$n1210 +.sym 21090 $abc$51270$n877 +.sym 21091 $abc$51270$n875 +.sym 21092 $abc$51270$n868 +.sym 21093 I2C.received_byte[5] +.sym 21188 $abc$51270$n1300 +.sym 21189 $abc$51270$n1248 +.sym 21190 $abc$51270$n1481 +.sym 21191 $abc$51270$n1484 +.sym 21192 $abc$51270$n1262 +.sym 21193 $abc$51270$n1177 +.sym 21194 $abc$51270$n1280 +.sym 21195 I2C.SDA_DIR +.sym 21290 $abc$51270$n1195 +.sym 21291 $abc$51270$n1502 +.sym 21292 $abc$51270$n2474 +.sym 21293 $abc$51270$n1503 +.sym 21294 $abc$51270$n2471 +.sym 21295 I2C.byte_counter[6] +.sym 21296 I2C.byte_counter[5] +.sym 21297 I2C.byte_counter[7] +.sym 21393 $abc$51270$n1942 +.sym 21394 $abc$51270$n1944 +.sym 21395 $abc$51270$n1946 +.sym 21396 $abc$51270$n1499 +.sym 21397 I2C.FLT_SCL.RESET +.sym 21398 $abc$51270$n2469 +.sym 21399 I2C.received_byte[6] +.sym 21496 $abc$51270$n1496 +.sym 21497 $abc$51270$n1494 +.sym 21498 $abc$51270$n1217 +.sym 21499 $abc$51270$n1205 +.sym 21500 $abc$51270$n2472 +.sym 21501 I2C.received_byte[4] +.sym 21596 $abc$51270$n1138 +.sym 21597 $abc$51270$n1496_1 +.sym 21598 $abc$51270$n221 +.sym 21599 $abc$51270$n31 +.sym 21600 $abc$51270$n2173 +.sym 21601 rststate[2] +.sym 21602 I2C.byte_counter[0] +.sym 21698 $abc$51270$n1074 +.sym 21699 I2C_INPUT_LEN[3] +.sym 21700 I2C_INPUT_LEN[4] +.sym 21701 I2C_INPUT_LEN[2] +.sym 21702 I2C_INPUT_LEN[0] +.sym 21703 I2C_INPUT_LEN[6] +.sym 21704 I2C_INPUT_LEN[1] +.sym 21705 I2C_INPUT_LEN[5] +.sym 21800 $abc$51270$n853 +.sym 21801 $abc$51270$n2454 +.sym 21802 $abc$51270$n2456 +.sym 21803 $abc$51270$n2453 +.sym 21804 $abc$51270$n856 +.sym 21805 $abc$51270$n852 +.sym 21806 $abc$51270$n227 +.sym 21807 $abc$51270$n2452 +.sym 21909 $abc$51270$n2422 +.sym 22011 $abc$51270$n2421 +.sym 22106 $abc$51270$n1775 +.sym 22107 $abc$51270$n2458 +.sym 22108 KEYBOARD.row_time[5] +.sym 22109 KEYBOARD.row_time[6] +.sym 22111 KEYBOARD.row_time[7] +.sym 22210 $abc$51270$n1612 +.sym 22211 $abc$51270$n1613 +.sym 22212 $abc$51270$n1607 +.sym 22213 $abc$51270$n396 +.sym 22214 $abc$51270$n394 +.sym 22215 $abc$51270$n383 +.sym 22310 $abc$51270$n1617 +.sym 22311 $abc$51270$n1618 +.sym 22312 $abc$51270$n1620 +.sym 22313 $abc$51270$n1621 +.sym 22314 $abc$51270$n1626 +.sym 22315 KEYBOARD.row_time[9] +.sym 22316 KEYBOARD.row_time[12] +.sym 22317 KEYBOARD.row_time[8] .sym 22487 $false .sym 22489 KEYBOARD.ROWS_EN[2] .sym 22490 $false .sym 22492 KEYBOARD.ROWS_EN[3] -.sym 22544 I2C.SDAF -.sym 22623 SCL$2 +.sym 22543 I2C.SCLF +.sym 22547 I2C.SDAF +.sym 22579 $true +.sym 22616 $abc$51270$n2459$2 +.sym 22617 $false +.sym 22618 $abc$51270$n2459 +.sym 22619 $false +.sym 22620 $false +.sym 22622 $auto$alumacc.cc:474:replace_alu$9529.C[2] .sym 22624 $false -.sym 22625 $false -.sym 22626 $false -.sym 22641 KBD_COLUMNS[6]$2 -.sym 22642 $false -.sym 22643 $false -.sym 22644 $false -.sym 22663 $true -.sym 22664 CLK$2$2 -.sym 22665 $false -.sym 22742 $true -.sym 22779 KEYBOARD.kbd_code_hid[3]$2 -.sym 22780 $false -.sym 22781 KEYBOARD.kbd_code_hid[3] +.sym 22625 $abc$51270$n2395 +.sym 22628 $auto$alumacc.cc:474:replace_alu$9529.C[3] +.sym 22630 $false +.sym 22631 $abc$51270$n2460 +.sym 22634 $abc$51270$n1178$2 +.sym 22636 $false +.sym 22637 $abc$51270$n2461 +.sym 22644 $abc$51270$n1178$2 +.sym 22653 $abc$51270$n2012 +.sym 22654 $false +.sym 22655 $false +.sym 22656 $false +.sym 22659 $abc$51270$n29 +.sym 22660 $abc$51270$n1418$2 +.sym 22661 $false +.sym 22662 $false +.sym 22676 I2C.FLT_SDA.out +.sym 22780 I2C.i2c_bit_counter[3] +.sym 22781 $abc$51270$n2006 .sym 22782 $false .sym 22783 $false -.sym 22785 $auto$alumacc.cc:470:replace_alu$12041.C[5] -.sym 22787 KEYBOARD.kbd_code_hid[4] -.sym 22788 $true$2 -.sym 22791 $auto$alumacc.cc:470:replace_alu$12041.C[6] -.sym 22793 KEYBOARD.kbd_code_hid[5] -.sym 22794 $false -.sym 22797 $auto$alumacc.cc:470:replace_alu$12041.C[7] -.sym 22799 KEYBOARD.kbd_code_hid[6] +.sym 22786 $abc$51270$n2010 +.sym 22787 $false +.sym 22788 $false +.sym 22789 $false +.sym 22792 $abc$51270$n308 +.sym 22793 $abc$51270$n1485 +.sym 22794 $abc$51270$n871_1 +.sym 22795 $abc$51270$n2461 +.sym 22798 $abc$51270$n2008 +.sym 22799 $false .sym 22800 $false -.sym 22803 $abc$56607$n2571$2 -.sym 22805 KEYBOARD.kbd_code_hid[7] -.sym 22806 $false -.sym 22813 $abc$56607$n2571$2 -.sym 22816 KEYBOARD.row_time[0] -.sym 22817 KEYBOARD.row_time[1] +.sym 22801 $false +.sym 22810 I2C.FLT_SCL.out +.sym 22811 $false +.sym 22812 $false +.sym 22813 $false +.sym 22816 I2C.FLT_SDA.out +.sym 22817 $false .sym 22818 $false .sym 22819 $false -.sym 22822 $abc$56607$n2244 -.sym 22823 $false -.sym 22824 $false +.sym 22822 $abc$51270$n1487 +.sym 22823 $abc$51270$n1486 +.sym 22824 $abc$51270$n871_1 .sym 22825 $false -.sym 22826 $abc$56607$n1490$2 +.sym 22826 $true .sym 22827 CLK$2$2 .sym 22828 $false -.sym 22829 $abc$56607$n2572 -.sym 22830 $abc$56607$n2462 -.sym 22833 $abc$56607$n919 -.sym 22834 $abc$56607$n2231 -.sym 22836 KEYBOARD.row_time[0] -.sym 22903 KEYBOARD.row_time[0] -.sym 22904 KEYBOARD.row_time[1] -.sym 22905 $false +.sym 22831 $auto$alumacc.cc:474:replace_alu$9620.C[2] +.sym 22832 $auto$alumacc.cc:474:replace_alu$9620.C[3] +.sym 22833 $abc$51270$n2394 +.sym 22835 $abc$51270$n1017 +.sym 22836 I2C.FLT_SCL.out +.sym 22903 $abc$51270$n308 +.sym 22904 $abc$51270$n319 +.sym 22905 $abc$51270$n2394 .sym 22906 $false -.sym 22909 $abc$56607$n918 -.sym 22910 $abc$56607$n919 -.sym 22911 KEYBOARD.kbd_code_hid[3] +.sym 22909 I2C.FLT_SDA.out +.sym 22910 $abc$51270$n14 +.sym 22911 I2C.FLT_SCL.out .sym 22912 $false -.sym 22915 KEYBOARD.row_time[6] -.sym 22916 $abc$56607$n684 -.sym 22917 KEYBOARD.row_time[7] -.sym 22918 $false -.sym 22921 $abc$56607$n917 -.sym 22922 $abc$56607$n2571 -.sym 22923 $abc$56607$n2572 +.sym 22915 $false +.sym 22916 $abc$51270$n2014 +.sym 22917 $false +.sym 22918 $auto$alumacc.cc:474:replace_alu$9620.C[3] +.sym 22921 I2C.FLT_SDA.out +.sym 22922 I2C.FLT_SCL.out +.sym 22923 $abc$51270$n14 .sym 22924 $false -.sym 22927 $abc$56607$n33$2 -.sym 22928 KEYBOARD.row_time[0] -.sym 22929 $abc$56607$n1490$2 +.sym 22927 $abc$51270$n27 +.sym 22928 I2C.FLT_SCL.RESET +.sym 22929 $abc$51270$n915 .sym 22930 $false -.sym 22933 $abc$56607$n698 -.sym 22934 $abc$56607$n712 -.sym 22935 $abc$56607$n713 -.sym 22936 $false -.sym 22939 KEYBOARD.kbd_code_hid[2] -.sym 22940 KEYBOARD.kbd_code_hid[1] -.sym 22941 KEYBOARD.kbd_code_hid[0] +.sym 22933 $abc$51270$n873 +.sym 22934 $abc$51270$n869 +.sym 22935 $abc$51270$n1269 +.sym 22936 $abc$51270$n871_1 +.sym 22939 $abc$51270$n1270 +.sym 22940 $abc$51270$n1263 +.sym 22941 $abc$51270$n1268 .sym 22942 $false -.sym 22945 KEYBOARD.row_time[1] -.sym 22946 $false +.sym 22945 $abc$51270$n1252 +.sym 22946 $abc$51270$n1256 .sym 22947 $false .sym 22948 $false -.sym 22949 $abc$56607$n1489 -.sym 22950 CLK$2$2 -.sym 22951 $abc$56607$n33$2 -.sym 22954 $abc$56607$n1897 -.sym 22955 $abc$56607$n1899 -.sym 22956 $abc$56607$n1894 -.sym 22957 KEYBOARD.ROWS_EN[14] -.sym 22959 KEYBOARD.ROWS_EN[8] -.sym 23026 $abc$56607$n928 -.sym 23027 $abc$56607$n934 -.sym 23028 $false -.sym 23029 $false -.sym 23032 $abc$56607$n935 -.sym 23033 $abc$56607$n936 +.sym 22952 $abc$51270$n2336 +.sym 22953 $abc$51270$n1633 +.sym 22954 $abc$51270$n2335 +.sym 22955 $abc$51270$n1439 +.sym 22956 $abc$51270$n1440 +.sym 22957 I2C.FLT_SCL.counter[0] +.sym 22958 I2C.FLT_SCL.counter[2] +.sym 22959 I2C.FLT_SCL.counter[1] +.sym 23026 $abc$51270$n873 +.sym 23027 $abc$51270$n869 +.sym 23028 $abc$51270$n1270 +.sym 23029 $abc$51270$n871_1 +.sym 23032 $abc$51270$n2006 +.sym 23033 I2C.i2c_bit_counter[0] .sym 23034 $false .sym 23035 $false -.sym 23038 KEYBOARD.kbd_code_hid[4] -.sym 23039 kbd_report[5][4] -.sym 23040 KEYBOARD.kbd_code_hid[5] -.sym 23041 kbd_report[5][5] -.sym 23044 kbd_report[5][4] -.sym 23045 kbd_report[5][5] -.sym 23046 kbd_report[5][6] -.sym 23047 kbd_report[5][7] -.sym 23050 $abc$56607$n670 -.sym 23051 $abc$56607$n791 -.sym 23052 wr_cnt[0] -.sym 23053 wr_cnt[1] -.sym 23056 $abc$56607$n670 -.sym 23057 $abc$56607$n791 -.sym 23058 $abc$56607$n1899 +.sym 23038 $abc$51270$n2006 +.sym 23039 I2C.i2c_bit_counter[1] +.sym 23040 $false +.sym 23041 $false +.sym 23044 $abc$51270$n882 +.sym 23045 $abc$51270$n877 +.sym 23046 $false +.sym 23047 $false +.sym 23050 $abc$51270$n875 +.sym 23051 $abc$51270$n305 +.sym 23052 $false +.sym 23053 $false +.sym 23056 I2C.i2c_bit_counter[0] +.sym 23057 I2C.i2c_bit_counter[1] +.sym 23058 $abc$51270$n2006 .sym 23059 $false -.sym 23062 $abc$56607$n670 -.sym 23063 $abc$56607$n791 -.sym 23064 $abc$56607$n1897 +.sym 23062 $abc$51270$n869 +.sym 23063 $abc$51270$n871_1 +.sym 23064 $false .sym 23065 $false -.sym 23068 $abc$56607$n791 -.sym 23069 $abc$56607$n1894 -.sym 23070 $abc$56607$n670 +.sym 23068 I2C.FLT_SDA.out +.sym 23069 $false +.sym 23070 $false .sym 23071 $false -.sym 23072 $abc$56607$n1007 +.sym 23072 $abc$51270$n1210 .sym 23073 CLK$2$2 -.sym 23074 $abc$56607$n35$2 -.sym 23075 $abc$56607$n964 -.sym 23076 $abc$56607$n29 -.sym 23077 $abc$56607$n932 -.sym 23078 $abc$56607$n962 -.sym 23079 $abc$56607$n963 -.sym 23080 KEYBOARD.report[4][4] -.sym 23081 KEYBOARD.report[4][0] -.sym 23082 KEYBOARD.report[4][6] -.sym 23149 $abc$56607$n968 -.sym 23150 $abc$56607$n1494 -.sym 23151 $abc$56607$n926 -.sym 23152 $abc$56607$n959 -.sym 23155 $abc$56607$n929 -.sym 23156 $abc$56607$n930 -.sym 23157 $false +.sym 23074 $false +.sym 23077 $abc$51270$n1636 +.sym 23079 I2C.received_byte[0] +.sym 23149 $abc$51270$n872 +.sym 23150 $abc$51270$n2006 +.sym 23151 I2C.wr +.sym 23152 $false +.sym 23155 $abc$51270$n872 +.sym 23156 $abc$51270$n2006 +.sym 23157 I2C.SDA_DIR .sym 23158 $false -.sym 23161 KEYBOARD.report[4][4] -.sym 23162 KEYBOARD.report[4][5] -.sym 23163 KEYBOARD.report[4][6] -.sym 23164 KEYBOARD.report[4][7] -.sym 23167 KEYBOARD.kbd_code_hid[7] -.sym 23168 KEYBOARD.report[4][7] -.sym 23169 KEYBOARD.report[4][2] -.sym 23170 KEYBOARD.kbd_code_hid[2] -.sym 23173 $abc$56607$n913 -.sym 23174 $abc$56607$n914 -.sym 23175 $false -.sym 23176 $false -.sym 23179 $abc$56607$n2462 -.sym 23180 $false -.sym 23181 $false +.sym 23161 I2C.is_ack +.sym 23162 $abc$51270$n1248 +.sym 23163 $abc$51270$n1507 +.sym 23164 $abc$51270$n1178 +.sym 23167 $abc$51270$n1252 +.sym 23168 $abc$51270$n868 +.sym 23169 $abc$51270$n1256 +.sym 23170 $abc$51270$n1483_1 +.sym 23173 I2C.SDA_DIR +.sym 23174 $abc$51270$n872 +.sym 23175 I2C.FLT_SDA.out +.sym 23176 $abc$51270$n1263 +.sym 23179 $abc$51270$n305 +.sym 23180 $abc$51270$n875 +.sym 23181 $abc$51270$n867_1 .sym 23182 $false -.sym 23185 $abc$56607$n2465 -.sym 23186 $false -.sym 23187 $false -.sym 23188 $false -.sym 23191 $abc$56607$n2464 -.sym 23192 $false -.sym 23193 $false -.sym 23194 $false -.sym 23195 $abc$56607$n1431 +.sym 23185 $abc$51270$n1263 +.sym 23186 $abc$51270$n1256 +.sym 23187 $abc$51270$n869 +.sym 23188 $abc$51270$n871_1 +.sym 23191 $abc$51270$n1482 +.sym 23192 $abc$51270$n869 +.sym 23193 $abc$51270$n871_1 +.sym 23194 $abc$51270$n1484 +.sym 23195 $true .sym 23196 CLK$2$2 -.sym 23197 $abc$56607$n35$2 -.sym 23198 $abc$56607$n947 -.sym 23199 $abc$56607$n938_1 -.sym 23200 $abc$56607$n948 -.sym 23201 $abc$56607$n944 -.sym 23202 $abc$56607$n945 -.sym 23203 $abc$56607$n937 -.sym 23204 $abc$56607$n946 -.sym 23205 $abc$56607$n1180_1 -.sym 23272 KEYBOARD.kbd_code_hid[7] -.sym 23273 KEYBOARD.report[3][7] -.sym 23274 KEYBOARD.report[3][4] -.sym 23275 KEYBOARD.kbd_code_hid[4] -.sym 23278 $abc$56607$n1118 -.sym 23279 KEYBOARD.report[3][5] -.sym 23280 KEYBOARD.report[6][5] -.sym 23281 $abc$56607$n1121 -.sym 23284 $abc$56607$n1190 -.sym 23285 $abc$56607$n1191_1 -.sym 23286 $abc$56607$n1192 +.sym 23197 $false +.sym 23200 $abc$51270$n2175 +.sym 23201 $abc$51270$n2176 +.sym 23202 $abc$51270$n2177 +.sym 23203 $abc$51270$n2178 +.sym 23204 $abc$51270$n2179 +.sym 23205 $abc$51270$n2180 +.sym 23272 $abc$51270$n875 +.sym 23273 $abc$51270$n305 +.sym 23274 $abc$51270$n867_1 +.sym 23275 $false +.sym 23278 $abc$51270$n2006 +.sym 23279 I2C.byte_counter[6] +.sym 23280 $false +.sym 23281 $false +.sym 23284 $abc$51270$n1502 +.sym 23285 $false +.sym 23286 $false .sym 23287 $false -.sym 23290 KEYBOARD.report[3][4] -.sym 23291 KEYBOARD.report[3][5] -.sym 23292 KEYBOARD.report[3][6] -.sym 23293 KEYBOARD.report[3][7] -.sym 23296 $abc$56607$n1179 -.sym 23297 $abc$56607$n1180_1 -.sym 23298 $abc$56607$n1181 +.sym 23290 $abc$51270$n2006 +.sym 23291 I2C.byte_counter[7] +.sym 23292 $false +.sym 23293 $false +.sym 23296 $abc$51270$n1503 +.sym 23297 $false +.sym 23298 $false .sym 23299 $false -.sym 23302 $abc$56607$n1114 -.sym 23303 KEYBOARD.report[2][4] -.sym 23304 KEYBOARD.report[4][4] -.sym 23305 $abc$56607$n1119 -.sym 23308 $abc$56607$n1114 -.sym 23309 KEYBOARD.report[2][5] -.sym 23310 KEYBOARD.report[4][5] -.sym 23311 $abc$56607$n1119 -.sym 23314 kbd_report[5][5] -.sym 23315 KEYBOARD.report[1][5] -.sym 23316 $abc$56607$n312 -.sym 23317 $abc$56607$n1113_1 -.sym 23321 $abc$56607$n1377 -.sym 23322 $abc$56607$n1213 -.sym 23323 KEYBOARD.ROWS_EN[11] -.sym 23324 KEYBOARD.ROWS_EN[10] -.sym 23325 KEYBOARD.ROWS_EN[15] -.sym 23326 KEYBOARD.ROWS_EN[12] -.sym 23327 KEYBOARD.ROWS_EN[13] -.sym 23328 KEYBOARD.ROWS_EN[9] -.sym 23395 $abc$56607$n1118 -.sym 23396 KEYBOARD.report[3][0] -.sym 23397 KEYBOARD.report[4][0] -.sym 23398 $abc$56607$n1119 -.sym 23401 KEYBOARD.kbd_code_hid[3] -.sym 23402 KEYBOARD.report[3][3] -.sym 23403 KEYBOARD.kbd_code_hid[5] -.sym 23404 KEYBOARD.report[3][5] -.sym 23407 KEYBOARD.report[3][0] -.sym 23408 KEYBOARD.report[3][1] -.sym 23409 KEYBOARD.report[3][2] -.sym 23410 KEYBOARD.report[3][3] -.sym 23413 $abc$56607$n2461 +.sym 23302 $abc$51270$n1281 +.sym 23303 $abc$51270$n2179 +.sym 23304 $abc$51270$n1502 +.sym 23305 $abc$51270$n1280 +.sym 23308 $abc$51270$n1281 +.sym 23309 $abc$51270$n2178 +.sym 23310 $abc$51270$n1500 +.sym 23311 $abc$51270$n1280 +.sym 23314 $abc$51270$n1281 +.sym 23315 $abc$51270$n2180 +.sym 23316 $abc$51270$n1503 +.sym 23317 $abc$51270$n1280 +.sym 23318 $true +.sym 23319 CLK$2$2 +.sym 23320 $false +.sym 23321 $abc$51270$n1940 +.sym 23322 I2C.byte_counter[3] +.sym 23323 I2C.byte_counter[2] +.sym 23324 rststate[1] +.sym 23325 I2C.byte_counter[1] +.sym 23326 I2C.byte_counter[4] +.sym 23327 rststate[0] +.sym 23328 rststate[3] +.sym 23357 $false +.sym 23394 $auto$alumacc.cc:474:replace_alu$9594.C[1] +.sym 23396 $abc$51270$n35$2 +.sym 23397 rststate[0] +.sym 23400 $auto$alumacc.cc:474:replace_alu$9594.C[2] +.sym 23401 $false +.sym 23402 $false +.sym 23403 rststate[1] +.sym 23404 $auto$alumacc.cc:474:replace_alu$9594.C[1] +.sym 23406 $auto$alumacc.cc:474:replace_alu$9594.C[3] +.sym 23407 $false +.sym 23408 $false +.sym 23409 rststate[2] +.sym 23410 $auto$alumacc.cc:474:replace_alu$9594.C[2] +.sym 23413 $false .sym 23414 $false -.sym 23415 $false -.sym 23416 $false -.sym 23419 $abc$56607$n2458 -.sym 23420 $false +.sym 23415 rststate[3] +.sym 23416 $auto$alumacc.cc:474:replace_alu$9594.C[3] +.sym 23419 $abc$51270$n2006 +.sym 23420 I2C.byte_counter[4] .sym 23421 $false .sym 23422 $false -.sym 23425 $abc$56607$n2463 -.sym 23426 $false -.sym 23427 $false -.sym 23428 $false -.sym 23431 $abc$56607$n2460 +.sym 23425 rststate[3] +.sym 23426 rststate[2] +.sym 23427 rststate[1] +.sym 23428 rststate[0] +.sym 23431 $abc$51270$n1499 .sym 23432 $false .sym 23433 $false .sym 23434 $false -.sym 23437 $abc$56607$n2459 +.sym 23437 I2C.FLT_SDA.out .sym 23438 $false .sym 23439 $false .sym 23440 $false -.sym 23441 $abc$56607$n1431 +.sym 23441 $abc$51270$n1217 .sym 23442 CLK$2$2 -.sym 23443 $abc$56607$n35$2 -.sym 23444 $abc$56607$n2464 -.sym 23445 $abc$56607$n2705 -.sym 23446 $abc$56607$n2706 -.sym 23447 $abc$56607$n2702 -.sym 23448 $abc$56607$n1118 -.sym 23449 KEYBOARD.report[6][6] -.sym 23450 KEYBOARD.report[6][1] -.sym 23451 KEYBOARD.report[6][4] -.sym 23518 KEYBOARD.kbd_code_hid[1] -.sym 23519 KEYBOARD.report[1][1] -.sym 23520 KEYBOARD.report[1][0] -.sym 23521 KEYBOARD.kbd_code_hid[0] -.sym 23524 KEYBOARD.kbd_code_hid[2] -.sym 23525 KEYBOARD.report[2][2] -.sym 23526 KEYBOARD.kbd_code_hid[5] -.sym 23527 KEYBOARD.report[2][5] -.sym 23530 $abc$56607$n27 -.sym 23531 KEYBOARD.kbd_code_hid[1] +.sym 23443 $false +.sym 23447 $abc$51270$n1285 +.sym 23449 KEYBOARD.ROWS_EN[9] +.sym 23530 $abc$51270$n2006 +.sym 23531 I2C.byte_counter[2] .sym 23532 $false .sym 23533 $false -.sym 23536 $abc$56607$n1114 -.sym 23537 KEYBOARD.report[2][6] -.sym 23538 KEYBOARD.report[3][6] -.sym 23539 $abc$56607$n1118 -.sym 23542 $abc$56607$n27 -.sym 23543 KEYBOARD.kbd_code_hid[3] -.sym 23544 $false +.sym 23536 $abc$51270$n2006 +.sym 23537 I2C.byte_counter[1] +.sym 23538 $false +.sym 23539 $false +.sym 23542 $abc$51270$n875 +.sym 23543 $abc$51270$n305 +.sym 23544 $abc$51270$n882 .sym 23545 $false -.sym 23548 $abc$56607$n27 -.sym 23549 KEYBOARD.kbd_code_hid[2] -.sym 23550 $false +.sym 23548 $abc$51270$n305 +.sym 23549 $abc$51270$n875 +.sym 23550 $abc$51270$n882 .sym 23551 $false -.sym 23554 $abc$56607$n27 -.sym 23555 KEYBOARD.kbd_code_hid[7] +.sym 23554 $abc$51270$n1496 +.sym 23555 $false .sym 23556 $false .sym 23557 $false -.sym 23560 $abc$56607$n2459 +.sym 23560 I2C.FLT_SDA.out .sym 23561 $false .sym 23562 $false .sym 23563 $false -.sym 23564 $abc$56607$n1450 +.sym 23564 $abc$51270$n1205 .sym 23565 CLK$2$2 -.sym 23566 $abc$56607$n35$2 -.sym 23567 $abc$56607$n2704 -.sym 23568 $abc$56607$n1137 -.sym 23569 $abc$56607$n1121 -.sym 23570 $abc$56607$n2701 -.sym 23572 $abc$56607$n35 -.sym 23573 $abc$56607$n1120 -.sym 23574 $abc$56607$n2703 -.sym 23641 $abc$56607$n1115 -.sym 23642 KEYBOARD.report[1][1] -.sym 23643 $abc$56607$n1136 -.sym 23644 $false -.sym 23647 $abc$56607$n1142 -.sym 23648 KEYBOARD.report[6][1] -.sym 23649 $abc$56607$n1143 -.sym 23650 KEYBOARD.report[4][1] -.sym 23653 $abc$56607$n1119 -.sym 23654 KEYBOARD.report[4][1] -.sym 23655 $abc$56607$n1137 +.sym 23566 $false +.sym 23567 $abc$51270$n35 +.sym 23568 I2C_OUT_DESC_MASK[2] +.sym 23569 I2C_OUT_DESC_MASK[5] +.sym 23570 I2C_OUT_DESC_MASK[4] +.sym 23571 I2C_OUT_DESC_MASK[1] +.sym 23573 I2C_OUT_DESC_MASK[0] +.sym 23641 $abc$51270$n846 +.sym 23642 $abc$51270$n859 +.sym 23643 I2C.FLT_SCL.RESET +.sym 23644 $abc$51270$n861 +.sym 23647 I2C.received_byte[0] +.sym 23648 I2C.received_byte[3] +.sym 23649 $abc$51270$n823 +.sym 23650 $false +.sym 23653 I2C.received_byte[7] +.sym 23654 $false +.sym 23655 $false .sym 23656 $false -.sym 23659 $abc$56607$n305 -.sym 23660 wr_cnt[1] -.sym 23661 wr_cnt[0] -.sym 23662 $abc$56607$n312 -.sym 23665 $abc$56607$n2463 +.sym 23659 $abc$51270$n30 +.sym 23660 $false +.sym 23661 $false +.sym 23662 $false +.sym 23665 $false .sym 23666 $false -.sym 23667 $false +.sym 23667 $abc$51270$n1493 .sym 23668 $false -.sym 23671 $abc$56607$n2465 -.sym 23672 $false -.sym 23673 $false -.sym 23674 $false -.sym 23677 $abc$56607$n2460 -.sym 23678 $false -.sym 23679 $false -.sym 23680 $false -.sym 23683 $abc$56607$n2458 -.sym 23684 $false -.sym 23685 $false -.sym 23686 $false -.sym 23687 $abc$56607$n1435 +.sym 23671 $abc$51270$n846 +.sym 23672 $abc$51270$n1228_1 +.sym 23673 $abc$51270$n861 +.sym 23674 $abc$51270$n1944 +.sym 23677 $abc$51270$n1281 +.sym 23678 $abc$51270$n2173 +.sym 23679 $abc$51270$n1493 +.sym 23680 $abc$51270$n1280 +.sym 23687 $true .sym 23688 CLK$2$2 -.sym 23689 $abc$56607$n35$2 -.sym 23692 $true$2 -.sym 23694 KEYBOARD.ROWS_EN[0] -.sym 23764 $abc$56607$n842 -.sym 23765 $abc$56607$n744 -.sym 23766 $abc$56607$n746 +.sym 23689 $false +.sym 23692 $auto$alumacc.cc:474:replace_alu$9606.C[2] +.sym 23693 $auto$alumacc.cc:474:replace_alu$9606.C[3] +.sym 23694 $auto$alumacc.cc:474:replace_alu$9606.C[4] +.sym 23695 $auto$alumacc.cc:474:replace_alu$9606.C[5] +.sym 23696 $auto$alumacc.cc:474:replace_alu$9606.C[6] +.sym 23697 I2C_INPUT_LEN[7] +.sym 23764 $abc$51270$n1075 +.sym 23765 $abc$51270$n21 +.sym 23766 $abc$51270$n2421 .sym 23767 $false -.sym 23770 wr_cnt[1] -.sym 23771 wr_cnt[2] -.sym 23772 $abc$56607$n321 -.sym 23773 $false -.sym 23776 last_isr -.sym 23777 KEYBOARD.isr +.sym 23770 $false +.sym 23771 I2C.byte_counter[3] +.sym 23772 $false +.sym 23773 $auto$alumacc.cc:474:replace_alu$9606.C[3] +.sym 23776 $false +.sym 23777 I2C.byte_counter[4] .sym 23778 $false -.sym 23779 $false +.sym 23779 $auto$alumacc.cc:474:replace_alu$9606.C[4] +.sym 23782 $false +.sym 23783 I2C.byte_counter[2] +.sym 23784 $false +.sym 23785 $auto$alumacc.cc:474:replace_alu$9606.C[2] .sym 23788 $false -.sym 23789 wr_cnt[0] -.sym 23790 $true$2 -.sym 23791 $true$2 -.sym 23800 I2C.byte_counter[0] -.sym 23801 $abc$56607$n673 +.sym 23789 I2C.byte_counter[0] +.sym 23790 $false +.sym 23791 $false +.sym 23794 $false +.sym 23795 I2C.byte_counter[6] +.sym 23796 $false +.sym 23797 $auto$alumacc.cc:474:replace_alu$9606.C[6] +.sym 23800 $2\I2C_INPUT_LEN[7:0][1] +.sym 23801 $false .sym 23802 $false .sym 23803 $false -.sym 23806 I2C.received_byte[0] -.sym 23807 $false +.sym 23806 $false +.sym 23807 I2C.byte_counter[5] .sym 23808 $false -.sym 23809 $false -.sym 23810 $abc$56607$n1113 +.sym 23809 $auto$alumacc.cc:474:replace_alu$9606.C[5] +.sym 23810 $abc$51270$n1117 .sym 23811 CLK$2$2 -.sym 23812 $false -.sym 23814 int_tmr[2] -.sym 23815 int_tmr[4] -.sym 23817 int_tmr[6] -.sym 23820 int_tmr[5] -.sym 23893 i2c_input_data_type[3] +.sym 23812 $abc$51270$n35$2 +.sym 23813 LED2$2 +.sym 23818 LED3$2 +.sym 23887 I2C_INPUT_LEN[2] +.sym 23888 I2C_INPUT_LEN[0] +.sym 23889 I2C_INPUT_LEN[1] +.sym 23890 $false +.sym 23893 I2C_INPUT_LEN[3] .sym 23894 $false .sym 23895 $false .sym 23896 $false -.sym 23905 temp_output_report[2] +.sym 23899 I2C_INPUT_LEN[5] +.sym 23900 $false +.sym 23901 $false +.sym 23902 $false +.sym 23905 I2C_INPUT_LEN[2] .sym 23906 $false .sym 23907 $false .sym 23908 $false -.sym 23911 temp_output_report[0] -.sym 23912 $false -.sym 23913 $false +.sym 23911 I2C_INPUT_LEN[3] +.sym 23912 I2C_INPUT_LEN[2] +.sym 23913 $abc$51270$n852 .sym 23914 $false -.sym 23917 temp_output_report[1] -.sym 23918 $false -.sym 23919 $false -.sym 23920 $false -.sym 23933 $abc$56607$n1211 -.sym 23934 CLK$2$2 -.sym 23935 $abc$56607$n35$2 -.sym 23938 $13\int_tmr[19:0][2] -.sym 23939 $13\int_tmr[19:0][3] -.sym 23940 $13\int_tmr[19:0][4] -.sym 23941 $13\int_tmr[19:0][5] -.sym 23942 $13\int_tmr[19:0][6] -.sym 23943 $13\int_tmr[19:0][7] -.sym 24028 UART.tx_activity -.sym 24029 last_uart_active -.sym 24030 $false -.sym 24031 $false -.sym 24040 $abc$56607$n667 -.sym 24041 $13\int_tmr[19:0][0] -.sym 24042 $false -.sym 24043 $false -.sym 24046 $abc$56607$n667 -.sym 24047 $13\int_tmr[19:0][3] -.sym 24048 $false -.sym 24049 $false -.sym 24052 $abc$56607$n667 -.sym 24053 $13\int_tmr[19:0][7] -.sym 24054 $false -.sym 24055 $false -.sym 24056 $abc$56607$n901$2 -.sym 24057 CLK$2$2 -.sym 24058 $abc$56607$n35$2 -.sym 24059 $13\int_tmr[19:0][8] -.sym 24060 $13\int_tmr[19:0][9] -.sym 24061 $13\int_tmr[19:0][10] -.sym 24062 $13\int_tmr[19:0][11] -.sym 24063 $13\int_tmr[19:0][12] -.sym 24064 $13\int_tmr[19:0][13] -.sym 24065 $13\int_tmr[19:0][14] -.sym 24066 $13\int_tmr[19:0][15] -.sym 24133 UART.tx_activity -.sym 24134 last_uart_active -.sym 24135 $abc$56607$n742 -.sym 24136 $abc$56607$n747 -.sym 24139 $abc$56607$n667 -.sym 24140 $13\int_tmr[19:0][10] -.sym 24141 $false -.sym 24142 $false -.sym 24145 $abc$56607$n667 -.sym 24146 $13\int_tmr[19:0][13] -.sym 24147 $false -.sym 24148 $false -.sym 24151 $abc$56607$n667 -.sym 24152 $13\int_tmr[19:0][11] -.sym 24153 $false -.sym 24154 $false -.sym 24157 $abc$56607$n667 -.sym 24158 $13\int_tmr[19:0][9] -.sym 24159 $false -.sym 24160 $false -.sym 24163 $abc$56607$n667 -.sym 24164 $13\int_tmr[19:0][12] -.sym 24165 $false -.sym 24166 $false -.sym 24169 $abc$56607$n667 -.sym 24170 $13\int_tmr[19:0][8] -.sym 24171 $false -.sym 24172 $false -.sym 24175 $abc$56607$n667 -.sym 24176 $13\int_tmr[19:0][14] -.sym 24177 $false -.sym 24178 $false -.sym 24179 $abc$56607$n901$2 -.sym 24180 CLK$2$2 -.sym 24181 $abc$56607$n35$2 -.sym 24182 $13\int_tmr[19:0][16] -.sym 24183 $13\int_tmr[19:0][17] -.sym 24184 $13\int_tmr[19:0][18] -.sym 24185 $abc$56607$n1733 -.sym 24186 int_tmr[15] -.sym 24187 int_tmr[16] -.sym 24188 int_tmr[18] -.sym 24189 int_tmr[17] -.sym 24256 init_ram_cnt[0] +.sym 23917 I2C_INPUT_LEN[4] +.sym 23918 I2C_INPUT_LEN[5] +.sym 23919 I2C_INPUT_LEN[6] +.sym 23920 I2C_INPUT_LEN[7] +.sym 23923 I2C.received_byte[3] +.sym 23924 $false +.sym 23925 $false +.sym 23926 $false +.sym 23929 I2C_INPUT_LEN[1] +.sym 23930 $false +.sym 23931 $false +.sym 23932 $false +.sym 23938 $abc$51270$n1393 +.sym 23939 $abc$51270$n2457 +.sym 23940 $true$2 +.sym 23941 $abc$51270$n896_1 +.sym 23942 KEYBOARD.init_delay_cnt[1] +.sym 23972 $true +.sym 24009 $abc$51270$n2452$2 +.sym 24010 $false +.sym 24011 $abc$51270$n2452 +.sym 24012 $false +.sym 24013 $false +.sym 24015 $auto$alumacc.cc:474:replace_alu$9519.C[3] +.sym 24017 $false +.sym 24018 $abc$51270$n2453 +.sym 24021 $auto$alumacc.cc:474:replace_alu$9519.C[4] +.sym 24023 $false +.sym 24024 $abc$51270$n2454 +.sym 24027 $auto$alumacc.cc:474:replace_alu$9519.C[5] +.sym 24029 $false +.sym 24030 $abc$51270$n2455 +.sym 24033 $auto$alumacc.cc:474:replace_alu$9519.C[6] +.sym 24035 $false +.sym 24036 $abc$51270$n2456 +.sym 24039 $auto$alumacc.cc:474:replace_alu$9519.C[7] +.sym 24041 $false +.sym 24042 $abc$51270$n2457 +.sym 24045 $abc$51270$n2422$2 +.sym 24047 $false +.sym 24048 $abc$51270$n2458 +.sym 24055 $abc$51270$n2422$2 +.sym 24061 KEYBOARD.init_delay_cnt[2] +.sym 24062 KEYBOARD.init_delay_cnt[3] +.sym 24063 KEYBOARD.init_delay_cnt[0] +.sym 24095 $true +.sym 24132 $abc$51270$n230$2 +.sym 24133 $false +.sym 24134 $abc$51270$n230 +.sym 24135 $false +.sym 24136 $false +.sym 24138 $auto$alumacc.cc:474:replace_alu$9514.C[3] +.sym 24140 $true$2 +.sym 24141 $abc$51270$n228 +.sym 24144 $auto$alumacc.cc:474:replace_alu$9514.C[4] +.sym 24146 $false +.sym 24147 $abc$51270$n227 +.sym 24150 $auto$alumacc.cc:474:replace_alu$9514.C[5] +.sym 24152 $false +.sym 24153 $abc$51270$n225 +.sym 24156 $auto$alumacc.cc:474:replace_alu$9514.C[6] +.sym 24158 $false +.sym 24159 $abc$51270$n224 +.sym 24162 $auto$alumacc.cc:474:replace_alu$9514.C[7] +.sym 24164 $false +.sym 24165 $abc$51270$n222 +.sym 24168 $abc$51270$n2421$2 +.sym 24170 $false +.sym 24171 $abc$51270$n221 +.sym 24178 $abc$51270$n2421$2 +.sym 24185 KEYBOARD.ROWS_EN[12] +.sym 24189 KEYBOARD.ROWS_EN[8] +.sym 24256 $false .sym 24257 $false -.sym 24258 $false +.sym 24258 ring_rd[0] .sym 24259 $false -.sym 24262 init_ram_cnt[1] +.sym 24262 I2C_INPUT_LEN[7] .sym 24263 $false .sym 24264 $false .sym 24265 $false -.sym 24280 init_ram_cnt[3] -.sym 24281 $false -.sym 24282 $false -.sym 24283 $false -.sym 24292 $false -.sym 24293 $true$2 -.sym 24294 init_ram_cnt[0] -.sym 24295 $false -.sym 24298 init_ram_cnt[1] -.sym 24299 init_ram_cnt[0] -.sym 24300 $false -.sym 24301 $false -.sym 24302 $abc$56607$n842 +.sym 24268 $abc$51270$n396 +.sym 24269 $false +.sym 24270 $false +.sym 24271 $false +.sym 24274 $abc$51270$n394 +.sym 24275 $false +.sym 24276 $false +.sym 24277 $false +.sym 24286 $abc$51270$n383 +.sym 24287 $false +.sym 24288 $false +.sym 24289 $false +.sym 24302 $abc$51270$n1257 .sym 24303 CLK$2$2 -.sym 24304 $abc$56607$n35$2 -.sym 24379 init_ram_cnt[0] -.sym 24380 init_ram_cnt[1] -.sym 24381 $abc$56607$n735 +.sym 24304 $abc$51270$n35$2 +.sym 24305 $abc$51270$n1610 +.sym 24306 $abc$51270$n725 +.sym 24307 $abc$51270$n723 +.sym 24308 KEYBOARD.row_time[3] +.sym 24309 KEYBOARD.row_time[4] +.sym 24310 KEYBOARD.row_time[2] +.sym 24311 KEYBOARD.row_time[1] +.sym 24312 KEYBOARD.row_time[0] +.sym 24341 $true +.sym 24378 KEYBOARD.row_time[0]$2 +.sym 24379 $false +.sym 24380 KEYBOARD.row_time[0] +.sym 24381 $false .sym 24382 $false -.sym 24385 $abc$56607$n734 -.sym 24386 init_ram_cnt[7] -.sym 24387 init_ram_cnt[5] -.sym 24388 $false -.sym 24391 init_ram_cnt[2] +.sym 24384 $auto$alumacc.cc:474:replace_alu$9641.C[2] +.sym 24386 $false +.sym 24387 KEYBOARD.row_time[1] +.sym 24390 $auto$alumacc.cc:474:replace_alu$9641.C[3] +.sym 24391 $false .sym 24392 $false -.sym 24393 $false -.sym 24394 $false -.sym 24397 init_ram_cnt[7] +.sym 24393 KEYBOARD.row_time[2] +.sym 24394 $auto$alumacc.cc:474:replace_alu$9641.C[2] +.sym 24396 $auto$alumacc.cc:474:replace_alu$9641.C[4] +.sym 24397 $false .sym 24398 $false -.sym 24399 $false -.sym 24400 $false -.sym 24403 init_ram_cnt[6] +.sym 24399 KEYBOARD.row_time[3] +.sym 24400 $auto$alumacc.cc:474:replace_alu$9641.C[3] +.sym 24402 $auto$alumacc.cc:474:replace_alu$9641.C[5] +.sym 24403 $false .sym 24404 $false -.sym 24405 $false -.sym 24406 $false -.sym 24409 init_ram_cnt[4] +.sym 24405 KEYBOARD.row_time[4] +.sym 24406 $auto$alumacc.cc:474:replace_alu$9641.C[4] +.sym 24408 $auto$alumacc.cc:474:replace_alu$9641.C[6] +.sym 24409 $false .sym 24410 $false -.sym 24411 $false -.sym 24412 $false -.sym 24415 init_ram_cnt[2] -.sym 24416 init_ram_cnt[6] -.sym 24417 init_ram_cnt[4] -.sym 24418 init_ram_cnt[3] -.sym 24421 init_ram_cnt[5] +.sym 24411 KEYBOARD.row_time[5] +.sym 24412 $auto$alumacc.cc:474:replace_alu$9641.C[5] +.sym 24414 $auto$alumacc.cc:474:replace_alu$9641.C[7] +.sym 24415 $false +.sym 24416 $false +.sym 24417 KEYBOARD.row_time[6] +.sym 24418 $auto$alumacc.cc:474:replace_alu$9641.C[6] +.sym 24420 $auto$alumacc.cc:474:replace_alu$9641.C[8] +.sym 24421 $false .sym 24422 $false -.sym 24423 $false -.sym 24424 $false -.sym 24428 $abc$56607$n1220 -.sym 24429 KEYBOARD.ROWS_EN[6] -.sym 24430 KEYBOARD.ROWS_EN[3] -.sym 24431 KEYBOARD.ROWS_EN[5] -.sym 24432 KEYBOARD.ROWS_EN[2] -.sym 24433 KEYBOARD.ROWS_EN[7] -.sym 24434 KEYBOARD.ROWS_EN[4] -.sym 24435 KEYBOARD.ROWS_EN[1] -.sym 24464 $true -.sym 24501 init_ram_cnt[0]$2 +.sym 24423 KEYBOARD.row_time[7] +.sym 24424 $auto$alumacc.cc:474:replace_alu$9641.C[7] +.sym 24429 $abc$51270$n1002 +.sym 24431 $abc$51270$n726 +.sym 24432 $abc$51270$n29 +.sym 24433 $abc$51270$n1001 +.sym 24434 KEYBOARD.row_time[10] +.sym 24435 KEYBOARD.row_time[11] +.sym 24464 $auto$alumacc.cc:474:replace_alu$9641.C[8] +.sym 24501 $auto$alumacc.cc:474:replace_alu$9641.C[9] .sym 24502 $false -.sym 24503 init_ram_cnt[0] -.sym 24504 $false -.sym 24505 $false -.sym 24507 $auto$alumacc.cc:470:replace_alu$12129.C[2] +.sym 24503 $false +.sym 24504 KEYBOARD.row_time[8] +.sym 24505 $auto$alumacc.cc:474:replace_alu$9641.C[8] +.sym 24507 $auto$alumacc.cc:474:replace_alu$9641.C[10] +.sym 24508 $false .sym 24509 $false -.sym 24510 init_ram_cnt[1] -.sym 24513 $auto$alumacc.cc:470:replace_alu$12129.C[3] +.sym 24510 KEYBOARD.row_time[9] +.sym 24511 $auto$alumacc.cc:474:replace_alu$9641.C[9] +.sym 24513 $auto$alumacc.cc:474:replace_alu$9641.C[11] .sym 24514 $false .sym 24515 $false -.sym 24516 init_ram_cnt[2] -.sym 24517 $auto$alumacc.cc:470:replace_alu$12129.C[2] -.sym 24519 $auto$alumacc.cc:470:replace_alu$12129.C[4] +.sym 24516 KEYBOARD.row_time[10] +.sym 24517 $auto$alumacc.cc:474:replace_alu$9641.C[10] +.sym 24519 $auto$alumacc.cc:474:replace_alu$9641.C[12] .sym 24520 $false .sym 24521 $false -.sym 24522 init_ram_cnt[3] -.sym 24523 $auto$alumacc.cc:470:replace_alu$12129.C[3] -.sym 24525 $auto$alumacc.cc:470:replace_alu$12129.C[5] +.sym 24522 KEYBOARD.row_time[11] +.sym 24523 $auto$alumacc.cc:474:replace_alu$9641.C[11] .sym 24526 $false .sym 24527 $false -.sym 24528 init_ram_cnt[4] -.sym 24529 $auto$alumacc.cc:470:replace_alu$12129.C[4] -.sym 24531 $auto$alumacc.cc:470:replace_alu$12129.C[6] -.sym 24532 $false +.sym 24528 KEYBOARD.row_time[12] +.sym 24529 $auto$alumacc.cc:474:replace_alu$9641.C[12] +.sym 24532 $abc$51270$n1618 .sym 24533 $false -.sym 24534 init_ram_cnt[5] -.sym 24535 $auto$alumacc.cc:470:replace_alu$12129.C[5] -.sym 24537 $auto$alumacc.cc:470:replace_alu$12129.C[7] -.sym 24538 $false +.sym 24534 $false +.sym 24535 $false +.sym 24538 $abc$51270$n1626 .sym 24539 $false -.sym 24540 init_ram_cnt[6] -.sym 24541 $auto$alumacc.cc:470:replace_alu$12129.C[6] -.sym 24544 $false +.sym 24540 $false +.sym 24541 $false +.sym 24544 $abc$51270$n1617 .sym 24545 $false -.sym 24546 init_ram_cnt[7] -.sym 24547 $auto$alumacc.cc:470:replace_alu$12129.C[7] -.sym 24548 $abc$56607$n842 +.sym 24546 $false +.sym 24547 $false +.sym 24548 $abc$51270$n1257 .sym 24549 CLK$2$2 -.sym 24550 $abc$56607$n35$2 +.sym 24550 $abc$51270$n35$2 .sym 24596 $false .sym 24598 KEYBOARD.ROWS_EN[4] .sym 24599 $false .sym 24601 KEYBOARD.ROWS_EN[5] -.sym 24730 I2C.SDA_IN -.sym 24731 $false -.sym 24732 $false -.sym 24733 $false +.sym 24724 SCL$2 +.sym 24725 $false +.sym 24726 $false +.sym 24727 $false +.sym 24748 I2C.SDA_IN +.sym 24749 $false +.sym 24750 $false +.sym 24751 $false .sym 24764 $true .sym 24765 CLK$2$2 .sym 24766 $false -.sym 24847 $true -.sym 24884 KEYBOARD.kbd_code_hid[0]$2 -.sym 24885 $false -.sym 24886 KEYBOARD.kbd_code_hid[0] -.sym 24887 $false -.sym 24888 $false -.sym 24890 $auto$alumacc.cc:470:replace_alu$12053.C[2] -.sym 24892 KEYBOARD.kbd_code_hid[1] -.sym 24893 $false -.sym 24896 $auto$alumacc.cc:470:replace_alu$12053.C[3] -.sym 24898 KEYBOARD.kbd_code_hid[2] -.sym 24899 $false -.sym 24902 $auto$alumacc.cc:470:replace_alu$12053.C[4] -.sym 24904 KEYBOARD.kbd_code_hid[3] -.sym 24905 $false -.sym 24908 $auto$alumacc.cc:470:replace_alu$12053.C[5] -.sym 24910 KEYBOARD.kbd_code_hid[4] -.sym 24911 $false -.sym 24914 $auto$alumacc.cc:470:replace_alu$12053.C[6] -.sym 24916 KEYBOARD.kbd_code_hid[5] -.sym 24917 $true$2 -.sym 24920 $auto$alumacc.cc:470:replace_alu$12053.C[7] -.sym 24922 KEYBOARD.kbd_code_hid[6] +.sym 24921 I2C.SDAF +.sym 24922 $false .sym 24923 $false -.sym 24926 $abc$56607$n2572$2 -.sym 24928 KEYBOARD.kbd_code_hid[7] -.sym 24929 $false -.sym 25043 $abc$56607$n2572$2 -.sym 25046 $abc$56607$n27 -.sym 25047 KEYBOARD.kbd_code_hid[4] -.sym 25048 $false -.sym 25049 $false -.sym 25064 KEYBOARD.kbd_code_hid[5] -.sym 25065 KEYBOARD.kbd_code_hid[6] -.sym 25066 KEYBOARD.kbd_code_hid[4] -.sym 25067 KEYBOARD.kbd_code_hid[7] -.sym 25070 $false -.sym 25071 $true$2 -.sym 25072 KEYBOARD.row_counter[0] -.sym 25073 $false -.sym 25082 $false -.sym 25083 $true$2 -.sym 25084 KEYBOARD.row_time[0] +.sym 24924 $false +.sym 24931 $abc$51270$n1429 +.sym 24932 CLK$2$2 +.sym 24933 $abc$51270$n35$2 +.sym 25002 $true +.sym 25039 $abc$51270$n2008$2 +.sym 25040 $false +.sym 25041 $abc$51270$n2008 +.sym 25042 $false +.sym 25043 $false +.sym 25045 $auto$alumacc.cc:474:replace_alu$9620.C[2]$2 +.sym 25047 $abc$51270$n2010 +.sym 25048 $true$2 +.sym 25051 $auto$alumacc.cc:474:replace_alu$9620.C[3]$2 +.sym 25053 $abc$51270$n2012 +.sym 25054 $true$2 +.sym 25055 $auto$alumacc.cc:474:replace_alu$9620.C[2]$2 +.sym 25057 $abc$51270$n2394$2 +.sym 25059 $abc$51270$n2014 +.sym 25060 $true$2 +.sym 25061 $auto$alumacc.cc:474:replace_alu$9620.C[3]$2 +.sym 25067 $abc$51270$n2394$2 +.sym 25076 I2C.FLT_SCL.out +.sym 25077 I2C.SCLF +.sym 25078 $false +.sym 25079 $false +.sym 25082 I2C.SCLF +.sym 25083 $false +.sym 25084 $false .sym 25085 $false -.sym 25086 $abc$56607$n1490$2 +.sym 25086 $abc$51270$n1439 .sym 25087 CLK$2$2 -.sym 25088 $abc$56607$n33$2 -.sym 25157 $true -.sym 25194 wr_cnt[0]$2 -.sym 25195 $false -.sym 25196 wr_cnt[0] +.sym 25088 $abc$51270$n35$2 +.sym 25195 I2C.FLT_SCL.counter[0] +.sym 25196 I2C.FLT_SCL.counter[1] .sym 25197 $false .sym 25198 $false -.sym 25200 $auto$alumacc.cc:470:replace_alu$12114.C[2] -.sym 25202 $false -.sym 25203 wr_cnt[1] -.sym 25206 $auto$alumacc.cc:470:replace_alu$12114.C[3] -.sym 25207 $false -.sym 25208 $false -.sym 25209 wr_cnt[2] -.sym 25210 $auto$alumacc.cc:470:replace_alu$12114.C[2] -.sym 25213 $false -.sym 25214 $false -.sym 25215 wr_cnt[3] -.sym 25216 $auto$alumacc.cc:470:replace_alu$12114.C[3] -.sym 25219 $false -.sym 25220 $true$2 -.sym 25221 wr_cnt[0] -.sym 25222 $false -.sym 25225 $abc$56607$n2707 +.sym 25201 $false +.sym 25202 I2C.FLT_SCL.counter[0] +.sym 25203 $false +.sym 25204 $false +.sym 25207 I2C.FLT_SCL.counter[0] +.sym 25208 I2C.FLT_SCL.counter[1] +.sym 25209 I2C.FLT_SCL.counter[2] +.sym 25210 $abc$51270$n1633 +.sym 25213 $abc$51270$n2335 +.sym 25214 $abc$51270$n1017 +.sym 25215 $abc$51270$n2336 +.sym 25216 $abc$51270$n1636 +.sym 25219 I2C.FLT_SCL.counter[0] +.sym 25220 I2C.FLT_SCL.counter[1] +.sym 25221 I2C.FLT_SCL.counter[2] +.sym 25222 $abc$51270$n1017 +.sym 25225 $abc$51270$n2335 .sym 25226 $false .sym 25227 $false .sym 25228 $false -.sym 25237 $abc$56607$n2701 +.sym 25231 I2C.FLT_SCL.counter[0] +.sym 25232 I2C.FLT_SCL.counter[1] +.sym 25233 I2C.FLT_SCL.counter[2] +.sym 25234 $abc$51270$n1636 +.sym 25237 $abc$51270$n2336 .sym 25238 $false .sym 25239 $false .sym 25240 $false -.sym 25241 $abc$56607$n1471$2 +.sym 25241 $abc$51270$n1440 .sym 25242 CLK$2$2 -.sym 25243 $abc$56607$n29 -.sym 25350 KEYBOARD.kbd_code_hid[6] -.sym 25351 KEYBOARD.report[4][6] -.sym 25352 KEYBOARD.report[4][0] -.sym 25353 KEYBOARD.kbd_code_hid[0] -.sym 25356 $abc$56607$n28 -.sym 25357 $false -.sym 25358 $false -.sym 25359 $false -.sym 25362 $abc$56607$n937 -.sym 25363 $abc$56607$n938_1 -.sym 25364 $abc$56607$n933 -.sym 25365 $false -.sym 25368 $abc$56607$n963 -.sym 25369 $abc$56607$n964 -.sym 25370 $abc$56607$n965 -.sym 25371 $abc$56607$n966 -.sym 25374 KEYBOARD.kbd_code_hid[4] -.sym 25375 KEYBOARD.report[4][4] -.sym 25376 KEYBOARD.kbd_code_hid[5] -.sym 25377 KEYBOARD.report[4][5] -.sym 25380 $abc$56607$n2462 -.sym 25381 $false -.sym 25382 $false -.sym 25383 $false -.sym 25386 $abc$56607$n2458 -.sym 25387 $false -.sym 25388 $false -.sym 25389 $false -.sym 25392 $abc$56607$n2464 -.sym 25393 $false -.sym 25394 $false -.sym 25395 $false -.sym 25396 $abc$56607$n1422 +.sym 25243 $abc$51270$n35$2 +.sym 25312 $true +.sym 25349 I2C.FLT_SCL.counter[0]$2 +.sym 25350 $false +.sym 25351 I2C.FLT_SCL.counter[0] +.sym 25352 $false +.sym 25353 $false +.sym 25355 $auto$alumacc.cc:474:replace_alu$9623.C[2] +.sym 25357 I2C.FLT_SCL.counter[1] +.sym 25358 $true$2 +.sym 25362 $false +.sym 25363 I2C.FLT_SCL.counter[2] +.sym 25364 $false +.sym 25365 $auto$alumacc.cc:474:replace_alu$9623.C[2] +.sym 25374 I2C.FLT_SDA.out +.sym 25375 $false +.sym 25376 $false +.sym 25377 $false +.sym 25396 $abc$51270$n1177 .sym 25397 CLK$2$2 -.sym 25398 $abc$56607$n35$2 -.sym 25505 KEYBOARD.kbd_code_hid[2] -.sym 25506 KEYBOARD.report[6][2] -.sym 25507 KEYBOARD.report[6][1] -.sym 25508 KEYBOARD.kbd_code_hid[1] -.sym 25511 KEYBOARD.report[6][0] -.sym 25512 KEYBOARD.report[6][1] -.sym 25513 KEYBOARD.report[6][2] -.sym 25514 KEYBOARD.report[6][3] -.sym 25517 KEYBOARD.kbd_code_hid[7] -.sym 25518 KEYBOARD.report[6][7] -.sym 25519 KEYBOARD.report[6][0] -.sym 25520 KEYBOARD.kbd_code_hid[0] -.sym 25523 $abc$56607$n945 -.sym 25524 $abc$56607$n946 -.sym 25525 $abc$56607$n947 -.sym 25526 $abc$56607$n948 -.sym 25529 KEYBOARD.kbd_code_hid[4] -.sym 25530 KEYBOARD.report[6][4] -.sym 25531 KEYBOARD.report[6][3] -.sym 25532 KEYBOARD.kbd_code_hid[3] -.sym 25535 KEYBOARD.report[6][4] -.sym 25536 KEYBOARD.report[6][5] -.sym 25537 KEYBOARD.report[6][6] -.sym 25538 KEYBOARD.report[6][7] -.sym 25541 KEYBOARD.kbd_code_hid[6] -.sym 25542 KEYBOARD.report[6][6] -.sym 25543 KEYBOARD.report[6][5] -.sym 25544 KEYBOARD.kbd_code_hid[5] -.sym 25547 $abc$56607$n1118 -.sym 25548 KEYBOARD.report[3][4] -.sym 25549 KEYBOARD.report[6][4] -.sym 25550 $abc$56607$n1121 +.sym 25398 $false +.sym 25467 $true +.sym 25504 $abc$51270$n1493$2 +.sym 25505 $false +.sym 25506 $abc$51270$n1493 +.sym 25507 $false +.sym 25508 $false +.sym 25510 $auto$alumacc.cc:474:replace_alu$9617.C[2] +.sym 25512 $false +.sym 25513 $abc$51270$n1494 +.sym 25516 $auto$alumacc.cc:474:replace_alu$9617.C[3] +.sym 25517 $false +.sym 25518 $false +.sym 25519 $abc$51270$n1496 +.sym 25520 $auto$alumacc.cc:474:replace_alu$9617.C[2] +.sym 25522 $auto$alumacc.cc:474:replace_alu$9617.C[4] +.sym 25523 $false +.sym 25524 $false +.sym 25525 $abc$51270$n1497 +.sym 25526 $auto$alumacc.cc:474:replace_alu$9617.C[3] +.sym 25528 $auto$alumacc.cc:474:replace_alu$9617.C[5] +.sym 25529 $false +.sym 25530 $false +.sym 25531 $abc$51270$n1499 +.sym 25532 $auto$alumacc.cc:474:replace_alu$9617.C[4] +.sym 25534 $auto$alumacc.cc:474:replace_alu$9617.C[6] +.sym 25535 $false +.sym 25536 $false +.sym 25537 $abc$51270$n1500 +.sym 25538 $auto$alumacc.cc:474:replace_alu$9617.C[5] +.sym 25540 $auto$alumacc.cc:474:replace_alu$9617.C[7] +.sym 25541 $false +.sym 25542 $false +.sym 25543 $abc$51270$n1502 +.sym 25544 $auto$alumacc.cc:474:replace_alu$9617.C[6] +.sym 25547 $false +.sym 25548 $false +.sym 25549 $abc$51270$n1503 +.sym 25550 $auto$alumacc.cc:474:replace_alu$9617.C[7] .sym 25556 SCL$2 -.sym 25660 KEYBOARD.kbd_code_hid[0] -.sym 25661 KEYBOARD.kbd_code_hid[1] -.sym 25662 KEYBOARD.kbd_code_hid[2] +.sym 25660 $false +.sym 25661 $abc$51270$n35$2 +.sym 25662 rststate[0] .sym 25663 $false -.sym 25666 $abc$56607$n1121 -.sym 25667 KEYBOARD.report[6][7] -.sym 25668 $false -.sym 25669 $false -.sym 25672 $abc$56607$n2704 -.sym 25673 $false -.sym 25674 $false -.sym 25675 $false -.sym 25678 $abc$56607$n2703 -.sym 25679 $false -.sym 25680 $false -.sym 25681 $false -.sym 25684 $abc$56607$n2708 -.sym 25685 $false -.sym 25686 $false +.sym 25666 $abc$51270$n1281 +.sym 25667 $abc$51270$n2176 +.sym 25668 $abc$51270$n1497 +.sym 25669 $abc$51270$n1280 +.sym 25672 $abc$51270$n1281 +.sym 25673 $abc$51270$n2175 +.sym 25674 $abc$51270$n1496 +.sym 25675 $abc$51270$n1280 +.sym 25678 $abc$51270$n846 +.sym 25679 $abc$51270$n1228_1 +.sym 25680 $abc$51270$n861 +.sym 25681 $abc$51270$n1942 +.sym 25684 $abc$51270$n1494 +.sym 25685 $abc$51270$n1285 +.sym 25686 $abc$51270$n1280 .sym 25687 $false -.sym 25690 $abc$56607$n2705 -.sym 25691 $false -.sym 25692 $false -.sym 25693 $false -.sym 25696 $abc$56607$n2706 -.sym 25697 $false -.sym 25698 $false -.sym 25699 $false -.sym 25702 $abc$56607$n2702 -.sym 25703 $false -.sym 25704 $false -.sym 25705 $false -.sym 25706 $abc$56607$n1471$2 +.sym 25690 $abc$51270$n1281 +.sym 25691 $abc$51270$n2177 +.sym 25692 $abc$51270$n1499 +.sym 25693 $abc$51270$n1280 +.sym 25696 $abc$51270$n846 +.sym 25697 $abc$51270$n1228_1 +.sym 25698 $abc$51270$n861 +.sym 25699 $abc$51270$n1940 +.sym 25702 $abc$51270$n846 +.sym 25703 $abc$51270$n1228_1 +.sym 25704 $abc$51270$n861 +.sym 25705 $abc$51270$n1946 +.sym 25706 $true .sym 25707 CLK$2$2 -.sym 25708 $abc$56607$n29 +.sym 25708 $false .sym 25709 I2C.SDA_IN -.sym 25815 $abc$56607$n27 -.sym 25816 KEYBOARD.kbd_code_hid[6] -.sym 25817 $false -.sym 25818 $false -.sym 25821 $abc$56607$n2231 -.sym 25822 KEYBOARD.row_counter[0] -.sym 25823 KEYBOARD.row_counter[1] -.sym 25824 $abc$56607$n2237 -.sym 25827 KEYBOARD.row_counter[0] -.sym 25828 KEYBOARD.row_counter[1] -.sym 25829 $abc$56607$n2231 -.sym 25830 $abc$56607$n2237 -.sym 25833 $abc$56607$n2237 -.sym 25834 KEYBOARD.row_counter[0] -.sym 25835 KEYBOARD.row_counter[1] -.sym 25836 $abc$56607$n2231 -.sym 25839 $abc$56607$n312 -.sym 25840 wr_cnt[0] -.sym 25841 wr_cnt[1] -.sym 25842 $abc$56607$n305 -.sym 25845 KEYBOARD.kbd_code_hid[6] +.sym 25833 $abc$51270$n1281 +.sym 25834 $2\I2C_INPUT_LEN[7:0][1] +.sym 25835 $false +.sym 25836 $false +.sym 25845 $abc$51270$n2576 .sym 25846 $false .sym 25847 $false .sym 25848 $false -.sym 25851 KEYBOARD.kbd_code_hid[1] -.sym 25852 $false -.sym 25853 $false -.sym 25854 $false -.sym 25857 KEYBOARD.kbd_code_hid[4] -.sym 25858 $false -.sym 25859 $false -.sym 25860 $false -.sym 25861 $abc$56607$n1328 +.sym 25861 $abc$51270$n1404$2 .sym 25862 CLK$2$2 -.sym 25863 $abc$56607$n27 -.sym 25970 $abc$56607$n2237 -.sym 25971 KEYBOARD.row_counter[0] -.sym 25972 KEYBOARD.row_counter[1] -.sym 25973 $abc$56607$n2231 -.sym 25976 $abc$56607$n1121 -.sym 25977 KEYBOARD.report[6][1] +.sym 25863 $abc$51270$n31 +.sym 25970 I2C.FLT_SCL.RESET +.sym 25971 $false +.sym 25972 $false +.sym 25973 $false +.sym 25976 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 25977 $false .sym 25978 $false .sym 25979 $false -.sym 25982 $abc$56607$n305 -.sym 25983 wr_cnt[0] -.sym 25984 wr_cnt[1] -.sym 25985 $abc$56607$n312 -.sym 25988 $abc$56607$n2231 -.sym 25989 $abc$56607$n2237 -.sym 25990 KEYBOARD.row_counter[0] -.sym 25991 KEYBOARD.row_counter[1] -.sym 26000 I2C.FLT_SCL.RESET -.sym 26001 $false -.sym 26002 $false -.sym 26003 $false -.sym 26006 wr_cnt[0] -.sym 26007 wr_cnt[1] -.sym 26008 $abc$56607$n305 -.sym 26009 $abc$56607$n312 -.sym 26012 $abc$56607$n2231 -.sym 26013 $abc$56607$n2237 -.sym 26014 KEYBOARD.row_counter[0] -.sym 26015 KEYBOARD.row_counter[1] -.sym 26137 $false -.sym 26138 $false -.sym 26139 $false -.sym 26140 $false -.sym 26149 $abc$56607$n2701 -.sym 26150 $false -.sym 26151 $false -.sym 26152 $false -.sym 26171 $abc$56607$n1471$2 +.sym 25982 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 25983 $false +.sym 25984 $false +.sym 25985 $false +.sym 25988 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 25989 $false +.sym 25990 $false +.sym 25991 $false +.sym 25994 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 25995 $false +.sym 25996 $false +.sym 25997 $false +.sym 26006 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 26007 $false +.sym 26008 $false +.sym 26009 $false +.sym 26016 $abc$51270$n1119 +.sym 26017 CLK$2$2 +.sym 26018 $abc$51270$n35$2 +.sym 26087 $true +.sym 26124 I2C.byte_counter[0]$2 +.sym 26125 $false +.sym 26126 I2C.byte_counter[0] +.sym 26127 $false +.sym 26128 $false +.sym 26130 $auto$alumacc.cc:474:replace_alu$9606.C[2]$2 +.sym 26132 I2C.byte_counter[1] +.sym 26133 $true$2 +.sym 26136 $auto$alumacc.cc:474:replace_alu$9606.C[3]$2 +.sym 26138 I2C.byte_counter[2] +.sym 26139 $true$2 +.sym 26140 $auto$alumacc.cc:474:replace_alu$9606.C[2]$2 +.sym 26142 $auto$alumacc.cc:474:replace_alu$9606.C[4]$2 +.sym 26144 I2C.byte_counter[3] +.sym 26145 $true$2 +.sym 26146 $auto$alumacc.cc:474:replace_alu$9606.C[3]$2 +.sym 26148 $auto$alumacc.cc:474:replace_alu$9606.C[5]$2 +.sym 26150 I2C.byte_counter[4] +.sym 26151 $true$2 +.sym 26152 $auto$alumacc.cc:474:replace_alu$9606.C[4]$2 +.sym 26154 $auto$alumacc.cc:474:replace_alu$9606.C[6]$2 +.sym 26156 I2C.byte_counter[5] +.sym 26157 $true$2 +.sym 26158 $auto$alumacc.cc:474:replace_alu$9606.C[5]$2 +.sym 26160 $auto$alumacc.cc:474:replace_alu$9606.C[7] +.sym 26162 I2C.byte_counter[6] +.sym 26163 $true$2 +.sym 26164 $auto$alumacc.cc:474:replace_alu$9606.C[6]$2 +.sym 26167 $false +.sym 26168 I2C.byte_counter[7] +.sym 26169 $false +.sym 26170 $auto$alumacc.cc:474:replace_alu$9606.C[7] +.sym 26171 $abc$51270$n1117 .sym 26172 CLK$2$2 -.sym 26173 $abc$56607$n28 -.sym 26286 $abc$56607$n667 -.sym 26287 $13\int_tmr[19:0][2] -.sym 26288 $false -.sym 26289 $false -.sym 26292 $abc$56607$n667 -.sym 26293 $13\int_tmr[19:0][4] -.sym 26294 $false -.sym 26295 $false -.sym 26304 $abc$56607$n667 -.sym 26305 $13\int_tmr[19:0][6] -.sym 26306 $false -.sym 26307 $false -.sym 26322 $abc$56607$n667 -.sym 26323 $13\int_tmr[19:0][5] -.sym 26324 $false -.sym 26325 $false -.sym 26326 $abc$56607$n901$2 +.sym 26173 $abc$51270$n35$2 +.sym 26280 temp_output_report[0] +.sym 26281 $false +.sym 26282 $false +.sym 26283 $false +.sym 26310 temp_output_report[1] +.sym 26311 $false +.sym 26312 $false +.sym 26313 $false +.sym 26326 $abc$51270$n1138 .sym 26327 CLK$2$2 -.sym 26328 $abc$56607$n35$2 -.sym 26397 $true -.sym 26434 int_tmr[0]$2 -.sym 26435 $false -.sym 26436 int_tmr[0] -.sym 26437 $false -.sym 26438 $false -.sym 26440 $auto$alumacc.cc:470:replace_alu$12123.C[2] -.sym 26442 $false -.sym 26443 int_tmr[1] -.sym 26446 $auto$alumacc.cc:470:replace_alu$12123.C[3] -.sym 26447 $false -.sym 26448 $false -.sym 26449 int_tmr[2] -.sym 26450 $auto$alumacc.cc:470:replace_alu$12123.C[2] -.sym 26452 $auto$alumacc.cc:470:replace_alu$12123.C[4] -.sym 26453 $false +.sym 26328 $abc$51270$n35$2 +.sym 26447 $abc$51270$n896_1 +.sym 26448 KEYBOARD.init_delay_cnt[0] +.sym 26449 I2C.FLT_SCL.RESET +.sym 26450 $false +.sym 26453 I2C_INPUT_LEN[6] .sym 26454 $false -.sym 26455 int_tmr[3] -.sym 26456 $auto$alumacc.cc:470:replace_alu$12123.C[3] -.sym 26458 $auto$alumacc.cc:470:replace_alu$12123.C[5] +.sym 26455 $false +.sym 26456 $false .sym 26459 $false .sym 26460 $false -.sym 26461 int_tmr[4] -.sym 26462 $auto$alumacc.cc:470:replace_alu$12123.C[4] -.sym 26464 $auto$alumacc.cc:470:replace_alu$12123.C[6] -.sym 26465 $false -.sym 26466 $false -.sym 26467 int_tmr[5] -.sym 26468 $auto$alumacc.cc:470:replace_alu$12123.C[5] -.sym 26470 $auto$alumacc.cc:470:replace_alu$12123.C[7] -.sym 26471 $false +.sym 26461 $false +.sym 26462 $false +.sym 26465 KEYBOARD.init_delay_cnt[0] +.sym 26466 KEYBOARD.init_delay_cnt[1] +.sym 26467 KEYBOARD.init_delay_cnt[2] +.sym 26468 KEYBOARD.init_delay_cnt[3] +.sym 26471 KEYBOARD.init_delay_cnt[1] .sym 26472 $false -.sym 26473 int_tmr[6] -.sym 26474 $auto$alumacc.cc:470:replace_alu$12123.C[6] -.sym 26476 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26477 $false -.sym 26478 $false -.sym 26479 int_tmr[7] -.sym 26480 $auto$alumacc.cc:470:replace_alu$12123.C[7] -.sym 26552 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26589 $auto$alumacc.cc:470:replace_alu$12123.C[9] +.sym 26473 $false +.sym 26474 $false +.sym 26481 $abc$51270$n1393 +.sym 26482 CLK$2$2 +.sym 26483 $abc$51270$n35$2 +.sym 26552 $true +.sym 26589 KEYBOARD.init_delay_cnt[0]$2 .sym 26590 $false -.sym 26591 $false -.sym 26592 int_tmr[8] -.sym 26593 $auto$alumacc.cc:470:replace_alu$12123.C[8] -.sym 26595 $auto$alumacc.cc:470:replace_alu$12123.C[10] -.sym 26596 $false +.sym 26591 KEYBOARD.init_delay_cnt[0] +.sym 26592 $false +.sym 26593 $false +.sym 26595 $auto$alumacc.cc:474:replace_alu$9635.C[2] .sym 26597 $false -.sym 26598 int_tmr[9] -.sym 26599 $auto$alumacc.cc:470:replace_alu$12123.C[9] -.sym 26601 $auto$alumacc.cc:470:replace_alu$12123.C[11] +.sym 26598 KEYBOARD.init_delay_cnt[1] +.sym 26601 $auto$alumacc.cc:474:replace_alu$9635.C[3] .sym 26602 $false .sym 26603 $false -.sym 26604 int_tmr[10] -.sym 26605 $auto$alumacc.cc:470:replace_alu$12123.C[10] -.sym 26607 $auto$alumacc.cc:470:replace_alu$12123.C[12] +.sym 26604 KEYBOARD.init_delay_cnt[2] +.sym 26605 $auto$alumacc.cc:474:replace_alu$9635.C[2] .sym 26608 $false .sym 26609 $false -.sym 26610 int_tmr[11] -.sym 26611 $auto$alumacc.cc:470:replace_alu$12123.C[11] -.sym 26613 $auto$alumacc.cc:470:replace_alu$12123.C[13] +.sym 26610 KEYBOARD.init_delay_cnt[3] +.sym 26611 $auto$alumacc.cc:474:replace_alu$9635.C[3] .sym 26614 $false .sym 26615 $false -.sym 26616 int_tmr[12] -.sym 26617 $auto$alumacc.cc:470:replace_alu$12123.C[12] -.sym 26619 $auto$alumacc.cc:470:replace_alu$12123.C[14] -.sym 26620 $false -.sym 26621 $false -.sym 26622 int_tmr[13] -.sym 26623 $auto$alumacc.cc:470:replace_alu$12123.C[13] -.sym 26625 $auto$alumacc.cc:470:replace_alu$12123.C[15] -.sym 26626 $false -.sym 26627 $false -.sym 26628 int_tmr[14] -.sym 26629 $auto$alumacc.cc:470:replace_alu$12123.C[14] -.sym 26631 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26632 $false -.sym 26633 $false -.sym 26634 int_tmr[15] -.sym 26635 $auto$alumacc.cc:470:replace_alu$12123.C[15] -.sym 26707 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26744 $auto$alumacc.cc:470:replace_alu$12123.C[17] -.sym 26745 $false -.sym 26746 $false -.sym 26747 int_tmr[16] -.sym 26748 $auto$alumacc.cc:470:replace_alu$12123.C[16] -.sym 26750 $auto$alumacc.cc:470:replace_alu$12123.C[18] -.sym 26751 $false -.sym 26752 $false -.sym 26753 int_tmr[17] -.sym 26754 $auto$alumacc.cc:470:replace_alu$12123.C[17] -.sym 26756 $auto$alumacc.cc:470:replace_alu$12123.C[19] -.sym 26757 $false -.sym 26758 $false -.sym 26759 int_tmr[18] -.sym 26760 $auto$alumacc.cc:470:replace_alu$12123.C[18] -.sym 26763 $false +.sym 26616 KEYBOARD.init_delay_cnt[0] +.sym 26617 $false +.sym 26636 $abc$51270$n1392 +.sym 26637 CLK$2$2 +.sym 26638 $abc$51270$n35$2 +.sym 26763 $abc$51270$n2579 .sym 26764 $false -.sym 26765 int_tmr[19] -.sym 26766 $auto$alumacc.cc:470:replace_alu$12123.C[19] -.sym 26769 $abc$56607$n667 -.sym 26770 $13\int_tmr[19:0][15] -.sym 26771 $false -.sym 26772 $false -.sym 26775 $abc$56607$n667 -.sym 26776 $13\int_tmr[19:0][16] -.sym 26777 $false -.sym 26778 $false -.sym 26781 $abc$56607$n667 -.sym 26782 $13\int_tmr[19:0][18] -.sym 26783 $false -.sym 26784 $false -.sym 26787 $abc$56607$n667 -.sym 26788 $13\int_tmr[19:0][17] +.sym 26765 $false +.sym 26766 $false +.sym 26787 $abc$51270$n2575 +.sym 26788 $false .sym 26789 $false .sym 26790 $false -.sym 26791 $abc$56607$n901$2 +.sym 26791 $abc$51270$n1404$2 .sym 26792 CLK$2$2 -.sym 26793 $abc$56607$n35$2 -.sym 26862 $true -.sym 26899 $abc$56607$n246$2 -.sym 26900 $false -.sym 26901 $abc$56607$n246 +.sym 26793 $abc$51270$n31 +.sym 26900 KEYBOARD.row_time[1] +.sym 26901 KEYBOARD.row_time[0] .sym 26902 $false .sym 26903 $false -.sym 26905 $auto$alumacc.cc:470:replace_alu$12069.C[2] -.sym 26907 $true$2 -.sym 26908 $abc$56607$n1955 -.sym 26911 $auto$alumacc.cc:470:replace_alu$12069.C[3] -.sym 26913 $false -.sym 26914 $abc$56607$n243 -.sym 26917 $auto$alumacc.cc:470:replace_alu$12069.C[4] -.sym 26919 $true$2 -.sym 26920 $abc$56607$n2618 -.sym 26923 $auto$alumacc.cc:470:replace_alu$12069.C[5] +.sym 26906 $abc$51270$n1607 +.sym 26907 $abc$51270$n1609 +.sym 26908 $abc$51270$n1612 +.sym 26909 $abc$51270$n1613 +.sym 26912 $abc$51270$n1610 +.sym 26913 $abc$51270$n725 +.sym 26914 $false +.sym 26915 $false +.sym 26918 $abc$51270$n1613 +.sym 26919 $false +.sym 26920 $false +.sym 26921 $false +.sym 26924 $abc$51270$n1607 .sym 26925 $false -.sym 26926 $abc$56607$n240 -.sym 26929 $auto$alumacc.cc:470:replace_alu$12069.C[6] +.sym 26926 $false +.sym 26927 $false +.sym 26930 $abc$51270$n1612 .sym 26931 $false -.sym 26932 $abc$56607$n239 -.sym 26935 $auto$alumacc.cc:470:replace_alu$12069.C[7] +.sym 26932 $false +.sym 26933 $false +.sym 26936 $abc$51270$n1610 .sym 26937 $false -.sym 26938 $abc$56607$n237 -.sym 26941 $abc$56607$n2575 +.sym 26938 $false +.sym 26939 $false +.sym 26942 $abc$51270$n1609 .sym 26943 $false -.sym 26944 $abc$56607$n236 -.sym 27055 init_ram_cnt[5] -.sym 27056 init_ram_cnt[7] -.sym 27057 $abc$56607$n734 -.sym 27058 $abc$56607$n2575 -.sym 27061 $abc$56607$n2707 -.sym 27062 $false -.sym 27063 $false -.sym 27064 $false -.sym 27067 $abc$56607$n2704 -.sym 27068 $false -.sym 27069 $false -.sym 27070 $false -.sym 27073 $abc$56607$n2706 -.sym 27074 $false -.sym 27075 $false -.sym 27076 $false -.sym 27079 $abc$56607$n2703 -.sym 27080 $false -.sym 27081 $false +.sym 26944 $false +.sym 26945 $false +.sym 26946 $abc$51270$n1257 +.sym 26947 CLK$2$2 +.sym 26948 $abc$51270$n35$2 +.sym 27061 $abc$51270$n1617 +.sym 27062 $abc$51270$n1621 +.sym 27063 $abc$51270$n1626 +.sym 27064 $abc$51270$n700 +.sym 27073 $abc$51270$n1617 +.sym 27074 $abc$51270$n1618 +.sym 27075 $abc$51270$n1620 +.sym 27076 $abc$51270$n1626 +.sym 27079 $abc$51270$n723 +.sym 27080 $abc$51270$n726 +.sym 27081 $abc$51270$n1621 .sym 27082 $false -.sym 27085 $abc$56607$n2708 -.sym 27086 $false -.sym 27087 $false -.sym 27088 $false -.sym 27091 $abc$56607$n2705 +.sym 27085 $abc$51270$n1618 +.sym 27086 $abc$51270$n1620 +.sym 27087 $abc$51270$n723 +.sym 27088 $abc$51270$n1002 +.sym 27091 $abc$51270$n1620 .sym 27092 $false .sym 27093 $false .sym 27094 $false -.sym 27097 $abc$56607$n2702 +.sym 27097 $abc$51270$n1621 .sym 27098 $false .sym 27099 $false .sym 27100 $false -.sym 27101 $abc$56607$n1471$2 +.sym 27101 $abc$51270$n1257 .sym 27102 CLK$2$2 -.sym 27103 $abc$56607$n28 +.sym 27103 $abc$51270$n35$2 .sym 27189 $false .sym 27191 KEYBOARD.ROWS_EN[6] .sym 27192 $false @@ -10597,10 +10452,10 @@ .sym 27373 $false .sym 27375 KEYBOARD.ROWS_EN[12] .sym 27400 COM_DCD$2 -.sym 27429 $abc$56607$n1018 +.sym 27429 $abc$51270$n1418 .sym 27430 $false .sym 27432 I2C.SDA_DIR -.sym 27459 $abc$56607$n35 +.sym 27459 $abc$51270$n35 .sym 27463 I2C_TRANS .sym 27519 LED4$2 .sym 27522 LED3$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin index 573b30aff2331a16ed9887d4f0e8404dc1354c08..982fbce2377b09a2800179b290aeab6e92fc9f05 100644 GIT binary patch literal 32220 zcmeHv3w%|@wfCCY`<$~+a*}K?Vgdms5M#6v50YZg2$RPL*wPwXs!`i|1Vj|t28)&= zdM5!9tdHYu(NJwYRBiRE-o|QM{I0c|M-{Iqp{*@ys|WD?xE`yw)%v>sHG7{VqW#+Y z{qE!U{bXro)~s2xX00`AX3xythxdryyZC=^xcDRzv412rPkiz`%JveCAR&nG|9>`o zh)6f=!;iX3{7xx3LfD|HB)-Un~9LO#d-zDr8TGndVG!LS$_E_ZU)T zIfs(SYdWHQz(*8tjW04RTr>XH;Db#+z^R8(_Uo8y!s-utxH1&&4QoyBYaTUGp#x09 zM4bB@3v$%1+Omku*qi=ng{Mg_Ou?H+e4}rGr8O>ob4Mh^W+|GJ2)&&qx9%bG1U3KC z%2@F60&_GI#oS8DOGq)T%>354FuWQppu0RrkJ6*hx#%$@j zy%{&OAU|-}YkVe4pn@D>kOdl&Wr|FXhWCowPDlN*1rl$4)`Dogp>J*hOY|4e+ zG(@$aXUd&4G6CVv{r7Fmk>gXJ=uDNPihZmo#wNkHMAi|3FjW5WoikV+N7b?0YPcRC z0-I*y)WHaZ#{E%b$WQh_BnT^rT5XMQ(|QV0hA~OytHtv`jK3;Z$1ZB59cXOyT#5`uc7uMZ*Ms&gMg zMFw@ufa=gk;cn3kt~qsVh^(P;DB)~(+noiJiUx1e&W zKQ=(zWSH3rAa)!b3ABx3L?!OUdEi9rc;v*a1=)hnahdk(9+rX7t|@S>AuSW{{82l` ztLjGAG&K|lOFVg}wL}#Z7-Oib%dBWX-1rqYxiDN;a_oVzkJOG{8r6O|hDViM$71tw z|4h0i*|WP1h4>`xGLb}5eihj$ty74H&RdCkNuC4WkbgxTGfZe=6&S|a=yldWB-A#b z>|I7Pxu&ZEi96vPjzdM1I=xLJE?Zfop)wHV;d$T~`&B8-^`|CTJ5k0wp^{3naq00Q zG64bhQw#+Febg=Z(nyOFb;79G@C=Jt`W+Bc>RbmnoKUOD**7-}JOrUjU{DyB1|;eF z3y2bHy99)}^hzk+iqvobL_&5cw_Wn75=6Im1x-dFQ_L6fK>2l|#G>CoIu@j%0c(L_VGj+!xFz?L2$5)Bo zOKvcT(YE?mb51s0{dlie?$Mdcy9JhrhDe2}`UF-h`6#5wa~97aXBAy_8w!4V$1h+j ztfqac#SNT&b%-pZ#&u!7&HC~WM~DV*+-}0^TyyBDJmOH>rxpZ9+|i`cLX=`LZvWcy zAH$7>ON}oy-N&oC2RE+V;Jyav9Xbm6Di=ZdQt zTBf}OjcMt#hH~b3?ZVPG-^;vB?$&1x?Wb^fc>N|hYSQ;KIBOu5o`sU;h#+}Q+<-(6 z`kVp~mx3zg_6FeDJw)Zxd2z!~y!HCqZi$lho0!YR^2Ja)f3>eXc$&v9&r7&UfZHdR z&f7gYQji&2B`tE$tq(V(q<~0KQUE})JCS0D&6Z(TvoRj97i zgwW8e3ekYX>OddUDTAoJnmkQXdqVM(PtjU0QE9st-~@DvEr+;b;k8&_tJ`-Ut4$Rc89c~OBSKRYy0AjtYrjwJo)^MPVesw z;?lYQPkXgvoN<4eLgj7azV?OThEv_-9T>?rtmdwq-u)K)wQ%=KSUgRA{MW-o1`hn^ zrr^Cze#f4+vDXJeY`o%6y_E85j#~mUMeZzjXTn$#qbY$HDPQp>T99}TqB7Jmy#Oz= zhX%p50Zp=JYq{@Z4extx3(@z>Fa9!z`Y_O*Pw6Vm@it071ix3k{*z@~U4Phs<%)!Q zi5#>XdDYt8q|VxR#h#q!pI(&~jI|oWlZJt}qO6<0{+bam z==;AFIcI(go<9{WM1yO{U@)6l)RXE4YfMCit8U+P35`iGfu@gpsLI`oK1IT ze+3zXTi%vo^X?Ftz;#92k*h2f?){ z3&nGC>bYD5AmTF*J5=RPyVMXZ*c*(?9Sy%g%bRm8s1NWEUd@cyVa|LvZ-}a|c&rze zRxW*6GZmXDuLXU|#=s5BXuj+n_SJ|R8{yobJ-&kmtH82vhqJ|?nqgK7t5mZ$_K#T< zQs+H7T7+JN`~uqKV7y}|ZJhr$>3v&H-0>M6Eb6w75t+K*Ud{XfgKbdSQ%>J+*BA`X z#7}b&0oK}dl$cG*VpP)7^sx2aHyYlCD*G-dJ}CaM8e2sX)cKvBlM8v{PC%VyYo}t1 znxB-|eFmt?`Vz?W8QYT>Q_I+b81>!e<*&h)<w{6{Mj&uP_(V#;hk>bH_cjTaW*z?$vjHBY$;Ps{iLO#w!Tak6Rfa_86|TX-it!@#bXCl($$5AJ3i~Hstk|p_#Ir?{y(NZ0P0b>`AoI z={dOOAMhNfXU={stbrW>Z9pqRP1|CqO?}rs1-n+awb=F2m-PJ7^`e8BJmHuc61sLT z9wH9)hfS$z$~wT z$P%d;FG4-MT}u^j%`{|clt#Y{SB#NuG;ioRbfxd+b&K>^#iS;%m7vP zfaZd%;UqcpzCBorc2}fD79+l5Ph2z0AZQP4;DuM`&&G~KF1;jo1WKyj70xA>^^2@a;|?o|G^mW>A|miYfjyX=OVT186F-)b4766V? zY=u53P0Fb;L?fR~F^R?uh&EE0i}qkYz)+4Yt1@nLatFe~!@@uEGj>b=aG|3Zn)-*jrGj~t0~s$J&K-6kfZa33PzNROaCInld1q@MdZ!HU`nf59{SCuWyqR1@=}!_J zP2F#)2JFAuzE}VU8e(uQSdW)|8~8}RxWU{n=@MCutyDnOTvk4(L{nKLHYrFN#R;~n z(z*teuockA0NB$S9G|}EZ{wh3aBd<+I#xZvBTg-?F~wA(tdxj=3tmRxNP8Zyp(Sjb zx2mB5+Q-)z<|i^XrGT|W_bBqQ0p3goyIaAc>i5JD{@bk#@H%O$CCmeJnpdSN-)VuC za?8EBP-H043C{R+6QhO`Wqmf*YUv&uNbai$jZ8`YVZJN{8lzx)lJQFA#Dwp z8nOSvT#z!qz!e~v zKf>UKw!=vbnv>x;m;a;iw?zIIc-(}V|7}gH5ZC|TfZ|oa9z~`X?K4pR`oLPyB9S?o zaY1DUH-Rus=!lceOit2DNJ%mA_duS29YyZBu;{wvk)#143HAdC8?1xjFG84=Xw}ta>{m4_)G?{T3QSu zeR7rzVA~Jl48zM=x z9nwEYX|s1mv69f2&Wi+tc&i1Gd4xQZU#MH1!sYM-w$cs?JM1|olw zUxy`b!0u$rUUT8?40F4HqpS8VpX7p-39_4Y@j`9|glhteDCQU}Y5P z9X-q=!;3sN7t1Tqwi_B4E=T({qK~C*^-$tkk(7++gD$mN4zU4CFXGPObVU*?|Dec; z+*EN@1g?A%SXrq&)G&pgH5RPMp$#Eu-HQqaDT$jDbFfJEDtrlt4hNUs?sDRbto4@Ru9+50Gumy(foy52AE`{lPVY4 zdaMnGw88TYwM??YK|Xz!KQvzMoSo%Mc!*c-17=us8ixEK&w>+7dEgv6;2C z6ST2+ZVhnFhgVwuYH29hV@0a@8OlVQuKIjhlRNFr#)}L{K#g_MrJ*?>ksol1oBME1 z+}p<`zDQg~fcP~Rj|osAPfWr~ zj)|4b$Y74e7Zv%+snR*E6z7P3asEo2Bjg&t6Zcc7{&^F-bmCco?V9=P|GcgM4_%Lw z0D!&W;+QA;e2AuT?x`cmDg*N27ySr$`T^!63?YLGYkfGkfFy$0jXdI46tGbhnm4D0 z;}ZYdKh3QoSd0-@OL29r>>%=<8%q^V>8>K@8rGFT#dMrDV|A$*sd2_Af+kunZLgWZ z^(`kfI*oO1BsFMaksmwf@>~#=L~zXSfyS;p=-=4G&Zi=}wz*52!=OTGs z+s-l4$j6yM+4BA)Sxg8M<~*7MR=!D~aVj0Y!j9^w1)l^Iq5Q%f>w$OPZIFts!KyTJ zubG%bkscKw51~B>7q@;cHr18vK&J3#SOdJ$7S?{oS9-XdYrtG(N?d=l8M-zTJDnPE zO78`kYm_Iq;F=6!{ttLFMLmtBe1!_Oam-2as@7otw%wb2G_92#*?00rkgy{d=LuuyzV8!Od>^D&yl0P_sYjSn%ZW zhkKcy;!cQ11Lx?yL6*oQlLZ@>AW1&9h4#?64A2OsuiOK*nmK+INO2a)P-ctH(>E3g zVsFj6P>y_ifcjj6ju_FJ9V5>DiRN~Ilz1y>^{MMQ5=!fM#_9xagF1!3A~ZMysVB(v zii$(Fd3aAGiX#kn0?L)mILQ!Q7-*cz54tBHe@|u{`37VfC~LtC2%I*IGO7V$OYHdq z@^Mf6EI>9vBLQG6rLW-L{FKl`K*bj@A?eiDZfv2F0EX+(%eM2t`64%CP+Nn;9w^{s zNS*K^cD8blQ)f=G9qvph75KiO>zLFyRl9JZ z3WQydDb6En0lQgj2GLLTI>Usot;9HbbyY;)xkXXQsvuSfm`-$rvjrj@Gd}hB#2`*B z$9x4AnpQYucRPkYKJT@x%#u+ zxY4R~UI1>QxGX2t%ykUq5Xx~Wt6upz(Npbzp2VXA=VXyVNOWHaJgw#h{Sb0+`6jNQ zLoZ@i3_{JyjEz!`)49ZPNlUNb=g6L!Q=ug{5xk4iu4)R4M5{^Sey{|CQ{~iwC@$n0 z!C!VrzY#aF=f+r;b>f-O_{=I;#y}L-8dH!8by&- zED}Lfv6{X|6pZ4BHhf7r`~lOcbod6s4cu@mR&l!K+CxuBDKJ>uZHr8c3hmoSi#&e3 zEPX4D>oaTy`HdL^`xXlhj19FRYBly^CFX8Clri0N$7fRv;v7h27jfu|3%PWu@` z-FNOk*={YcbLvM`FhLJH_c*;-63(S`w9(`&I5N*NM5sBWcWX3Y0N%Wru&(tXP+KWE z?{(6)f?_YR{my;au!z*rIiwZdZh_u|iO(D2xODoCaS@Ai&%PM8GT@nxo=kI9&kfw= z*sXua*`@bR$-5>R8}ui127=QBNP&Fe+Zv03Fe7B3nxN(3)Bro|B5pMX(~t7?ejTOYFgDlAIvtVCP2MCIY9zB6h|c zl1ClUCOaXQtgwZM4Hx+5TF#gyJeNQgd)d@NjkdBaMJCRjnc*RihYBAt1(~&uDYaV0 zKemWpA*&*{d*pLgBnE<7j&3ap>EMt-osC{dV9+>XynwC z+C@C&*;xQ66XWBPn|{?Gq@17xud#Y|eTht4^4Tx@#xjx3JS_uon`}3TGcBof%ynmJ z`0Ln=H^LCsOO{$L>O}^zNK5mGOH~IFwgb0!FT%GEI*!dQgC9&x9}UY5;e(Y#au}eQUg=0noEK&wdAy+?I z2V*Y-zvGQ&CA5>rmAQpbWH(Do)6-0vzdegW<#%4(qfo*Qj5`8Mr%5FE0Wj^MA8&@Q9iRXof5)WdHrhrz0*6YI zRTRkk=Kiq<5#^oUhAC_`Sop<)6^WBlLvjjFyrgi+RWH;*#O?DImJB zgYxJg!OKSSnF|c1^ykcDhXuHcYqWfhNp66OSR=dPgk$l|B4YH6+Bbb#>0}dM$GL}Q zYRieFU|@s{fGH9wh?M)R!7=YN_|ltBr*>l44Gw%=-~eRUue81gL3C!utMX`fg54nV zF?+@zA%$SJ|2{CbD;`xq6mwzWesFzn0VdXNiBm zul}mk31LmxEk7*(PRI=L@h<<8=vhMI7Rn8eSkIW@oc5bMCU`WVwX5IOi2zHXa&HK4 z;1uo!OadbJGoXfB)v0creu#FV3Gd7;Xy1g$ur#cC90$Q6&?-86hLyPK^W78sYbkjEP9Et+|i_3$}Ta`53xgOjsRc%2&W!=I{Bu2L|{29zBP$AtS#yO0M*1pN7bEoK;khJH=u}I79%dnf3+b)SZQnX zRT^XfPJ_XC)|Ih&O<#%zZP(AT0yV+y}4>h$(nm}``5!fkfN&_cnB>DfkbraEwTglHa>|}+#qxt zSY`&-OHbs+Qw_f83+lQH^w5hvSGV${-pZroDoDt(PQdw+IM3LMwnG#`Vpl~K8T9qm z0w>_p$FA=pVXck0rY{N2b?-}VOp)7lwW$o!Xz|m#)R_zL!!QvTa)KOqKSVQHi0 z($t{bQ^;%ISx60?Fc)i1*7#F>2)n6Nwyo*C(kzz+Obj zOH+ucSIX#Hkm18W4KQB)sG9u%kS(u2IhK+CGf5$BKlSd_T+;Z1C`o_lFK}o;bLYWD zUxzR0pyq{4IO@U6SRx+Zi=;_F+aB_w=eYC8lN(G8_LI|>B5)smvD+YQ0QMc$1o>o_ zEit9}qUF|KAAg2Rk%=ZBPQV+`knMjn&HO$RLQC z7u~UzEe5a-bnIzS361{cAMP-ucsr&Xa-P{^G#G?Jg4swfy)hmtg|MuTM?Ae|*#fRg zy~)NYtRn`JRpRl10qaW;%T8Eo$ZJi0X#B2J9_i>0U9A_^Ud2>)cEU42Dg~d`JSeqVNnAhXs>!X zhxZY26<>ogh$n4^V~XHx`&XX>he56U1b#py97JKl#ls+2ORna)oct_meQ|5(2uTww zC=Xxy)Y}M&nyTK&b93;@Hdf|=v<)24+EYFO_hMfGhxEiZuS6KyZDpQ4CvsF1#U+mx zjWyTMB>cjO^tfqj#dfR;1UF# zyqEq(AKi_(xL_rAZd5HUBVI43;3ExYDPheR;FEF7$=!^FVwQGVRpq1n0pMb<1{AIq z8~c>SSatls9!jmzSa5|L-R;|foPrE>G`4eF#K_{W_Qa~#0>{A8gZsnv5n7^*Iq&oo z8PN;@f+aPmjbXU3grKZwN*c`orG>nWdB*uh3oLc6Qt0jh41k8=n{i1)?j5tXW6=tM z_g){peczD1*U-CzuU>N(0GCLY7URp$AC9HrkuTUO z0bkxFm%|q_h#2{>;lgV(sv>qO}QIq)Yy=knM zUp^5R-P^&s^OVM0kUbo1>Ismut8rNWA*y0e=Yf~}>4%2jV)>0H-M%pJAreH}3HX%l zUdfv>1a#~MtgP_w&O^SXAm`x#ocssCAP#W;rP-+P=nj4zgvZ9yY50<+)FCnWgAk1# zwO`r4YQa&4oZtSX&})aQ;usB&u}GuB{5*ZxstsUFdkeh@&j#>__=BMYZC&!0qR5h&batG;5X#}hx`81}#Yd4(wK z#qCA0%H|J8#SBWR<$Ix6SwHtSx9)zdbpVVM$}ZLBtwx0Id@6WC;Pazgx|6DVOS)h! zdcet7X=oZbs%u*gj3$uAu9}ai$RFlVkcQR`^Zqr~D${36x zr43HRa6)3G3-8UX`?(q9#GF8(CN!`UWUb>ZE83x9Bp_5ug`FBp3il%+?$8w55h_Hu z47tNR@&0?*o!T~Mk-D4h81hq9SQDl8>1}aGF_TM|4Ax_x3>-gh*J+3;iuB~k24rZt z8<_&UiYf_GOUnCqZ~RRqz|TFt+R6k z7f9yYa%rZTINDZlm-f&lhn7MD{B{aa9m}zG>q{u<&ciB zB1xROm}!Hfd{|nOZE0Yetq28>y7iKqjV0j1jB|*@(_|1ZcOX(nWjjs?9A0r|rFEAd z(K!RIh84MMt0i6l?HHotl>-V^Bwj0Yz0g?{sX>M>@$Y^B0YuSc4Oi;cn>K|<6ZjHw zNgS6EU|b7~+OI4Lz8qkY3RFoxi0R&cPa=z<(7{B%4(M)Y!L zF0z8n7`_CniRV}jYMgHrrT*a9nM*(S4VNucT!k!qa)-eOpwm}$_Gnnu8&F07XjZD_ae|B>Lsu z*9}#$;W;)6pbRbOufU819AT8WSvOleOwys@1|^(4CN7R_JG;}NLvy87xsZ!fO%z;d z^@S@$(+yV3&&20_1`FLgWSAv{Zm{BX9@vsDIVaR|dRylqn1I=0xY^p#9f`0Fi@)F4 zon&0M#Nfs+*l6Qge0oi7rxp2-%N498t|KxUc0TjZW~fe(6JU)DK|8O%1c(3*VrjM+;3z83$RDenMbG27xD} ziAt!Ce6Sb9lrZH(GYfTf!zNk^6Y?Njg)eA@NZK9=2s4O`Jc%wLJ`ib~p5tp-**(l6 zDSmaxYL|GRta%-i46V?di^tQ3b9s%;Sd0Z|&RR0eSiotTp1gr`a;Awk&iOv42$lH9 z!EnuWNF~o>`M8~tO78Gk2L2a$kQsnmIBFppOQm*sHujup&=_}+TwN5JO7P4wr)Z*i z;q69%zIWi=lvIOSLMz}|+h~bn@SE$}9utN(-oV5mAXVIb053YBriUZH+WvA3LuAk| z*30tlV%J0B=iicmkN15;V|-XS&HC}r87&y#u`;f~>0H6vC*ZaSfLDp%M~2VpMV`w5 zm%L82LJbP|H^1;^rwD#6;<8v^P6MqC$t9SILY#ma?wP?=dRSb}21S@G?@m$GZ|A-N z$}f*WLR!?*r2!vTgl3A-6yTN4n{+TLrO)OJ3TKp~BM(a`9lr&YEARBG3}~k8IgJ|o zG)E;s+qDy4g@5*5R@aQiznaHth*L6187l&d6!@F`1I@oop~Bd)=$a`O>WT>GTgRZS zN^~p$hx}q8;Mnj(Uqhq~DrWOFnJgp6Jgbqa%{|d9sFU}h+{9a}B4lmzac!CjCv?4l zRIyt!=t(jy1YZl{>z~6X@8w13_TP=w97Uzck7ano_$DmW1C@Db!21;ioED#y7psw{ z*Zjtif|mAH0|b6;2)01reomu&?LZ0t18@Ktu&6rx8T?rYNN6hmOJyFOjV*6RWHLLnnO z1fheiIOMfQA*n?B$}p~B2LwBWOrc$A@Ghz>UF?iMHHx%=1jR;?(#d2j=R ze{1p&DUA-D`!fFvbG(ZNSc0e+)#AqR53GcT8zN0|3noPy6RW(NgBU-GE1T5=1(sVU8IQ&e%1u`iM97>1)Z;6rwxkGl6~a_ zK+)d9%*{Bi(QD(^eEeu7oyV(80CKE?-#DhnZ2rsAJYq3~)BAif6^GAX7>q>Z;6=Ly ztjBo7Ia}}xkPzSuvw=POnb#ZOWbw(ufI$r&tyf~bEZw|2?IRWeE>@*!!HB?&IsCpO z`Nwj*@z9M+7d~;|6*U&$I~G9%SHYe?e|ApYWJK`GS^ElGP{PCuIQUhWA|DKACsZ8w zcAkg&reF8K!4X)65sM+n4uP=f`UE#eE&MPor#xyU=4sudybuX#h=0bc65mt4B zajOT@QQN^XdJdK6ENdr?iv5n&c>7oXG{Jg=BZBluTgzc8p0X&ynL#HI zEW8XjL&7YyDZctvijI2PdxPi)BVWP<1lZdi#Vg5*9;r0`flW(3SgQ6Hdu}lJ7Y!OR znn^L!qkVo83tvJaJ#LDN_s3El&O1FMOkb zrrv~wsIFAeH+ij8XT9GPU;`LJD%D@6B_`xcD^X>}(k@nuyG2~q;)9wnE;UXpRV)5N zq-nu@_aVIbA$z^$Ye1p5Y~hWUBPUV@OA2>Q6E48I?}}Bk#cF(2VGzonXL4}Dt9j1h zX1pls1TLNYEOc6_vgN$NoJTXxBQt_}!Q;^3pZgqhhereC(`+gF&IfojT2YQ=$kxvo zr1JxZ?U`fq;)cxOe_PZ-$X_zZAC@te5gcoC#|A8;2itOt_S(GIe|*38H$-KZjJtAt?7}4Lae^K609`+wj7;f_Z{)_hFgGei{sK>^rETaBnQU8gk|7yD}=51lv z?(isoHp&l<^5QG7LnHe|)c^UB{l)&*NBq&V1+g2-l~Moe_p|@}sQ>->_m>y5zrH0p zf4}~V{x7z-pPa!1#r8#eVp0EBNBzsl{`FD+MgH?6eeq?N_2bop<Z2#BuF#hnmFyeoI{QdQIp&iBk z_m98-zW%Wc7%T%HJ`Mi+)cBw7KN`IQ*#QrHG(9jv*!@7X8~AAY;DFT!Jn)hCK)4_H z$h+Zy0}goLqwIkZ{B<4<1_$7P2M&1PBkX|#`+<+};2$vkfCoYkjBpO@2M)x-0S|oS XJ#b(@@R1LJ0}eRgfeC-wEaLRy6ghBOs!sHoj=I zR40HoR?vgA3Kj8CU-j0jv3f1H54(8?SgA(aDpjioe4w{#V|`S;TK~T_vrhtE{p!8n z|GWSH_sP;TkG0mUS!>Oj$DX~zdqnSD_%Ew3`~r!@m852i&z?=W#Y9yk1QGuKzYQNC z(szA?gIy&DOCPBqKZq;tCzd_L@d5o05%(%o zGbtd_q9_g_JMwt;uR1LPVGmI3d`Z#J_^){VW<#`dV^g#TTY+Zkm8}*LEA5$*OS#*; zpUm!Uhe~g^{SJwI=oQ1Aw{PA|1ije9;h(xO?KuG zry=^`67AFY?$34st?;ujUY-G;b5Z3vWk?j-5FpXG>s>WYv(<6X*e)Hi7K1DZ+y$ zJp5{9hk%;zhyNnd7q`|Vs+BZF&ICK!i;~WjjNLen#a_C4{q%@v+~iMpFp{VYKSOnJ ztAsQEh?-Ad_d==-mo&j}n)B5skODgLzN7+etfs&-j3@+No_x=g*RR*8j*~hL^=l;8 zNss4*5||7#rrBvwTmEB&zbLdY8PGuxsY&`vTHTtYf5d)<#io9&mXw@#k<+r=NX?e$ z^6eo}UkYXGR7D-vFPslU+kMs&CPRHrvo%Y2_KYwP5AXx39Jz!2Sm8evi*(#ARI#0T zQp_fFpmgIMTNMl!v&-LMoCPbGuCQgpX#>qbu#H>^Pvl!Jn8rh;@U#9;x6uH~RL+Tr z*s}1YJd1p$F3&gyt0mePs!bR}RFYL}lAwi_lF&iyOe+$aS>ct;LDrY+U%!>*$h$dp z04-TjKhRcWtYvxW@8rWUoE~(BgGqy{j>Vuyh=kCB)iTkDOl0fh5hofGF%`yo^!aNH z{G4UO8w1*J$(3k&@T?3g#?WS$Af3h(@4O!MYO;oRl&xpO+kfyA9zH-X`$=piT1+&m zJ_lpGH6W?f@*S|$)X0M6gOz=je~w0$pvoT7_>ZIr9=hy?2I*5fYit3nsX(VGTI?AQ ztqYVyuy`i1wp%W(Ab-p$JUk5joK(-&obkB=gJ#Wdee~7_R2mv0#$mWvB#B+iLY0bm z62ebFdQhWQz-j3tF0g}3WI8|zPqV zD4%9vcv<-scVC5C(>NV#c_~N$Ue$>Pc*SaILMrIZdq>ZgB#!=l6#EiP=sei~`9_IO zJNg`>DRXy?p&!>!wj^tL%AGI0f=@Eh^*2~v2hoCLru>lkut&a_M{5U)BH5ALFGJd^ zFziglHW978%7ki?)FrdHMkP5;lXNI+ndU`=ya-7nz4xQ}3h`QB=!|V_hbuo?TvtOhMRAL=G=7N9Y=9e}cBFWRq=mg6^Jx0^`Q#*$T?()iTYaEjCNlb3m~k_?)}r<2^yGthF(H;K-o zfmB0-geC|O8!zw$L{f4gX=L1A)uv}w3=nPDO#6jMQl>VlP7-b3t2L7-g3@m~8_0p&!7Z)HBn(54Tp{Z{rRs<5CyN>45 zz_Xa+%rFQLslDjTP~z-TXCUgd(|+24HMXQ5I*XINY;v08_0EAM{^$if*g3?dr1Q={ z4&_z9bM%%zGy`Ou;gra2CNEEKGOi`}a1W*6*=hu^S4e8ZSmWJ^cumu+f5mhTzboKk z@f{f6iUna9ses1ioJf&ZJR4~L-}%D2V+17?EoDsrK8cI6No~uae3hk$GyVT5{ zSn?c&$%`pDDc|99arjmfg0avL#_E$dv;1m|)7bhWnnGO9DA+nXKHb7)y7@hfDsGvb9V7I&<`X<&4@HVmL+yYz*C|}df zBJY~=W+4t0qN#sGH&DF6SG2~PWFP`y?qn@@VH0S#5@Bq1>Wv_jkF52uC)_so6uYV; z^wE4Y`I9vJ!@(g>I$9OKyslER-DROR#o)??F!Y_kL+b^FF942fV@MC9RtC7>^? z+Ce6b9bW?pC^-<+2CA5tMWq(MbdtJDaWIs*XK`eWqNEQI0kmFm*^3INkf(3Ec{JD< zJUtE*g37M#=Gwd?E<)D;QHoI@-Q;Z_P+SMpV>Sv+Z}vbd3Z_>SESBg1&V!j1J;+!~ zN*4Bi<4gOY!76A>zM95JMXAzN>?-N~9jRr6$d}FlrK_g}(Mbod&4<09;Y@kwwbLZc z!(wT;4)IhGehHzpwp*j7CT|EV1?SDzBTevMBT(=lWamsgO5~C?Po0H95i*g zPbFI>g&nSMU+lhwAd>1IMidwx4$CM?NB(jf_OxlA#i_+^*oM3_S)?fGi^!*jaXdDF zO!;9w*hLT~N!ya+#}B_$MX9w9FS-(p@ORcxPV7Q%nj@UgEkd`^14$T8C9nQgYPso` z)*6x~VM1T-`dwtXkd&4e>TO*9FlSL0xF-tfVFLg(DNZ#f(>AtYWKp;nTNcTg^IqV} zWLo{u%UC02O1UnGp7)+!i^HIy@~38Cy&Rhb8-u7jUL$L3)>L$66Ey)NCoF#+8SOTl z!h5S$n26~=``(;3xH8=vH7^VBLMeRL~N;mMB!O}Ybd*`Aiq^RW|vvzn^du0jg1 zwE?^tg>1-Ln?A0}bmbYRx7XE64OUyW4pPU#$#0wnk5;C ziVnMVz_L){Hk;$@WgLS0SFRXRyPtfr925m>>osGAP74hdTfU#YP6?1PTkbNE#h(8c z3MB~tbOrmCK}3*_10Qr7MnAGBB+}%dI-upmE)Hx9o-Op4ur}6W)6v!_jg|G%c zo^AYD1}7y$83`&$9SGDJst>_4WX=aO8sZcTRz{194LHrb&96Y1VT|0;fSJ@-Vsf}O z$}8`LKE|>x;4^f@d|Trr+3+$>2sFSW?oW@#FChc7#p)aet8o3i=R#B&3Uc-W9Flqu zSexU0yn5jU8H*Qzj8LdkH)1kcPpAjwW>El#16{W9v>Qm6 zAb^%L@^tu{eb7YU*1$U_NUQcDA2z!5#992z_8RNInNlrFkbAJ1)PsaWThnIl5Fo?d z=iSzYhm{|?# zM9F&~l4!jEx+dA(2NeN z-cB`Ve7g_q+dzd#%$Jyrv!vdhWtXggshFPidx;EFihN&N_6>8^ypDpRer+%utb1Sy z@*HF>?K&u~gKgtZ&cb9$w2&^wiyaKmn z86#1~1rvf8ELc7bM!rh8r(iVr-v&FVAE{IGk+}YcOtBx~3kJ=}o@K88ci|t2bfNj* zmHH3(qkljjB*)qf*8e{)s6pL$8Me?%@-AA6gPsXxh}fQ*VH{m#WBV}W&ct|z zVQm1i7TIbnN)}Qy+T%HOYvk&~gq}pUyaTtMR4uJYreRKTwt*)+K;SA@Tk!&~rASUs z7ezX{oHUSirJ;0(Elzo12*ag$g@g;WA#}Oh#BgMF^S9tgHBK|Gc+a>tXK&g#3d%%| zAx`Ugx6-as;YF-FZZ3F9m$vLEoX#`ps92Lzb10SsfwfS5YCCGGNF2W?XdVpJ)K2#E z@D&ASNgmJV3djx;G}@pfiy}2i`C=sCUjWuf{YmD>F~-_IloLV5$N~?I(3KZ3IfDD4r z?2{tED~dWQzhY6!xx7fL7TFIP`3 zEw

ta9ztD7M$u(UjTKILKB9I#KgU&_`hpsq$O)hvA z1FxnrRIKHt4l$G(LZ`!y2f{Z@UnchyCVcvGbs@o&I;&CBEK~%j-!310R|^| zP-GNv6AOx4mNXkzW2$|N!Ameju)&X~q;d?mMn%Ta1|={}=9NM=;W+Ix2B0_@5?SYz zIN=tmRwS|zY0wL zDI)?LpWx4|6^T+Y62p*i#Ym;pz90!?1{2q6iPvI-A}I@;G z>YBEtt>q~!wh*}imlTZW*T9PnK-GfZIfpT#;G__hn^oXRL2p%Zza?-K%QVW`+@ z2n8Qr%Wg64oCCaQ+(3k!pV5@~QsdX5D=D^KD@koZV>$t}JH-O`R9{)EbIwE-?Bz zN-kjZAwI1p!@7ERL56GFv*`(x;mZLSEdHtGvrvt+PSx7D^al@v@K~EQvl~mWrfIt> z^O5a~eJ3?^>+kd^ML7_YzaQvCM^}6?(mqYnIxwJvhPXthB1-a=>W{g+5M_*bYANAs zj?)aItYWP&NN#QE??k<#k5s|Cr2hmmhej7fTH=m*hXKL*M=PRH2S>>)R*`Z8oG2u5 zL%3c*B<`&r$xF_$4>#Go!KM{mL{D#hImeaCZz>5# z@G$3qHPFB!xE^{pAPrKuOrSBF?#^fNI`V;u6v0Uov!KMFh|FY&6bTZH8l`#3>)X%v zB9AEscE8ioXO@&1fMQz~;F?@lmQ3a@lZTwa6a_17N@v?kA8W_BQ?rPTVEwuY@S->8 zmk1~umwXA76`GbsFbLaBmb^AJH? z7dp@+%>gK`Nk&P{sP(_~OLgiJY=I8=j8sr*T8sg+yT|P3@IF;nRy@2N40U1~RJ3qh zdLX<6afz+V03q=iMuA24EYE616jgrTVv+nBBKh^S@!>BROJiPREk6}Li7kZWq|Y-M zP;nx(RFDMU(D_dTQ`cZcX0GqXY9_Wm5E&o={fB21O>2iQxt1fJ=4>9wVd`J@(?u8- zG5W0tcyud_&GbVN0_d+ZjSKn%h{-*VwcZIO)WskSB6wd5k zffwQ9Ke3^dZGGJ+%#+!7g&9;({1uX=KNU<+5&=_xcmCqj?x6#QT9 z=gEuGI@1b0Aw@nhQsaSdxf!J-ZOvl71Do*qh8WP`h9^XE^gNTRrQ)NMc!|l}yWwYn zinHMcRJpM~1saojYo|fHi`}UnCnH#q_YI)~S7nQ*-?dKD7!w@d>!V%!TVF(q&-@H% z4m1Qpq>9AJ4XphSzQJ9jCjBLYkr4K|$0xCQ|7#SVG&^wkDKzx4mf;&Ff`~B>+UHVA ztTB=$o^@igrh{3e@V#s)1&wcB)_?_4>@M#sFarg@f&qBF)$|sm(Pxl|s4bTZ8b$f9 z&o&6>*)K4gGT!AZQjq`68#Pg92;}%|0fy7ShMTcG(d?PwXk-}@FC0Q9l5^6xK$=&Y zqu+?x(XtKREJ44(Iz;}3vv~U80=F0IR+`fO87xxtQCe4b;h@~2R`EWB^X0Q^2Ed8! zt*i^Fc=}~H9YfVme$Vvub4)2eTSt_=`24SA;2wN<6V-2|ik`WCmcmx*`7u?fWa9BW zmb|V<=QsI<19m^Mf|~(en2*HUlthX(ydSPO`dq}k6bGH7fjfNE^GE^0jLhMAxdJcu zaKNw_d_V!0QqRt%$eup_HH%hEA|C8`#IbCZ^y94ALshijTxd@2Aq9$}3@(yfYP97q zaXCc%zTJa5l=49z1XLndDPlEU#P5%*r)VsZgM9E*M9S5T#iFwpCNAf<;)+$<1Jb!1 zKdPW7yv}7>Rrm|;rTR1^dq9okNoW|8|Gw2Y(fN{}Ft`!H*CW;lqU$qoRD`wCfx+1m zw6KyMSp-bAJjpr?+~F|~W0j|1Kv_=2q7_NzrPFpA49ATdP#=VnEPsDhrGW4aJO*nh zcMfYQg#BVh0l^^n@yXD&NY%O|pFGg}rG7$(CA+@6jydpz)MKz-(k7iJ;TfPJ@*DEG zVN|*LD;tcTPkVnh0-GAv(tZl@DWVUv5k=^m=-WF>@zKEQcWHk!1K;FCz=G3;1G=O< zEK`gNl7_RAOZRJ^0Ym3guCA_)D}u-kC^)8be59G99IC zA}Jmi2C+RdBQ%-x8u_)E-Li2Ol#G}m4Eca)VZddQ9?SmAclrl8-zhw{^EF8gXaT_S2l%*wQJ2)y2`CDO-+W7QA& zT#MG!6Pr)^Rlj64P?=PA5zawWXP5yTeiKI;-$cb=wj+qRJbojF8he$)!$7RtIP2fb zj}{D0WF3w=zh6LIK5m?_b20%cU<_qPv2Wa^>ToFMsj9BKcKl|h*bDa4IYWLYdwIH;#`<}*P?C{VSe1~eID-j3#D>7y9w+bRxt1TNP=^OWQGjaG$@U)a= zxb;AwhPtw0iqxowOu%uez_M)l2&xO{ zk!7e$D(?;th;Z~$N#cPO54!N?z!tx$0s|d1U-2wU+;^EHv0$J_<*6EI=mn{FtU=t$ zZ<+Z_3k=2x1%{4Ldc`(hem*LwN)Bx_31)c|+{x@&P^pt5G{Ds~sQF(p?|JJ3tGxcKor4M8?sFmv#A+q_jNNXU!fJfi%a`E7SzhtX~R*_qwE zUee?oZg&73)v|{a4bSxhX<^t`*Y~5~?R=iAO#;s&P_FJiXfj5oU%#^=))KA$ooH4N zPJSj!ha50bcZta06y2P&V(6U_!_E_DwwR&*s zm6MjQw`?}y;|?`Hz6IH^#X*QC6{z0aPa0_N*bc$DgRY$h&oDoY0!_6I1IDOnBor}V z^7a)ME#Kh78-9a?zjHNdO&ohh!S@g>btoUK$^*k=fn!22fM}zJ>2OLQu8wyvrgpUF z@alt0fW?OI%4E_81pJE|og%HJLz8>}&-{*)bV(P=5b4dY#<=vOA44#RlE$OHQ4t9% zw9~N%&?yP+X4&>&#HBF|&wO#owj8e7QAcc9$O;zX_Hn!Nct@T(8#KRRWxZvv-y+fM_(8E!4yRou}`Kt?-6AGC07yL-!W^Z}~RLd3DDLe86Y@Zvz+ z9ym{Q0Cz=?si(~2`Ln5Ol&pO#5@=y?$#vMdXnEi(kwHf7_?7M$py4ucsAk02bxy0L zG%nKnk$*6*W7u%7i+piktqLegX&+C5x^nmcOWxmW#Sgd+y{Wt-FQTBV z74K3E)kPyQ3X)9K4KhM3J@?(U)f!AGOuSKM1TIv`)Bj&}&1VNApp55_@6NK2uD%0Jj{>8OrVN zW;9MfCG1+%VG=ere10hAYt%)}rKPn71%)4~aK0^Y0MnXF4T;65EBth=u)U`_)CnT- zRfj-{Yufe;c!onAtLoyjSk)e4cB#Nz@a&-+~Te%yYYqLR{XFBefV> z9{FyRg%WDzb?ev>2aue4>(y(+G`)S!@uM(>owG!*pk?#86e}qntTPq?C!{u2Xk57*mp+RY2aLpU zDx$m7Ehu}PU5sKF^XiG+r3kRs7kIt1W?-uX^K>{OF|a#=n6_VCroGba9hsIX$)E8S2M}Pfu)k6_;0(8l$XJ9vF4mKUyr=P)agRS$s-`>)J@6T@P zS;ijY#*mQqdA&SVXvM;?5+HqN<+2MgB+oq7=Y|K$>xw%dw+DVp@E2uMO&Y{{LEexI9C*}#v)HZOv-U$%9j{!~~%N|eQ~ zvOlM(^0#NUYjiqx>w#Kxf$&!|9{FQ?oW~Sq(z95O1N;f+!d7f7a>V%s{K15skd(#d z+6^TQdl2yULj^L1vZrZ9nX%6rUiBf;0*0^j7%nAwCT$pjQs+nmk&3?Nj#c&%A8$`| zskp;1CkN4E!y~AA-_K_?D7=%sz05it#gw*71s`9~IaEJ-2VatQ{`2?-8OROauq%;* zNPGf;wT}l3Ah8b7qOj(H_ZjA<{PXD zAe3!Q$aHz|F8+88<0A4?@>d3S6u77xeo7`@H}keVeG9}))|7<(8zYD__?58+F%bLnQx7ZEy4Ne|>5h0JeX*A~apF7thUI60GTj6={m08r! zD)5ggYB98QRM*Te6HU4LU3*0#=##H+*`VNxr-cC(YE$~!QD46S^nS7_6Db{1U; z8MJagd_ktWpc_QG_5VB|-^%3hr}6i`I1>{fXow6MFe*4Nq6jZ6d$`V!erLsj9g55) zFL$wf>vmwF1%y&}6IQU2wcUE&0N-du#C%lgL2h~GsVe=+7dXb;%v`y zv4IUk$MaEBH*@Uv8P6hD{-2ACm9TBFSI|_%w&J0Zu$Ri8Cv*#>XX! zq}4gdVZiY4={*b@AwrQFz#Smp5WG6yw`+y-7!<{$2mdo98lO{WwA-HPLJBCa(HX1R zDp%)neRs$WMm=Y6!msH;%1}a5BO}8}3}w*LPhHcFs<-heyq*Sdm*^aiKU^zk)ux>B+5_xy~|aS23LU81Myt9 z+a>ZmR~)>2nf0X`k*H$$^NfZ_OV!xuB3r@}!#i=Iz`3v|Np#N<%V2EENg!Tf38|I8 zhfr_A*ZM7qMP@1XkOTwWeE_z-lOG#;)(XTFZIVMeaXY}}l;}gKp3n*`6#D~4m7!?(Y7DjmydQ82QWKBn*sn{9G^_1ElRe0C zB{lIFhm%x~`Vv5==q^t;YUqFEW7P=QIZ(;sJENyJCdMyBRHO2MzaSsa3~0 zc@S`aZIG(c{0@Lc0MhAe%IJph<|~b}Ho#GZ8M(O%XgDe;;jGfQxGWb+qmFD% z8U;j(vCN4hA&pHxYillPG5oF|^>hB);`X1O5n8MhNo5n=a>F<&?Wtc)=}MZAmZSx1pmq#VE(ht=A&$nY7)5@NVz-P5&F-2}1 zh5p9n6aE?27~1stD=h{89FonoP26VyYcwTgKXHP{<^YRmdv8 z(aALxY|q(HM>z)VO;|Lcz>ZZc5^sI@yo(V%g0wAwGUx!zo`Bw{-;DF6yy*;B3l_0G zr?RBL3*Q=K08_Fm!Z&_jxpR8tQO%+iy*4hrBV&;(92ZhdNGm+qjuj-r~5#(GXX=sBpyL@82~v=Gnj^0RbI^ z)NoOj(FhK1MU>Uj;n+NH3KHx~lrP04ng!YR7uM zFS7_`Yq$>RM#trG_G>n+@YmO{6p=hpSjwQ5_Xg{K8NAiAfDq3LUHr8WPtMygYT^` zZNTApZIqw8oYwH0gg)w$^trA=Nn{OI^R3}%#Vr|zx7JoibsMr;OHE?sYB38)ZvsSG zEe$dUf*oX64@g!8b5p@ml?5owTsImprbF3 zO5z=W^}msgP1ghvMlBL)m^`ujKUgjJvSt7(ymNZIs-I25l!jQBu#dMJ@K=BL8Z-dQ zk(}a2IKp)t7cti2)m*iYxFo4Vn)+5o^nx-t;v-LpV#5PHqQ5}BW8l_M>g)gT!g@R{ zvf+@@fCzxGR!er*x-`laBeqXFdzQ+a9DYx5PaNuacq8{*3=E*Qo6~o1OR3QR$;?&Q z=@9D}afCV!M7X@xOb688&hsAsmV;b^8fFWw4xC5`!Jni@zyboA_L#fHZfs{^h^SGT zhZmRv!|R|Wppz4ZaP&6(EjxsaOXlIVyYNDjKWOl# z2#s|kaOX73iRQ=ybx-|tuBWR8pkx+?E7TP9+yhn@&}qqG(+x&K+>T8ayRMx*XaaDz z>x$AZ;V^hDHJ>}K#dOhrPvG8VsbMXlK@0}DPh%$5ha1A)^oGW#={P8Nw{H@9jkfu{FtNYO?e@nTcQwumxz0pw&eN);Tw1i|1uvs1e6z_ z^HgFb)Yk~KHYPvO0Y{~bz&!4XMY=3dI0d7-kh+#T&HjZM+MK)Kl1Q~H<>Q~|pxndz6 zaXb2~H-9yv$Xx&WP8b)&OsrYoV4127f(UxOL0x!JvnT}FPz+YHxGW_$U4Czon7IU~ zpKaqQ-VS@R%rIk6q#`eZd%$|nGf-XfOE|Wl4M(9C3kfoSuXUR~D?;g$Z$L{;qYVDe z232s+j98>WkwIa-NMMBz=3@#*jgfm|_Kfg+0A#np*&>;)XxHdUMJvVT8%K!sh|S>aq(=SS zKQ3b|8BUae|3dU*qnX6(Bd|C0edUq{80S6y@600LLHuK`t=N-bxZ!c8(4>2Ig~k(O z=JZ2|T5SV>xdHh1h5me?W|2^#DV@Iz4f-CtJd3(_e_)Ws&_(U#V!*DKI96^vusi&8 zG`)PmmI(A^ONOJFa}cxp*Hm)Ga8%P0lmm6;77dnBFZCIVcF8C?9QryCr~DZjVBQ@% z+bHtJzt!XLWm7l9e5{d%e2bB`yp&&P&c(&)hLc1d6hX7z#$7A^$WUa+={*BhK_aBl z(IlrW6$byF%O#L8WdREs{6-H=e0mG63eA4s2Qwd8`41;3%sh_3#fLlsU0CoTvdfk( z$|5tA8X>aQc^QSZ1>cy|lCpH7ttO5JgHxvXBlU;AW`*kED9i!tNwAjU&k{0mlN9J` z>+sOfAu$FbZy}9#4CzhfmlxooM_+8kIC{t2!AOl?9qz56MTY;x60tzjGsnF0vcR+5 zF7INEF5gTu9uEa=V>Eg{_4;yU>qwgNK@KnBerPw@6(@x~8lugxw~xW0QLFbdH@K$h zY3ik}YW&+zm$I%hF>ZAa_`v)51zA{}J_sjY+c|ua$W?Gay}FpB@*a3{y$LoZMJP|a zkg(tA(p3>fkb!^TOX431#J~R&O!>O;sCw%af{tMVeM2KT>hLSL3{a$|+`ej5geTc_ z`v9I|KG&URJl0Q6N6lW;U-Tw6-LU_lNYdPS=4kl%tw=*_hI?I{+*-t`QQ2B;}(Bd1`L*gkNY%;SBrhwJ`a36Jy6A8@?jyM z_&iYbg1g=;)`s%petOgp%9iB&>hF8zaZhl2@ZiX@7rdX}KIiYjQQW7BsO#cFQBF~> zVqWBXf4zwRM|rXTV*BD_Qc;g}v4A4I$e)Vw#dAp^u74uVi|3=_eiNfKvCB7afeB7f0;MS4^$d|Y#P9{9Lgzt8S{9@ysrc%X{k zSMqsaAMEqMJ`a3+J+SXQ@bMk{eU|U@Kzs#@beIwb#uL6)n}+YZa_N4x*y1x5nzNXub7)*X+GRKy1B# zyuSDO$kH>jX3d&4Ypq%HW6$2~lu@&3;+`FGeO+1ogF(*L%~q1g6XX;gjcrbERH#WP_cA7+{}#R-wo zoc=vSxPE6aRM^hyDPU^kTg~_9-!_ z;Tc8~DO5-3BnOnT?Mm*f6Ud)vP)OhWP~cNL`j6F?U>Y1@8kMvX+i3X`Gl0csb6_QK z^0Fk~LtGk5QFG>ni1568fXx5TlbzK?MtvH7$(;(0C@V5t&7f&v8#$D- zg`_NS{6ewH7m-&?kQ!MC)%hA5qouIe$nv1|@~iGHv*ANT5=07wL~cp|`%iv8oD!n) zgLX7+ml|mZ8S>VXMsEmpk{x@SAf%@a$~(Z)HWCYoho{^s3LKdVzhygcp~xbMQig3W zH^lW-4{ZXP+(AnS85xdvYD(PY2kqQa260Q|6)-#ji+ly={p@?t0nldsq_I{^sEHy`P22nN^$%Tb^t})UVq@)Ic!Rxjng&q_s zsB*n0ja{33qla0ML~}1O7$jI8FK*+`LOx7dY=9CyAx*o%1h?;mXH4~`$G|gRG zl`3e)C3f<+QFnqxqH8}KSSkznSv#h(cdKyG>^lX_V+$1;nIw+Iyd{jol7>)Q)@x7{ zlUmCGI^aG^hz$w#BauWWvo^jkQXwLlciU z6adMe|Dm-=!aUo9LiHg99(#pf1Zk*pNra#J4&tL*9Be?-;{p|t<807yJ^Jxh4lygt&TauJk*x)tT_E0&eQBbkH+-}T=G%25yQh{4S<&Seb8D5mZf#@jA~nF8NoY% zANt)UQqyVgD57rB$1H2dFG325Sa3o~*VrNx>Cop|QI&gfd_M}##8`b_=AjLX<{$xN z#q4(|kmUSzy@9A~5P%342lblD$5JPA5p_xDX5Sa;ij6-AxO~CyHZOA2OdPpSSFv4dK ziy)Vj^=DbrR+=>uNs2|cto>WEhGN)$m>Ji!sw{h#>^HF)lR55*NWk3Eh;g+BKW zt&6eZOcgwxutaH44Sw96wzK&hQ_a|NnIK-MwKWZZ7Cew+}!(A3MJ9V{iR@QBm@X(4e1x)H8 zP=6XYwIz%hH@SvPVyyLS_QXj6_l*sq#^jx{Jn@m(q%w0SoZiWms2pS5^S%)<1(4^& zJyB;kx8P{FxuWkf1JyQve$h%+5&s)huBKVD{N9i)2s5gx^U2NX(h<+!4GOPD0GAr- zPYF?iFp z6M|789m^zJGM&1@GKrH5Fh#5+!*;liw#$Y=NK5X!v5?V-81@>S5_t55L~9jPS0q;y z1Caf27&Xusv?wS_)KWK%c(B-&;GmdnxY8!uMw>|lk<`|gIQTalmo8lil1n|ibCpaz z(aX67*?40Bmc3rYE^w=!@n#QGp;=LY+FrlaG9f9^Ar|kxY$i*3d4|bu&={3v+sA!gfhsINX z(ub1Ve91~nrkvdv<(9C-X&FBkp^|%P0kFF!Xr!d@W0A065M&(>kD+(Pok(jt#*hx{ z!o4=db0CCrC$z*vSe>y!boN|7swlEZvhzBkjMgmjo+n8ek~5x;=YTbwURH7cP!J-q z8;@XrXu4>=7ml0XZ$)Z|389x*B%&ZiY9ji&c!23v0fC0)L6K#YDA`MC4K1^ZF3_G1 zn3qD4aEY)*>~fer7~z3mlOpO%KEk8IU=M?gxSTL(>xBJo*sX)3M_mAQV=zz#VuWUb z9M=RbC)O?7G86gj+)vFx-)3d2MQ$6aqP-KFnCah@t zLnMa^1N)GYf8s z>b0ljEq-r1Q81Gl`|?p|4NrtDQR-1(L&eEl&$`s@|6!cV#VRD79ui-vf&mKea?n@dJASSR`D}$3q^`j z!xI>AkRS^wHwJE~yZRYLg8t+_&mtL|v!Qk?509G*DRw2o!K9g--S*i0VXP%7h!hjtGEtSn@JK!6I!L#ZSVT^4LegLpJok+C zXaJ~|_X=eJGVR*S-c z^CQF4@?LO^Y=b87hy|=fO^yloH__FIxa33nGB!MrbnGp5|3rYjPMTcdTz1Vcte2Vg z@0`I4i=ecD$Og)^9T0^|M(A;HLLbrld7^bRrG@wZZWp9bS9wbY=D%H^UR-=s?`%>gf zl4nyvWEv`Bk&uPigRP-zHbz6Nd9(~^fUzs#ARNk)u}CaviUTS^xHkZU{E|Vk4j4>i zh_%G&HH=F?O-fU*-lv2nmIERIBV&f~yd+GoLfpn$x@5w?NU0OVSb)^LfZF^Htj zYpsA=0MF928rzufN5zgV)1AwnV<*hii=YBaTbE@A}$>8`>`=lqlekZ1Scc~_@DHgI9SfkPhFeZDc-T<~hiiKp1;tka+gP(rAzn(X z0C!;$Uw#7a$ItUc0JkbG1LT5jIAlkT!8SumP_+oQY1TFbr{qXpriVZS9yD z@u*Vs=24^2&EC%q*v>-1^{c1E?Oe3G5)VpL{Zq|{t)@a)6A4n-&LdVsZn|Y%g`vGn zx)HQvNryGUj>YC{`yxipZd?UbJ$q0E!XvK83C$guC`8YP%;>cQnsPoL2w+hZm+30- zYt#7(b1-N$em>ZPU5A6Jp3tsXB+e&%0hu8+cq&6@5ZRx{V;)XI6*4$>VVhyj5S-O@ zF-p{7j{>~^16t!7bZE(bP%~r0tEsUW9pn9p!E*#Q?`#z6lxPWli(}2zc)!B^0%V8v z1wVEeaZ&q0A=RM~kdUFa6T&j5g#sC5wFV+9^`yA763-siW(X`V7^VF0-=jfuE;y7H zahgG+ZVa#wn(N}MDVFj)&!YdzYu+%V-FJZ|$p4@iUXFh(cP}TK2Xvw^@2aLcmZY8hx zDZ>=FpqtObfI%&BZVW!MBo8BwtNdx@u!K|%Viuvfvj>X65*%luJnTc)Sb86N@Wkc? zHIT0@g@~_q1y)UHIp)lx%8I=sXsT9tbAibcaUp}2l<(zJCDG>>-5Cd1o;gyhVn$6; zXI4uk(gWy=GV`Y1Vhv|5g=e-4PqqFFckRh7rk0kM5UpCySaCfzI?a46Qm$opNrS|9 zW~}Z<4tY3&t8dPlk2!7+o~$K_Cf1#yhO!0CahbT?5S5*dL%$0pj<7e)cR2^|)H3yN;@3fTNfxzxtMz;+jiOj1=D#YsQVvi-$kBwraxwzW369jK8 zS$sZSv)hBnwbT%i?4`sFJq}C4VO1kzFiB{G3&Cqnd(4nG49f_J;7+%b%!4!br3y#i ze1l&jzzQcoTd>lI4Z2_8eafjZC#qQhZCniXAx(vRd@-uf0znG75n$qxT$nrCa9Nz1 z;tVigwLB$2h*(faAu`{44VnXKrMy8eG>|e%pe{)PQVR|6JYI{L0bzSDuqJ3cqR)zy zn(tmL?5%K^kzSEs4v;7}(&|Nw`Lr-VK*%I%E;5YLFximzTa7;5wl6YGk(WD;eULn< zF!*LH=?a!Ue9h0n;pjQ|ye0#IKD7j-y$;CtQ4vCsoF=jNO92eZjxS`qMFAT!qFuS7 zaSq!U!zwbq=)LJ}a&;kj4lUpwpw3Dp&MR(d(3di-C~g&q+2(N?x*#w`Z;n*8H|BzX zTUkiXgqCeI?FzWC>`ZE8+u%!Xj&3`j>EkhC;LQhy9vHqYt{XR%YFz`Vxv7{W70HVu z--6Fj0a}tl$SU0b{p+o^JysZA`+0{n5rO0)>IJ^f_p7>yQcF42Fg1p1i$D@UInyx=X5N3y^xcYyh17thCMd8uCYk|{ z#a~VoIp62mq=5k^ejz|XMRi1{vf)q)F!G@DHL`gd3m-zglTUh=N19hwfTqq%H-tUY z7ihn)_mP9Ukbj{d<28uW2rCiTa$tlH5=RH2d2XS4-Uoq~r%P@ySlr}{c7*z+V2WWF zHr){16C3(!(e&m&uK{7gyaJQ$g30?hZqyyr0;;L`pox6%a`;Oyp-fx&=t&c79WQp9 z-|UNVYFxwy>{0#8qc!RACw-Bl3~d?QiEjJ1;-J#i7Zjkj9NwD`aNIfh6~7imKqZah zEJF)2k)Fw!m{~-ZU#~D$BXNh7Mvi`oc4JQXDGC%i1lu9bM8mN@dX=*@CCOL@B#P@|41Cn1MXa zxLC{UC3P-8?wtS)4tf$^7y?2^Wl8P0AA}BHec{k{_^#0ELqyma^E1Xe0z9&7>>l); z0x8`k%b|nVaJ)3H#%c>MSkSnz_vCkTZ$Jl6Z9!_H8f0Qi>$Xxy>qmK_-;H>{XpO#} z*a`*^81TGwwvHuI`$ZT2nQ1>UY~SZ^zdHa66vOZ+O>2ks?S=wChes}zy6U)qk2ua> zK_OvS1>DPwIX@bF!b>JufCciN`xdzqHND(^BN(^A>Zgn4xYYSO^i2tKz0qi)zsyVb zwz!<2YkbM9IA0$5tvvLY`W7KBpH9XRLm#0`bYd6cBpU!DXVOfbCaKFuaH-*dHzGr! zXcq5NY%DI|Dxw3dmU-t~F1$7eA_jrguNf@i;mKsFx6U56GGnxB4A*fFZi(p4nr0i_ypxk6>oEWyZ^zER%z$J!@PpiWE z*tTl_DPeiLw6mcPq0Zp#*7@pNcm`D8{hERf8L=@RR)lHwXgydo@`XNfd-GL2m|K!s z|D)s+cgx`6j8qI!3~qTsAKraj<^NV|o;`EofVB)tzM-SgPu6{VA}MKl-&lkyM82Dun4KWsVbFr$sbj&8pregs%*Ust{e;Ej! z+fAKz;YoYXnAqQg%o$3`KiFYIl(BfO8UDga1Q%JH%i#hoRyPJ*u(0K%Ug)u3`g@v} zpZ(r1hh!ceJon5xAyT}W#*Cj^k0^L*&FMtta`K@oBgQDhJR#Z5g{*U48JWmEXXpAh zk8mZ?fdyBE?vT|dtYYyS@4eU{i)I5basnm{iObLdRDG|(v;VR57&9^8o(NTitu@*f zkzfM`Ock$dqP-^FeXC-{@I(;?o`^%eBCFcT5FJ1Ax37Y;?sI1x$$UIKS~2omEK&~} zg^TSjx$(ML*TSmTe$`vzdH}k@M-3gZNFvpY(WKPgztDJD+)l46?!khh5+%-$MdFe- z$e?cO4FihY&69q<7EIRB4a_}i@BmXm4VEJ4IM$N(D-&inhS69pU7#o62hi2C$;09~ zb<1ne67NMwbA}7+0L zipJ64=T~+!ov6f7vZisum|BD@VX9lq(b zEM;Dv^4@L2&2H*{VslFtccGH%oI z+g_iI5#RE{rV^UhN)dYmrGEw(+ldUjiq@ZhM!%obN2G${`t8**OtNEckx!rOM5NEH z|L=L4KYI9dV1}%lpRUCxaBc6aPhhgMkL!$Oh(_JwJ5Q{iK31h^%VH9Wn@`Ns>s()w z`W&7E_L#r~zEENZry1voC!OPZFr?{p{c22;q0Vy*CxBDwfHFyab_0BpDS#mHbG$g5@-E6Hkg%bS!)GCF^rVjMR3J_( zv3o?o&04GW0)^WHv}tigub8O0;EXn$G4x;_^EGtbKkso*XfhtRE0Fk65Q-38tU^Xq zv3v`f&nkUk95#_&N%w$^R*GHO4iP8<$47Y}>)&I=;Nyw#P$JC< zz%91u&=4%utWGz{iH~uMbb2N;#6AykmJTi*m$29iSxN?6)|^DZA~g=~_VK635|a>F z)ezSAg_%z?3cwbNs0>_-JJ41jw78X~c_n1XT^E@f*szos5HR_<0Rna6qXDzrw3t)e ze>jtL3L<}C@O+AaLyW4$)l`QDNqo$tOm1Tzf&|c?RDwm`kNZ-L1qOx!tEwP}>%~({ z!f|#L5(kg* z6$8wNlOc=bn}Xl*d|}f>=PS3DtiLo$RC{4p7s#-w4;W;MTKrZ-2ejnpc!y~?vBPkW2G*=aWBDBp zXSfm~3s5>59#Oz3WT?LhRReqRoL2)C!wpIVkw_$q1UQCSD7S>u0S)UUo_X!aQKY2} zBAkY11!R7FlXc3#=Ne&X#V=k64{65wl3Z=uIa!Dl$9;n>y{2}wh80d0ID-}00blm< z~aC+)NQ&B=FSXJda2FeHZ+} zYB@k1-O#e()hG&?880=|Q#th8qnjjNmu^~KhcBiUs+n++8PR)Lz)TjD44%8c-}9vA zTRE!C4}hGQ+Zo_CL2Lp$VTLj&*+e&tgaxj)k7Z&yGrV)ddgFRP&nJJOEFd$lB>|6tJBnKT;2Slp!I!=)m3MnDq{u33qUUJ$?|43G;WLr~5-dK<2i zd4iZq`-OEv;mb{1?MGb5+$Pbdkhi4_-!?%YRFE9%UdwS*iq(c>*)eq>Kme}*1MeG; z6OOrU`+{`fH;vEd_uT%oK^sLa^r$i1x$n5hN%=DKtxJ)6L4_!SfQu(&d*I!d&kl2D z9zYGeb`B67_tY!wlIG@OE{tX95Bsw9t8M}31RjQL{rij~b`iu*N9ODj3d-}H=}l<5 z@Jo*I)UbE+IPID*AA`qtucnoUFF)GOafoAoovc=<-U`H``xd2SI{CUsdy`5U?2(dk zMT6&I4bBYBqJ*A&KboRZlcHm$yi??ga{^LWZXa5V_i_Y30i=20y@hp@@#m-3kWBCS zgT2K%o;~L#6!rm#B1H#J4; zmG!ImoSk@dl1sxH|N8IXr-d+_L}8jRWH4a(dyKJ?k{#39`tdG7&&Na9+w+S>>*5x2fJH(967!bxDnRqr z+A(<6P)38yZsGNEaZNoVXqDdYHz-8`^vg`cux?* zrOqYy4Cm`4PhrGSyI9<@4EZ4(f$773el<&3*p&zOZWqoSz}yQwUuvSRY=DCgUQ}L- zD*r3Tr>g~Bk)ESGS31oDRpc9@GO9@G#aL{V$8&y^vx1iQ@&qOgl}SDU%NXEGqC>Jl z6>u%Dm6T6(9$hTaWITgz(LqxO=-j_Q?AmoJK- zleOG=!z3=b4^>YLm}Wt`;s;oF7&H!bOSw(UVG|l$^j_CZ6mU%ltG>)}3XtS?ez6t~ zqts7cM&IhtKUP6L92P?T=*vxvV}Pzlr~Y|Y&rN8mpFMA2TMfzjx58r^wsesBeGH`@ zd{hH>i#M>>LSUbjb8G@Y)HN0{3&?*6db|E!bC#6RfwFb%xW`ZmY{@a_WAFoV?tU4% z$=mNmTt;jh6>qH!11uZTdXas9q!L}|CeND0Ag`I%XHU0MTN2N?;jcGp{tMB-^Po%& z$u~=bPY#OiFrc^m`Q~r8Bh;8H+?u8NXK(jh`7X<*+AVb}xpSTpq@3eL%_%7wn;}c<#B9aF>zr zw5c18Gu|OO6zPivlfj|E1Xqgbm`MiLmz@Tt(*u0haw4iIwUUIZ%8`zUG*x0BIxqfZ zCTjIZ%b*tewSYPQLVtu~Xr7e91XOiS2a}pNg;A)0Yy5bl4JqI(k0@cp&wp?}jCf*N z+smj{xJzknWkw#=z#`Il9BUGc{P#71gKuSTfTW2;1*?E-Xn3S0>1ASoH_w(m7cu|D zGer^C)sSR;iM@Mlq_Ytnlc|gjoFC2*c^q#0!kae5%?KhB204RSCZ6KFW%pN*Li4eK zi`4g>DQHJJ9UDVJA5|0q4E(T+hXxy$#@OJH0ZRoiZvqPzwAA?O`qE5O0yJ??89K9; z!5qva3jtDmrr&uK{Rvp&J6)28dEqr0rV9m9lR&Z!e1(%6L@b=p4y8W?uWMReP8K2|X(dOs(o)6^qi%UnuNG4FC*#$f8G-`Ij7 z@mQ{u5jDR)cr_E&Zt+Sq#a1EF^um8&88JXHbhH7-5t{lhe`~}c<;ksw_frsn8o@W9 zi)LKmRf~VCF*Q#<_-H?xH4I#3cu5!p{s4E(y`(qg#D0OKFDR0Gg5NpuFRLi@S9+4CRjnxNlq8{)YGEvJp`=MOUWe;J|e z(k)kkXEuIpt}r~!j$P%r( zeRU^SZQ>|ws-^lj%0PZReOb6($`r}FHtkiyAB8^mS^+A^eU35dbr9+P`*bScFPTn# zyJ*M>*d+~T%qyYs!@pW%Nax4jdzH{7%DGoGYys8Ko(4>43G*Jhy#}wUBxR@!$d7UE0$bF~>lfMO{_a;4&wQYRyk?f3B3<~>`D zjY6;Ay0yqvfI8MvZ^)x8pVlC>{Bc?d*~6vEOOZ8fx)Ld%_oM*nk59jh3yDG!2ljJK z^{tvdc$W6Z^QCv1_ybq@;%^$6{L!0yrJf_6=)@WKUBg*?V);RuD4A!*e05Xv?*T0CvPL-E+3pCGcdO~Q_t6B4YhQR_(W z9XS=E2{Z#^*yD@`jK_dN4D7+b2T2px21ENCipLC59(AL*7~`1Y9|z6T!-5T?5Z9j& zQv8y(KNe_+pGA=JTCSvjMtCv8Wej52sR;`lB3Lys_a26bAB6{6TZP0O(k>r_RL3YF zcj9xHA+XJLkdLQSLsXB}fZfk5ab`gV5fWt?VF;|gbFBg;7*UMqc7-3rfoT5f#wSJ5 zmuL%b1aYW~P0a1A1||fKEtbM-({?a5E;*|#s<1$SBYo#zD*U&%oAC~Rs)xXc=) zF%g}-hLivV_@RC324n^wJ8SN7$?~{E$Dr71XE_kS-U%dFx=kMW>gnAjWEODg?4qr2 z7h15$TyH6iES(~^{@Kt+*ovVp-mgTni1F}8U#|lu#(dEqV0o;@IouO2^8+SW+zP*D z4wq_YLCZm4cC^-v{;Dzrj6Ne7 zI-!7#*U&zwMmdI78&kHFdAF(xNcQ(vvmbS(|CHh23xP*Gh7_LMfG?QXyaiEU;+}1_ zKqo+~Z*ed6rr^bWjh}3g3eVhq_94VFl70OZ#$|#&e~4ZHva?RE4!(lc0hO?Z!fCZX?*&DF(k?$AZ&qz|j3{*BSUG^pMBz8GtC(a#+C* z4{A}*pw8?sv&i#KF5+()&U@_g9P8zLPIMULhxLI2Icw5*D^I23*HPn4E)ism37ZzE>B8%b4 z#J7GfyBO*i>Parfi#NO@wQ9!0Lue3z>)uwQ5#zhf$ISsRhZd|zj?2L*ff4uG@DS^I zXUqbNhY<%LE)V4#oVVNDCI`0K9=BQfJf%T zegZFm?u_T*5p?LSmI;`mJ8^{uNJ))!&GCID7_NB4n^=hvOAN>sY9=pV#`i^Y9#tG( zh8+}(#Iwt-2ih_u`tFjebrbnFW5Dxd()oVwJTjb87vE#7G6_84?N$6h8Yg{cfggp% zB@bYQ2cQ=;7}3SJ1*IDPjcb&1(K!-5r0w{EkFv9&<#CuLHZGaDqPr{tL*rkg6nuHv zavmQSblhpeX9MsabCnEz^>R!|&%bXHzwj`79m8aZOd`+~f@*9zYd}C#Ij_4!#_{UQ zupU=CH)Bjdwc8tzAs|YNQS$Nc4)qhZGxWsGDT&BaL)b`}Opgz9NEUMFo2m>V7XF4i z4O%du8VoKq_xYJTzX(y(MP?ru3dvj-f@Q;4;DPTADltvjX(IYCkD+F$rR0DOSxL8! zF4?m!ZX@#O@Mu)I0T`3qddPKOCGzOTL8 zBk=+4(E|o#g5w+ z1DWclZVeV$EkRSM{1M0;VV*yuGli${T$szh9BN>?@(uz*g9pL>+6 zIH1}o-Tj?O)`;mFAjg5o1@EHEsX89p0{{Fk5YcE|hQvu1)HN5&*@LLU= z^tU~m=ORmPt%dw#pDZnWVzvPx-G{`2LnFtcP;=UQon7c`pLHX7)$|gl-`Z(L>4wob z4lIsX@jlhXHOqNj&G|NocP8cRq5wBf=YNe7dAVS?ou8P2r1bD*m}SDlaPSnoY&d0* zU2^8D9mudNvSt=k*3@v}D<95<`^0k_?2MN`Is*3gknx@>hH;4z8UF3>)s`1~qgDra z?isiFYX3%9ba~}L8cOGyA0B}mU`+ndyK_aNvnG6#&%EOJfg&^_7=F%dblu?%-eO~U z&cChclKLM#qI(CDomkOU>}!<@P!nX zEW`TdPdyI*&=cbq%z7P*Cu-~eVDmhnBM@Jn#B*IzY@Xd$|zL5 zOKZPeF!Gpy0w-w0t6N5;z zsXRF!5)T3M8$4gY5p~5r5zwT=si#}~p%yXfdFTI0Q?GS$ds1RFoS6hIN8f|6p*ifS z+(Eb9i0u7u@^Y%oUbbh5OlF%D$ps@Y;*`?prM4rtwJ%FG(^i~_cUVMA4Tw$nS!i;f z0wX@wFc=@|#VOsb+C5{dzWiq!>K4a`OC5VbM+?d`X@N~cEj>R;j+?LV2idSahn0zR zuIdtliPIhc&nHS8mzig}W@D|E8-N4gR(>21I?WR_c_r{PRvSFmsmtc1bT?M@JB)q( zDC}k-bK`#w9%^I9s)kK`Yw++dGi{hnX76x9F5r3>A}f|Lrd^B$qO=yoX^kA}BuYrQ zYjOhQxq}P`RRezH{N*u2+NY#x5>mS#Fl`V-M~?roU_?DcUiijVWH?AHQ)O7 zngVr@*ocZe`?3{$Zsz%f!P}5i3aXNvw;uNDU{`WcJD;MChQ}~wp~yTQ>+eT^$rWv~ z%uQXbhy1tN|9LckwB0cnRM8h=tB1{46_6SehY z%!l>h(tv$(xUulpKB6KxKiu4zEj~3|+-7;1fV;aUI??{4!hM*>JQdGA%M3 zf8sh7+{x)^NqV2T=&oYg-H&#F;Yh0q&7dRCB2!BX-~x`wU9igoyFBoj^}qrg`*tk@ zpV{HxW%w=+um@_zuJgdINZ93p&%6hAod-ViA+XB8O3I$E{IW zMExhC{!>x^!=wJo5#Rn%z9q`{h;sa^ZC0((k^Lg-|J=y_xc}=S{`e(ZZ&a31|JUtc z|G82BJM!-+FA?>B?hgIO{*T)akNS(-$Mz(m{+C4k%gFxgcJTkXQG5LRPuAY}Z%3S{ z|LdaulRMZS_rJ|wVf)dMoRj{6|Ko9w^*t$S7yB>vf3QRR(GKw!%lUX7#vi`z3BzlL z`1?5jr;ERTUjMizHh$ENEBHZG5#yq=*)6w6-@| zw37fOSk$BVz(?g+X^Xd7V{PlB{@Tr>h%K~Ht5sX=5%8@w+D^7E3KsTHLMG(ThMDF}aYAG?r~i~8+yD@HP20jpCg5^V zsT0QgNS=?dOAq=iW(ZyQ4>|@b;O{-$5Vy4TVX{Z_?vSR!%!Juqsa3p_Dw(W^JQB?j zhhr>BSAYn}Y9uoguPdJsc!=E^2Hn3{LK5wnR(^*^Qp`>D5%jAi!<7Y5)SO$8sTJhO z{Opodx{YYG3W-$CIl2nVme{0`O`zea8m@u3A)sk}l4N8r$NAM*%v-Hrqy>K@)x+CoLW#fG$bEtZ$VFB_Xv!_2BXdcro zgd$7Af_T-$PPPPjYzei5Rgvx@D(zQ@5V+0gVpIq-7|v`R@x+QM+a)a+%4ecNf2qkP zpk)x2J#q}}layg&l_j>&m5?a*|5c7CsR&wXOGjd;32EpMAc_WD#Lwajfs5Ab^2h*2 zut=w9ha@DOb!`D6hgJ3wm54U^9M}!daH!!@HI;>2NE>P{Rmj@KPZeF}Y%d$&k_Cty zu5B2H<(AZwl5HMIIzy9F=NN@rVbXST$uQ=_hRsje+<}&XX!#WnPiR4y!f<67NKPe9 zwZe4p>|r=2%y=aNV3c8mg|l+V1f^@xG;o^8FjZ!)YrJ5{WGhZG8pV=Tq>+Q6nZ0(j zVUePRqDUYz8F?|0Zan|)HK_5aCKSmAhFba<9t*im^FgFUNgtG@?dQLG|H-;N zWU^@v>Ea`u-ihAy&2FYp{pCORf&xv=y|)`=Lm)%xEy7RuA9j%mMm_e2M_@7cJ&eXM zR}*Q@LRdVL9C7)(8&PVSdkKqVzR#^UXrnZo%LIT*Z$awcb_W+`J^!dewr8*KL4LxI{x@u_4LInI5H9_qPz;QFi`& zk+jQ(Fjy3H7D;sFT8y)JXiZiwh6GMmV!aWSzvzdFWnXzU5{aR(aNri9 zBNV>$WNmuq4g?JaGh>kn8Y5=MEXdn1p;jI#DkoPDH0Lu^)@dgsGwi?K40@5v1%gPr zZRtC^R4qiLeoBVP)V|b6(qbFqR$+}GTHGXR#jxt@42CE1C&_j28MN)8iUx}#kq9Dh zi`z^0zx~oR2(i{3g)G+rTuBA_0-6|GEXW*0O5-dao8={<_T;g)t6^BI$)lMRST^|4 ziiEEBKXNfiGjY@DD+g?qPwIE@O;F)yT}#kN7dMThQm?(mfHe2Cv6fCo-UH35=-44) z0>it=-`-H^2C}W`F^IG#so$Z=cDg6hng?TXlTV57T)GkUlP-AAYH6rc)|14NK5UD! zeMrr|6us29yn&>mSts1XTC!p_5Gjg^49NnD3@e)qm5=-I2%|;WQ2{e4{9-}^J{_>h zi~(#Aoqy*c@TDi84QWWNmh$=)gM=uE%2qjBeEPB56&hYj@@ve}`m)*0X+?_ezAB9E zw#PSwz6`a*T6RT24YICmaw(nZz=l$$K-FOd3PXpm}syB z>)G(?N*f&Gjqz|V$Gb`CNKds=`qmVfft!W{J^%4}{+j(sh zCY7_k`o{$+KeN!p3#Ck)Snhi+M%9bueaqBWkp4(p{EciBxYmCTvsEVO(i%CdYwtZ5pvg%qWM z-%ffMh9Z0S%QXRAAzD5Ul^Kj!;vyDnKPPP6WV)I* zC++^j0q~wlB0G2s9Zq*&`5NIwB{%kg5vNo?HIG-5)Lpj}ka6p@wg(tz#iJbjGpD}? z)k!WkSCst?W>$%g=gF(2T_j7f+YA7|Nc;z+%MEq6`rz~_yBHa5F4l!X+QAbIx)c4W z{F`%uDLR&eNRglKLWiQM=`+^1<%Zj9^7WMAuP)+VH=OXd$a3zWgmN|hJVX*|pb>U& z=za5}eUh5h1q+#<0Wy@Xb{;MvX}a!ZiqhQwJBjb1k)w~=$-M}g_8iyI3oeVK>PpB1 zxCY1O2ev__>%{nx0KZSzV8zh zB*ihBCnpO9TR&I{M#@S|KBY8`9PLlw&hJzDk1tmEMv%DTi9X6`_srv80i&RHzt1`( z`!ZCSP7j+5efw-wWocUJ5k$v2bN_fnyN|x<{B=u48LTh#SQd7l!mZ~{eSoveuiFUU zfANfqLc)T5pXKvG!c>wC1OrbGLG2A%0S5Z$J8ux@XKv`t#4)cp@}gW$=6%T}Fm!jpGzAQ5$*xXU;T(Rlv8&6zGDPc%EFPubg!ZhH%n<;~i?Ur-JNU5nvlRr2X zL*nhk&M@=P1*LEaeFq|qSib^&09;H$iM=&BheJ06J&W=ExF9lg@v~VEvnK=tuPQXq zwpi1|xb%uB`7co_U}~)p&+|S$I)^hQ6NvsjHlS{f3?9 z79@)vuF}iaacj5y*naM14kzfBsf(% z8gUYLv⁡XH7KHaGjWMu7t#+alG)bBx+~?4`3e5 zH#B}@Y6IJYE=F1{HSw9!Lca_jq^pkDvYCVeO&cVMlG1uemeH9K6f$~FiGmKrx8Vp! z*My53DkcVOAZrQ#TbPHPM5mx79~*}NHeJ%z@XC-nv?0~?@y zx{&5|LmJ|;%`Wg(ONTn4A#)6H!Xs`DI-x}Pd}}#D8E?cAn9l=AKfD9W2Ts8uJJU9e zTODAdsP@@|RRr$=e5d{iP88y~`?Prvg4Bv8NM#*=K|L{%^^#l2c6RLpPi3(_EZ zvC31$qI5m*7<9-7-;t+X@fqAcDGDCs@tH(_UPr2yvfZml@rj03Hipf#v+Wyqv0JN; z$P`^X(?O&}F48OqBFnZ|wNa=$;-w;oX#c%gH!w-_T43kHs{NNJ9yD5cIO|2!LYqF* zr^%#^v}YiSv`nW@K?^)Xa;UTE9!)*+n@sV^3G6uD9B|is0#~-Yve9rob0__|Buy#y zToTIl1}t6ZPOBu9Kx71b8zURB!lNwKn{sxnCfYi^0*4OFp2%>x^fYWIL}MXcl&IQV z5(P9#|KbV5ZOY==qv@d8cH%IVq)z4whA|h9dst1ZNW}z_XTASEGwt6FDj=5*N3N`c zsR#2M@3s}>p1Hul9I@5da!r3ba*x^*h%Cx^i4#H0#X0Vi z;!#Iwvz^U+OU*>Z3^7QE|4p1cm1@HeByx^Ug8CfJ5IrWVJMA9qt23&adz- zJx=6FK`~Y#>cLv4o5ts2GecgPv$k^~J_@m@5_#sEmO;U}Kis^>Of}4kBm#Fr;MIns zIC3Ej7fiQT9ME72E6qj#oMMjLA$l51jfXQD;*drQVeKGTiD3z%@S_nFQLXD=7-Fnt zM6($>inMI!vfCV3GLoxb8=?dh$0e{8*SHbElh24UFPVXFCRjw=)={M0cN@WN?; zEw{3zT!VXIdrW@n2b+bK0at{eaCaHtu*EunnIDsRc*HH$Tl&#t5P0D2dBpJL9f{&p zBE#?L(tQ)NWXQ_Y%x;9H2LryYbbWQfiH2NX2WQYOz06x$6PQD=C8gK_B_ z@;Cv{pT`EkbEw3%?5=-<7REkRf$NHF;A)?4SVb;PnnyI-j<0}7dGM>9wudZMNAgDn z6%-g2gI}sMS0goyQj)gX)3fG+60Z4L;59SO;5>)n_Uw^LIl&CS5UwgzXIGXQ3YZBd z%a(>hp7C~0s;0fXhTMQr(uy=uDALYS@mvDWNf=2OBeN$Em&H-AgT<9ajI8D8Z!{--Lt^O?REy#l-u12F13Yj1q^`=d7@tE|euMGlbmM5_ z)6|A@)8q!w38042cn4x2;3WvJaL!QR*+hH>Lsj~6$cg*eysqgT*fAj}FgW3@CI|+5w^4!; z0Sdg>1a@#ihFr)_V$2w~Zp)F*R<7bwn`kL;*9?d(C{9)3kN_2WMxQbu{-h4`B2<=If z{IZ4XFNn1S-Uux<4W#;9S2{Fro-` zevWc@8)e(&a;Mn}j(vdgWHK2N3RS<9NqRbt_{-WdJeA&ES~^raNpIs zxx_RaxDI!M3{$A$8|QZ5E%*HouW_kB$uerq&=gb_xmvc2e#IEg)2&2pUzA@5TfKI! zsTlvwB9zm3ZsHA_(Qx@pY!F({qTyWB)W$Cnekg$^e=y(S6n1gsOd9=S@^fb8s*kV zBv$n;0oo>>AqBDpc{<|5blu*7elU<>j8mGmMCavso*E44VgpFJy!4N})zBr!$h1K& zsxUnGpkn&qYZFmMMOP*B-A~=&FTwsd$0tMJ5h<{f)3T$llMz6=^@#vks-zxK?$ylI zP>rF?va7_G;`NPm-Lr@}YXANcoITHYVGFEhvn{Bc^Cl3*1x~P!8{v&cpT?E1bIda} zg!#G18WeNhfPz*xG(xh>O)i*l;EWSsdkD&}!niWzXuY|4y~qo1=0-|VqO}M&aD~7Q znJ80dJi`S*29r2lpC3WpH?1MO%AdOgS{iuO;~~TiabVeym{hCI!$yJGBk}Rgyvi-0 zYH|$ro|0HybW%bEX0N3O5kz?3yrKIs+$4stRM`Ce%JTvY0GPn35zBvJG|=(KMOtF2 zDb$?*jE~ep)%j41=+fQ}D|i zZiTYqPMpojo1ZBt&={0WYiw#0=PmYL!oTr4g9+*6cqOu+m1Q0jnVkQMri{1ijoNDv z>B(O*6RTZ7V3Ts%xXe&u)Kt!ucQsZ}aZ>AfQ5*{H-5>}CMY^md$onsWc_n9kyAW_g z>|bnny5-tFTVyY_K5?TWQ7G%OT}}${&V<8)__QG^4*Hg-LRK|%M%TZ>oXYQ7kDYm) z<>xxPOs7r^*^r8DhZ;)MrpENM{Hnu_fpQ^evb&qGvf~_GL>nz7*)m#>dr5mxn=*XN)wTD+;PMKW6 zmvbN}$rS*P3ax*}ZM&`G7%3kMgx#G8+sIhuz2dd~Si)%S(Sh8_z&E zhv^nsRJ`>J0eMFdm*f?zfu?DCtECiqPysRf%k_aR!}7#y@HfAK;HWvPn!*aNfD)gn zR|KeJlv*qDQ2u0k(#ep}0?P!`hsRptV14*A0r`!l+@O}^^{g^;2Aq?!S~9kTS{nLL zAu2~SquUv0p6zhGhm{A-sG82MU&nkrn3FZ1RLo6FYO(9S_!dD+hVr|}XfWRqgu@s( z8}7g}k>iYQM>CH;m18>k_P*Foj1G#VhWR;^>4u*{mKJAiF>;*-E_D<=*eKi@5XSI&3CMKY910J^-GU{>acST(UL)7rS%xb2&|f1xsC-0o z3-s5=@whNFS|w$Uv|Vbj@Ga;nwo{^G*pfO3e&`y?`TWQ;5c0Li711ogq?ojn$IeV| z4R9i+W5aptjcwEl%!%emOEPr+Z;F~KyVCbz=}BmQl(Jq9)QtXJY8tu(3~} zSHde7C~ z{>gwab%J)0DZ(R|R>HD`iK}2gpC`vG$80CLsfpIDz^jn3R%7jBOyO74q{+($fUJ1b z1X%wkzLd0qMz~^9kgo793_Cc6hpHR?bQF31XP?8cV7;6J!$ai?a2q)*z+}PD{MT{( zmm+i6OWJFJ;bF%qe21$_Zk?55kqjkwlzr<-j_Tn{4_u(v$`@wPcuZt~G0?tXMY4r} zqer6;xbjIp`c_RiC&5G_4_YF_UdS0h9Fo;&D?j@IqQsZZH8}2}0~s$cmg#>XF}4pL zeVkvSh_|HwrNs6O|HR!zh~jdDN10)gjbMv>>?C>zs};A1WDO10k1goqGiE+EtNCv@ z)qhjBSCxqk8%p2XwOpq-!NSX#8!<4x8`N&}?=YXAUx`M|S$0M2K{a)Ic@=1>6^oGK z1@{tmF=lQPvI!lVFDfF3-*Id8dS8)GgA-Z|&(dy7$IKjGq*_hlWy24U;f_(h8XA^- z>z5apb|?F^xLRngd6OR`NtgDs{3sF{4LU#cwt2pw%sMy$3=r9c6yV@NBE)J_+ZoFy zbMtUioc83Zp;xb55qN>+l=?4y7CE>zz^Bl^OL-G72v(X^AVD#Fz#*R_J7@z7hnW@hv$ht$p1-1A*l8V3khjqk6bfM(xV zU_#6O#_zQw7S_vi)36+XqBwqF09g7>&4f`uivn(^2)Mi(*0l zw%mTA41}dvaQ^nC0V}?iiz`IIQjrV>i5(r3M=W`mw*x;lx>4^DcD(uy1u=R7A8zpq z7~FaZJ-)kAlUk*&zcIreRJa13^h2U%Y>uBMI5whBm5jFzAUVGSG-FKi#YSIF1`|B3G1J*&%x(t2}K?G-44sfg1jUd!c zy*?jR?6&+GP@sZK_pVkIf|e!cSr#!6#%0W(r*>^|Md@ALMpJo9OISB9(uzyUU!qomd?eSB#!fG@^blRkqfByHtL5+L!f1y7kO zk)y=tOxCA~Ex)@jTK}-m8|;TAd^-ow_$2Mrz5qVoupotUox8Jlw>j}Sg*jaaM;oY} z3@{uH4v{{{itD0mFzhq}5&TrcNhT1{xd8=VA&Egzy)czZLF5lWbFz3si^7X&Y0QN@ zLA1rE0q$>74hhfkDgz`0$M9_fz{C0i9LICFv$_pAnQwI(Rw4!+?d2RG8iPsd*kJ{Q zT++HcxAnVGBXKMZX9#KtWPJSQQDS5PW^p4X0od^_e<&V;!989Y)d8)0JphYK`S3k` zCQzv*e0dJA$|xKu?yMZI==0Am#3Ci1IR5_Mw29k_Zap=%fN4-9{J3uOz%?a)`pb*v zV|=FG(oNccBL_i#g4Gb3;}YYb)Z4u@gG#1P;?;Bg*qv9kf$sa$p+7>qn7Rdv4|qo|}HZ^34RxIFw#1d8%~4hsveO)ns4@u{6V z0+n>?CU4-lOy5{%bjUIx%%T+S*OnVK;)Dx+Zrf%A=8UqH;VAMTheaygxg49++Y`5= zj0uSyD5^1-X$T?{m21ndA6Jk)g`VO#*3FUS8XSnlP)jWMdMo`DN84fZ)}w8< zqVJGr28Bo~tiWky7zkBfvLH`lf_t*)){I!-xe{&dhN`g84UqnJ`2xk{R3DNK>&sN& z<1Z(IN+vVZs%tqL{zTP0-exmh7=BW&@7&x8vN(0{P)@-qT>(O6`MWJRxRRi<1Z*IV zOG+rb3s0YBr!p>iQ};&()t|`;sp3W7vBUCMB)VAP2&fuac;o0B3(79w|W&B(_`1H@~2H6hCo4R zDOv;_mvu*>*qtM%`@Bc~0QC|RVK_cKUR{YJy9+fido;P#TW@BU;Uf9K|tQ+cW&YaB3MztXQHr(QX@!sV(E8@>454V zKXmgN$WKuX_|AOz{D9edeHpaym||7;nGHd7?;AHjyB0d)=vI!MjucA_3nPK7CBqha!SGLUWq zy}g<|UCZ6oCl}v@bxAo7x(ScG-$bu{=%kG~)iS|6b@%{Y^J}boY72=09;$P{nBPERd$!**Io3qTuxUsbc`7kV~!wr7R>jfvKY zkwKAivUxKGM>x6(t`C*U}(l@bmyn&9DWO3OU0@J zuCO)mwR9WLqmH+(z!b-O%)<>zii(eB=un!_{BZvc;iqn)1dZ>WlYYd|m0Q2HtzAK# zyYLH<6Ce#xJN_zD1&y{D5^Kd(9=tdF(|Un~mI84CkXebxYESCpfNMDkK_Se;!(p1Y ztTtuZrAvP?%;+f+IV?nm{3_i`SbB)AfBZe9xb^xB<ql(YC(TRL@(WyhzLc1d(zJ`7 zQ!zT2#9jEh*leYKesXV|=#7Wb1tJ$cIdmR6a;bbkK!ppT1-@BcHI6XHzlkdg(<&}` zhb>oFvHr|?<^+7^OsN2UN_qS=jGqJqQ%c%2Tu zC#@@J__I^zQTy=R*7ol?v*GvpMqKocaK!OcL|g{=D+MV|cx1iN-qPE*Ye7eS%3vKb zuHcb!9dsYyVTecESGD3Vz&-hHb#{8g#GRLP23}AfggZ2bb1QES$@SDjEiE0{ewbCC zo1i}z)IT)9uN!grp~MLo3c?@zV8Go}FS)hC{uPBuzO~RL)p}~>tpU2kdie*WLj3+d zgo9HhaM)-)?xH^E?@ZjVP7xh>C;MvHFg(8h&704_N|bx55yOhE zakxO=n)ZLBkJhGIG-z9VuSjt08=M|B`Bo^^3=9-Qgqyotw4vmDLxRTN&KvjedtZPC zbo8;lsIU^57sF>i-?h7^?l~R11-ZxXXbC);aHP2%a%}Io_hl4OQ}{{|BtHYWI+=6Y zx)5a~F5nbeI~o^Pa2>Ry)E3Hm+L_HS@0TY2Z5x`o^lM1Lj|E;Yom+s)A{rU;YBiPQ zQuA zdigB^^GX&UfT({txw0Q@s#nQOBX|8iZ?6nV5*H1389M_pN5iMy8q&qcDXHrdAm6r% z}|FV`Ie}?8sL%c21MH9V?Ovn6nO(kk%dJ|5|g2{~qJ? zC)ase(zhN$g6{)Xxhv3{7?(>0(0<0+Dl!GjO6ny95p_KM0)~fXy^=3-SJeBp*Sf|V zN}M*lA2u^c`r^Plq{`Q=ZPi$Jr(SsgQ?VY_P)hl<-|{kTwZsclW$T7N{gw-lw7xOm zV{228u#ns&nJELk5QbO8hStrwuZYF%JGXBS6lUaBj=crwAIx~2{_O%X2H$m)k9RZj zK#}Y4=*dcAdrKPRvf>%Ikp~4HqIdKCuAuVy8(yL|I^@<;pY<2RUwe_8EG?FkHX=YH zkM7Ob*EgdL8czF+DcWOv+Y=9F+p#yi?i)NDh2VKt1)iY#BD%?JEFXukBFf>nQi&rU zU)D`RK{p5{K&A)!yHX+4D}5Iv<4Zja3+0wqsepQGyDkONjW5^NV+ZGh|F7Mu=IpEcCs zWY-0di-(uh2A|riLg#!mwY0v6xw) zK!kK2z7J|sx**-LlgNeoyk1KBa&d%&Nan$Mxs>}WkbpXp+Injcq|({cL>> z<5yw0FbKW$5=#>~&7_p!lmTnY0of2m%2>efm&nnzgVYGgh__)H3JOm##{aefs-YV` z0t7~M2cuOa`(|r#a=<>s^|{ul(dE2Y<0jozC^1~cf?9y|RkI4i9U+*^plll2Jrobg zq@(0=n@(b^MiumLIA zW8w;zilv07o4?HgW%lH=sen=pev|eiT`@-mPEEliZxU+Vo@IttszJ!?7&eGUh?>3y z;pqhLQ*lUDDyz+qA`jj~nwW#Es!{o&6(;lkZY{Au^4eaXuc^iS6VKvFayLNa?oiTn zcz`hZt;w}x*%@D8U&JO5MB2+dZW{&(J`d1}i(7|k>87S@CWg?YIhWMw08yeZTDh2r zo?hE+c;+?y!3?hQlZIMlA3;su!S5`oJn_fa~?wmev3dtV>?0X zg9cV%YrYUyA&22{$vt{HfA|@=UT!`U#m_`lT*tXPq)^9Yf8el9aF8R8$s5vxwL|$_ z2@ygsrwe9L0){_+1NOxj@NnqFUXOG2Un|Z=iY$7DN_DtqkBzvacs!m&4hg#%HA z(`RkP(%z_oFkEWX2mD-SK@b^6j=x|BQm6Laovx-FQ@HOb-#sQ9izLHC?Em}D0;Z(7 z`#%Rt49YmxWNWOe$_Il8WK0W5@c5-rhL7&$Q9~tXoG_l z|H&{8+M}K?zyt=EES9nO`U`9DgoD4?*u~bdNS(#s{H{Jm;OWs4+KpYYGrugvwLCbLT0ev-Re%#Q{c<*F##}gdd`r+-_x&oL8Ex^ za@?oJAZXOhRK(T1 zSDV!6;_QEA>g!c6`10kaV9z6 zUMoI`&Rz+w*Y6wTAr|?C9T;(^wFA!)T;>Fv_+!5qlol`pAGBZw`?^oObAa_o z$f~eLdbUezA7#?$B)J4+O1&J-@`;h1N)3{rw!(MAItVdCxN46SIk4Qr>CH!VGK^IEXLGtaVxl72>>unL*&sOs!VZ+6>xIV&5;PX^= z`uL+>`z({8B`MZV3ry^QDd?`+SUlURG4LNghR9&p$@2+!fNEE4fTLM4%pOZnc)qKEcodG>bcFGe*eHT<=HeS01H-`^E+g*j zDD1C1Y*wCV?f4r|O%lH}F@Q%ItY}8cm1rmL?Q6zoN$S(P2ABq@4*5F5&MnEX-sx9T zMRwI=2Ddc0PvZ3wpT`Fj{!0xXT2|f5;`1YZUgv9S*__@Cl@;cpCIHSvQP+419@|~O zKZm6GXEABm^W&I-fgjW|J77($Y~rSjT1ENCI5?^K)Xx5O#xf#Nlc#HB0e%s?J=LpR zcU^*Nw`)9WRNQ`Z`&y zNS$f9Zo_l@%MTUX;mcbqJ;jv+vJHIjWekB!8`3E7MW_SWX~Y-nU+yao_3&tAnK2Xw z$`ouhbm3t`iaspVAT^9B{Eh#g><;;)jUDY}nau1A)^JYK#Jvmw{`(A*A4y8V(1^|= z!8BB))>icrIyXkiDn?XZsF@Ote3dgMpw~Yg5+-Pbx>w=F4S_Fof0fYVb66bh&&0-pD$*zFEu6^ zUH2?-HW$kV%;V!xj*h>JAM1zslNWDi}EoK z=USMsC|o&WE6z?V0?0+)wbN}kv6omKT>+q z%0gTcMiawRIoyB+C|-AS(n8~B&88qjzrC4549`6WZiHl)qbt<18S5onVE(vYhISP2 z4_LSl4gpd*$}V78WSC;-Gw4&#zmNP<1zLDc6gZWF-=)=-3yG=u)Gw@*Ckzg5BwzLO zpyH^>r%+5C78!!_FB3~b*2oknq?syi_h#dc{E&IqclDNd832t zp_tV3a6p&CfH46e(|r9XO27UJkL)BI4HW96)5TgIIO>O?nzf2*K6O_XEcG2#JUY`C zPAh_+MFuX~FL-!oz-1x4mY$OC0vx}=a2z@(ZMH+el^!qhV&DMA84j$z)8}K5tP)A0 z)^{t}@GO4qyI@@p!y{Q-H zWjwoLL?Fn&DlpJ;%d&!-$nthpv~h`t#dEsa2fP46Ho;y`eAk4blIoP~@q=N$3o(G2 zhRf~OTXK`adt!0wea~qP79+Kbj~d83Ka5NGq^Gj0UmSz*dT}=1H`B{wI4;pcm*zE6 zqz$A2@K41)^?bD<&rh~ZQeaqE$!m|mIkbps?BngoEbzZ5D{h917N&5hQ8hQMvSdCJ zWzP^U{U~JPy`k)lG(H^nohNWU!gXzXKegE)!X#I4h!NWIYU#YU3rmV3x9HnTW!w{8 z7mBfI!c>^BSm_23Me2!J2f_^hlp#zO#s38iNv$-tk?o|(x#Quqqt@NX^UlM=b7`%n zjJOKjgOlUnu)m~%-}&>6+|sS8w5JWU?nDV;iCX9Yy+Mof9Le|Ny)0MPQBMr6%&4qi=RTP_ZY zu+=0Ewz;b)oA|IA_$fF3*MU$*9{1EWP)UVcobqUKhPrXxMJRakVK1Qb?C3u&zg61` zHNi~8IR@7yQRj5P?|V^`c(g)ckr$^P-cLQm8)!9u;f{Oj&_%FOrr%+6?tk#Cz#&gv zh4m6eC=8YHN@Q1fWVK@Nf`^Fy+8px1Dwv|z|R_^wRG5PjjagCXYAf8~`3GZTO~ccyby2udEX z3jZ0*^QHzMIDc4f_nx_Q)CKsbf^3;^nDO(U=X4+J^T0k2eDXZdgH6XS1D`z8_gTEp z1N%G>dSJL1JP-VxOZb@ekAP2r3`d38U@8Z(5ZQZq;m6#efYaz6us45VkJ|XKvzr({ zq{VV#z2ZFP+`Amp|EE0eKW>i)84KZ|i|E#<9M{MEsfZrEv%phL2sp1=crnUHMR}Zk zDnep;U*D^IZB(|$OW@s6Ire*8zjyn+`Q!SSKh}Hi{$hIEKDH-rAKMf2;Yq^6|D;bm z&M|*nj`fNC8|xGIKPr+RXR*J&91&tY_V$0wAL|?Q$Nr1!gBbZF=KIbApTtq$XY)P} z?BRjoe9Jj_KM=2&&qX|kM|m;I%HtjIquNDmbVP*2J3_nzY>Vh0 z)jp=1 (BYTE COUNTER = 0 - ADRESS) // BYTES TO TRANSMIT MUST WRITE WHEN WR POSEDGE, BYTE COUNTER CAN BE ZERO // (FIRST BYTE TRANSMITTED AFTER ADRESS). -// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (DECAUSE MASTER STOPS TRANSMIT) +// LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (BECAUSE MASTER STOPS TRANSMIT) parameter I2C_ADRESS = 7'h34; parameter MAX_I2C_TRANSACTION_EXP2 = 8; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) diff --git a/i2c_keyboard/matrix_kbd.v b/i2c_keyboard/matrix_kbd.v index 766c13b..8ea03cb 100644 --- a/i2c_keyboard/matrix_kbd.v +++ b/i2c_keyboard/matrix_kbd.v @@ -5,11 +5,16 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu // # - LSHIFT (E1), 9 - C (06), 6 - V (19), 3 - DELETE (4C) // D - LCTRL (E0), C - LALT (E2), B - SPACE (2C), A - RGUI (E7) - parameter ONE_ROW_TIME = 12000; - parameter ROW_STT_PROCESS_TIME = 11000; + parameter ONE_ROW_TIME = 8000; + parameter ROW_STT_PROCESS_TIME = 7000; parameter ONE_COLUMN_PROCESS_TIME = 50; + parameter ONE_ROW_TIME_POW = 12; // 15 - 65536 tacts or 5.46 ms, 14 - 32768 tacts or 2.73 ms, 13 - 16384 tacts or 1.36 ms, + // 12 - 8191 tacts or 683 mks, 11 - 4096 tacts or 341 mks, 10 - 2048 tacts or 171 ms, 9 - 1024 tacts or 85 mks, 8 - 512 tacts or 43 ms, + // 7 - 256 tacts or 21 mks, other values have no guaranties + parameter ONE_CALC_TIME_POW = 4; // 3 - 16 tacts or 1.3 mks, 4 - 32 tacts or 2.7 mks, 5 - 64 tacts or 5.3 mks, 6 - 128 tacts or 10.7 mks + // ONE_ROW_TIME_POW > (ONE_CALC_TIME_POW - 3); ONE_CALC_TIME_POW > 2 (if 2 or smaller, top module overrun may occur) - reg [15:0] row_time = 0; + reg [12:0] row_time = 0; reg [3:0] row_counter; reg [7:0] temp; @@ -31,11 +36,12 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu reg [8:0] ram_adr; wire [7:0] ram_rd; + reg [3:0] init_delay_cnt; reg [8:0] init_ram_cnt; - always @ (negedge CLK) begin + /*always @ (negedge CLK) begin COLS_SHADOW <= COLUMNS; - end + end*/ ram RAM (CLK, ram_wr, ram_adr, temp, ram_adr, ram_rd);//module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); @@ -44,36 +50,56 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu for (i = 0; i < 6; i = i + 1) report[i] = 0; isr = 0; + init_delay_cnt = 0; init_ram_cnt = 0; + row_time = 0; end else begin if (FREEZE == 0) begin - if (init_ram_cnt < 256) begin + if (init_delay_cnt != 15) + init_delay_cnt = init_delay_cnt + 1; + else if (init_ram_cnt < 256) begin ram_wr = 1; ram_adr = init_ram_cnt; temp = 255; init_ram_cnt = init_ram_cnt + 1; end - else if (init_ram_cnt == 256) begin + /*else if (init_ram_cnt == 256) begin ram_wr = 0; init_ram_cnt = init_ram_cnt + 1; - end + end*/ else begin - if (row_time == ONE_ROW_TIME) begin + row_time = row_time + 1; + if (row_time == 0) begin//== ONE_ROW_TIME) begin ram_wr = 0; - row_time <= 0; + //row_time <= 0; row_counter = row_counter + 1; ROWS_EN = 1 << row_counter; ram_adr = row_counter; end - else - row_time <= row_time + 1; // ROW 0 - D, 1 - A, 2 - C, 3 - B - if (row_time == (ROW_STT_PROCESS_TIME - 1)) + /*if (row_time == (ROW_STT_PROCESS_TIME - 1)) begin temp = ram_rd; - if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7 + 1)) - ram_wr = 1; - if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 0)) + COLS_SHADOW <= COLUMNS; + end*/ + //if (row_time == 8191/*(ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7 + 1)*/) + // ram_wr = 1; + + if ((row_time[12:8] == 31) && (row_time[4:0] == 0)) begin + //temp = ram_rd; + //COLS_SHADOW = COLUMNS; + if (row_time[7:5] == 0) begin + temp = ram_rd; + COLS_SHADOW = COLUMNS; + end + check_column (row_time[7:5]); + if (row_time[7:5] == 7) + ram_wr = 1; + end + else + kbd_code = 255; + + /*if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 0)) check_column (0); else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 2)) check_column (2); @@ -90,15 +116,17 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7)) check_column (7); else - kbd_code = 255; + kbd_code = 255;*/ // START PACK I2C_HID REPORT if (kbd_code_hid != 0) begin - if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8)) begin + if (kbd_code_hid[7:3] == 5'b11100) begin + //if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8)) begin if (is_pressed) report [0] = report [0] | (1<<(kbd_code_hid & 8'h07)); else report [0] <= report [0] & (~(1<<(kbd_code_hid & 8'h07))); + isr = 1; end else begin if (is_pressed) begin diff --git a/i2c_keyboard/ram.v b/i2c_keyboard/ram.v index 9ce03a6..b84cc78 100644 --- a/i2c_keyboard/ram.v +++ b/i2c_keyboard/ram.v @@ -1,5 +1,5 @@ module ram(input clk, wen, input [8:0] waddr, input [7:0] wdata, input [8:0] raddr, output [7:0] rdata); - reg [7:0] mem [0:255]; + reg [7:0] mem [511:0]; reg [7:0] r_data; reg [7:0] w_data; reg [7:0] w_addr; diff --git a/i2c_keyboard/top.v b/i2c_keyboard/top.v index 9ff2b6a..7fa52d9 100644 --- a/i2c_keyboard/top.v +++ b/i2c_keyboard/top.v @@ -4,6 +4,8 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, input COM_RX, output COM_TX, COM_DCD, COM_DSR, COM_RTS, input [7:0] KBD_COLUMNS, inout [15:0] KBD_ROWS); + parameter INTERRUPT_TMR_REFLESH = 14; // 14 - 2^14=16384 tacts or 1.37 ms, 19 - 2^19=524288 tacts or 43.7 ms, 23 - 2^23=8388608 tacts or 0.7 s + // 23 - 1119 LCs, 14 - 1081 LCs (in commit 1b6fc60221b595c2a0f69509d29b6e5c3110feb0) wire RESET; reg [3:0] rststate = 0; @@ -31,7 +33,7 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, wire [7:0] kbd_report [6:0]; wire ISR; reg INT = 1; // INTERRUPT LINE TO HOST - reg [19:0] int_tmr; + reg [INTERRUPT_TMR_REFLESH:0] int_tmr; reg KBD_FREEZE = 1; // LOGIC REG FOR BLOCK KBD ACTIVITY WHEN I2C IS WORKING //reg IS_EMPTY_REPORT = 0; // REGISTER FOR CORRECT START (HOST MUST REQUEST EMPTY REGISTER AFTER INTERRUPT. THEN INTERRRUPT SET TO 1) matrix_kbd KEYBOARD (CLK, RESET, 0 /*KBD_FREEZE*/, KBD_ROWS, KBD_COLUMNS, kbd_report[0], kbd_report[1], kbd_report[2], kbd_report[3], kbd_report[4], kbd_report[5], kbd_report[6], ISR); @@ -267,10 +269,10 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, else if (UART_WR == 1) UART_WR = 0; - else if (int_tmr[19] != 1) + else if (int_tmr != ((1<<(INTERRUPT_TMR_REFLESH+1))-1))//[INTERRUPT_TMR_REFLESH] != 1) int_tmr = int_tmr + 1; - else if ((int_tmr[19] == 1) && (I2C_OUTPUT_TYPE == 3) && (I2C_TRANS == 0)) begin + else if (/*(int_tmr[INTERRUPT_TMR_REFLESH] == 1) &&*/ (I2C_OUTPUT_TYPE == 3) && (I2C_TRANS == 0)) begin if (ring_rd != ring_wr) INT = 0; end