diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite index af9f02f..589ca44 100644 Binary files a/i2c_keyboard/.sconsign.dblite and b/i2c_keyboard/.sconsign.dblite differ diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc index 1a6b99d..51e46c3 100644 --- a/i2c_keyboard/hardware.asc +++ b/i2c_keyboard/hardware.asc @@ -1,30 +1,30 @@ .comment arachne-pnr 0.1+ (git sha1 40e220b, g++ 4.8.4-2ubuntu1~14.04.3 -O2) .device 1k .io_tile 1 0 -000011011000000010 -000101010000000000 -000000000000000000 -000000000000000001 +000000000000000010 +000100000000000000 +000011010000000000 +000011011000000001 000000000000000001 -000000000001000000 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000010 -000000000001000000 +000000000011000000 000000000000000000 000000000000000001 000000000000000001 000000000000000000 .io_tile 2 0 -000001011000000010 -000000000000000000 +000000000000011010 000000000000000000 +000001011000000000 +000000001000000001 000000000000000001 -000000000000000001 -000000000001000000 -001100000000000000 +000000000011000000 +001100000000011000 000000000000000000 000000000000000000 000100000000000000 @@ -35,26 +35,26 @@ 000000000000000000 000000000000000000 .io_tile 3 0 -000000000001100000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000100000000100000 -000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 +000100000000000000 +000000000000000000 000000000000000000 000000000000000000 +000000000001100000 000000000000000000 000000000000000000 .io_tile 4 0 000000000000000000 -000100000000000000 -100000000000100000 +000100000000000001 +000000000000000000 000000000000000001 000000000000000000 000000000000000000 @@ -62,37 +62,37 @@ 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 +010000000000000000 +000000000000000000 000000000000000000 -000000000000010000 000000000000000001 000000000000000000 000000000000000000 .io_tile 5 0 000000000000000000 -000100000000000001 +000100000000000000 000000000000000000 -000000000000000001 +001000000000000001 000000000000000000 000000000000000000 001000000000000000 000000000000000000 000000000000000000 000000000000000000 -100000000000000000 000000000000000000 000000000000000000 +000000000001100000 000000000000000001 000000000000000000 000000000000000000 .io_tile 6 0 -000000000000000000 +000000000000011000 000100000000000000 000001111000000000 -000000001000000000 +000000000000000000 000000000000001100 000000000000000000 -001000000000000000 +001000000000011000 000000000000000000 000000000000000000 000000000000000000 @@ -103,20 +103,20 @@ 000000000000000000 000000000000000000 .io_tile 7 0 -000001010000001000 +000000000000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000100 +000000000000001100 000000000000000000 -000000000000001000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 -010000000000000000 000000000000000000 -000000000000011001 +000010000000000000 +000010010000000001 000000000000000000 000000000000000000 .io_tile 8 0 @@ -126,11 +126,11 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000001000 000000000000000000 000000000000000000 -100100000000000000 000000000000000000 +000100000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -138,9 +138,9 @@ 000000000000000000 .io_tile 9 0 000000000000000000 -000100000000000001 +000100000000000000 000000000000000000 -000000000000000001 +001000000000000001 000000000000000000 000000000000000000 001000000000000000 @@ -177,14 +177,14 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000001000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 -000000000000001000 000000000000000000 +000000000001100000 000000000000000000 000000000000000000 .io_tile 12 0 @@ -222,211 +222,211 @@ 000000000000000000 000000000000000000 .logic_tile 1 1 -000000000000000001000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000000000000000011100000000001010000000000 -000000000000000000000000001101101000000010010000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 1 -100000000000000000000011100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000111100000000000000000000000000000 -110000000000000000000100000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000011 +000000000000000000000000001101000000000010000010000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001001000000000010000000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +100000000000000011100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111010100000000001000000000000000000000000000000000000 +000001000000000001000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +010001000000000000000000001001000000000001000100000001 +000000000000000000000000000001000000000011001000000000 +000000000000000000000000001101100000000001000100000000 +000000000000000000000000000001000000000011001010000010 +000000000000000000000011000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +110010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .ramb_tile 3 1 -000000000000000111000000000111100000000001 -000000000110000000000011110101000000000000 -111000000001010000000111010000000000000000 -000000000000101001000111010101000000000000 -110000000000000011100000000011000000000011 -010000000000001011100000001001100000010000 -000000000000000000000000001000000000000000 -000000000000000000000000001001000000000000 -000000000000000000000010111111100000001010 -000000000000000000000110101001100000000000 -000000000001010000010000000000000000000000 -000000000000100000000000000001000000000000 -000000100000000000000000001111000000000010 -000000000000000000000011100001100000000000 -110000000000000011100000000000000000000000 -110000001110000111100010110000000000000000 +000000000000000000000111001001100000000000 +000000000000000111000100001101001010000001 +111000000000000101100000010000000000000000 +000000000000001001100011011011000000000000 +111000000000100111100000001001000000010000 +110000000001010000100000001001100000000000 +000000000000001000000011101000000000000000 +000000000000000101000100001011000000000000 +000000000000000111100110100101100000000000 +000010000000000000100100000001000000000001 +000000000000000000000010000000000000000000 +000000001110000001000000000111000000000000 +000000000000000000000010001011000000000000 +000000000000000001000000000011000000000000 +010010000000000000000000001000000000000000 +010001000000000000000010001111000000000000 .logic_tile 4 1 -100000000001010111000000010000000000000000000000000000 -000000000000000111100011000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -010000000000000000000000001001000000000000110100000000 -010000000000000000000000000001001000000001110000000000 -010000000001010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000001011100110001111000001000000000000000000 +000000000000000001000010000011001010000000010000000000 +000010100000001001000010000011111011111000010000000000 +000001000000000001100110010101011010010000100000000100 +000100000000001011100111101001011101001100000000000001 +000100000000001111100110011001101111000100000000000000 +000000001010001001010010010011011001111001000000000000 +000000000000001111100110100001001000111010000000000000 +000000000000000001000000010111101011101100010000000000 +000000000000001101100011100101011010101100100000000000 +000000000000000001100011101001011100000110100000000000 +000000000000000011000110111101011100001111110000000000 +000000000010000000000000000101111000101000000000100000 +000000000000000011000000001011011001100100000000000000 +000000000000000000000110001101011010101000000000000000 +000000000000001101000000001011111011100100000000000000 .logic_tile 5 1 -000000000000000001100000000000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -111000000000000000000110001101100001000010100000000000 -000000000000000001000000001001001100000001100000000000 -010100000000000000000000010101100001000010100000000000 -000100000000000000000010001001101110000001100000000000 -110000000000000111000000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000011100000000001100000000010000100100110 -000000100000000000100000001101000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000011100000000001100000000010000100000110 -000000000000000000100000000001000000000000000000000000 +000000000001011011100010000001111110000110100000000000 +000000000110000001000000000111001101001111110000000000 +000000000000100001100011001011111110101100010000000000 +000000000000000000000100001011001000101100100000000000 +000000000000001001100110011011011010001111110000000000 +000000000000000101000010000111111010101111110000000000 +000000100000001111100011010101100000000010000000000000 +000000000000000001100011010101000000000000000000000000 +000000000000001111000111100001101100101000010000000000 +000000000000000011100111010111001100111100110000000000 +000000000001000011100110111011100000000010000000000000 +000000000000001001000011000011101001000000000000000000 +000000000000000101100000001111011010001000000000000000 +000000000000000000000011011101101011001100000000000100 +000000000000001011100000001001000000000000000000100010 +000000000000000101000000001001001011000000010000000001 .logic_tile 6 1 -000000000000000101100000000101100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000001000000000000000000000001000000001000000000 -000000000000000000000010010000001101000000000000000000 -000000000000000101100010110000000000000000001000000000 -000000000000000000000110100000001011000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000001101000010010000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000010100000000000000000001000000000 -000000000000000000000100000000001011000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000001000000 -000000000000000000000000000101000001000000010000000000 -000000000000000000000000001101001011000000000000000001 +100000000000000011100000011111000000000001000100000000 +000000000000000000000010011001100000000011001001100000 +111000000000001011100010001001100000000001000101000000 +000000000000001101100010001001000000000011001000000000 +110000000000000000000000001001000000000001000100000000 +110000000000010000000000001001000000000011001001000100 +000001001100001000000000000000000000000000000000000000 +000000100000001111000000000000000000000000000000000000 +000000000000000000000000001101100000000001000100100001 +000000000000000000000000001001000000000011001000000000 +010000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +010001000000000000000011011001100000000001000100000000 +000010000000000000000010011001000000000011001001000000 +110000000001000000000000001001000000000001000110000000 +000000000000000000000000001001100000000011001000100000 .logic_tile 7 1 -100000000000000011100000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111100000000101001000000000000000000000000000000000000 -000000000001011101000010000000000000000000000000000000 -010000000100000000000011100000000000000000000000000000 +111000000000000001000000000011000001000001010100000010 +000000000000000000000000000101001000000001100000000110 110000000000000000000000000000000000000000000000000000 -000000001110000011110000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -010000000000000000000000001001000000000001000100100010 -000000000000000000000000001001000000000011001000000000 -010100000000000001000000001101100000000001000101000010 -000000000000000000000000000001000000000011001000000000 -000000000000000000000000001011100000000001000110000000 -000000000000000000000000001001000000000011001000000000 -110000000000000000000000001001100000000001000101000000 -000001000000000000000000000001000000000011001000000001 +100000000000000111000000000000000000000000000000000000 +000000000000000000000000001111100001000010010100000000 +000000000000000000000000001011101000000010100010000001 +010000000000000000000000000000000000000000000100000000 +000000000000001001000000001111000000000010001000000000 +000000001100000000000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +110000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 1 -100000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000001110000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010001000000000 -010000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000100000000000000000000000000000000000000000 -000000000000011101000000000000000000000000000000000000 +000000000000000000000000000101000000000010010000000000 +000000000000000000000000000011001001000011000000000100 .logic_tile 9 1 -100000000010000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000010100000000000000000000000000000 -000000000000000001000100000000000000000000000000000000 -010100000000000000000110000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -010000000000000000000110000001101011111001010100000011 -000000000000000000000000000001011101110000001000000000 -000001000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000001001111011111001010110000000 -000000000000000000000000001001011101110000001000000000 +000000000000000000000000000101000000000000001000000000 +000000000000001101000000000000000000000000000000001000 +000000000000001001000110010000000001000000001000000000 +000000000000000001000010000000001101000000000000000000 +000000000000000000000110010000001001001100111000000010 +000000000000001101000010000000001011110011000000000000 +000000000000000101000010100000001001001100110000000000 +000000000000000000100110110000001011110011000000000100 +010000000000000000000000001101011000000100000000000000 +000000000000000000000000001001101011000000000000000000 +000000000000000000000111001001100000000001000000100000 +000000000000000000000100001001000000000000000000000000 +000000000000000000000000000000000000011010010000000000 +000000000000000000000000000000001000100101100000000100 +000000000000000000000000000101100000000011000000000000 +000010000000000000000000000101000000000000000000000000 .ramb_tile 10 1 -000000000100000011000111100001100001000000 -000000000000000000000110001001101011000000 -111000000000001011000011000000000000000000 -000000000000000111000100000011000000000000 -110000000000000111000011110001000000000000 -010000000000000000000011110101000000000000 -000000000000000001000011101000000000000000 -000000000000000000000000001011000000000000 -000000000000000111100111101001100000000000 -000000000000000000100111100001000000000000 -000000000000000000000010000000000000000000 -000000000000000000000000001001000000000000 -000000000000000000000000000101000000000000 -000000000000000000000000001101100000000000 -010000000000000000000000000000000000000000 -110000000000000000000000001011000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000 .logic_tile 11 1 100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000001011100010000000000000000000000000000000 -000000000110001101100010000000000000000000000000000000 -010000000001010000000011100000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000001111000000000001000100000000 -000000000000000000000000001001000000000011001000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -010000000000000000000000001001100000000001000100000000 -000000000000000000000000001001000000000011001000000000 -010000000000000111100000001001100000000001000100000000 -000000000000000000100000001001000000000011001000000000 -110000000000000000000000001011000000000001000100000000 -000000000000000000000000001001100000000011001000000000 -.logic_tile 12 1 +111000000000001001000000000000000000000000000000000000 +000000000000001111000011010000000000000000000000000000 +110000000000000000000000001001100001000010110100000000 +110000000000000000000000000101001001000000110010000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000100011010000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000001001100001000000110100000000 +000000001110000000000000000001001011000001110000000100 +.logic_tile 12 1 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000000000000000000000000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000001111000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .io_tile 13 1 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -446,11 +446,11 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000011000 +000000000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000100000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -460,209 +460,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 2 -000000000000000001000010000001000000000000001000000000 -000000000000000000100100000000100000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000001000000000000000000000000001101000000000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000001001000000000000001101110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000010010000001011110011000000000000 -000000000000000000000110100000001001001100111000100000 -000000000000000000000000000000001111110011000000000000 -000000000000000000000000010000001000001100111000000000 -000000000000000000000010100000001000110011000000000000 -000000000000000101100000000000001000001100111000000000 -000000000000000000000000000000001110110011000000000000 -000000000000000000000110100000001000001100110000000000 -000000000000000000000000000000001010110011000000000000 +000000000001010001000000010101000000000000000000000000 +000000000000000000000011001111000000000001000000000100 +000000000000000001100111011001100000000011000001000000 +000000000000000001000111111101100000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +010000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000100000000001000000000001001100000000000000001000100 +000100000000000001000000001011000000000001000000000001 +000000000000000001000010011111101100000010000000000001 +000000000000000000100110101011001000000000000000000000 +001000000000000000000010001001100000000010000000000000 +000000000000000000010100000001100000000000000001000000 +000000000000000001000000001001000000000000000000000000 +000000000000000000100000000011100000000001000000000110 .logic_tile 2 2 -100000000000001001000000010000000000000000000000000000 -000000000000000111100011100000000000000000000000000000 -111000000000000000000000001001000000000001010100000000 -000000000000000000000011000111001101000010010001000000 -110000000000001000000000000000000000000000000000000000 -010000000000001111000011010000000000000000000000000000 -000100000000000000000000000001000000000001010110000000 -000100000000000000000000000001101101000010010000000000 -000000100000000000000111100000000000000000000000000000 -000001000000000000000010000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000000000000110000101000001000001010100000000 -000000000000000000000100001111101101000010010000000000 -010000000000000000000000000001100000000001010101000000 -000000000000001101000000000101101101000010010000000000 +000100000000000111000111000111100000000000001000000000 +000100000000000111100010000000000000000000000000001000 +000000000000000111100000000001100000000000001000000000 +000000001100000111100000000000000000000000000000000000 +000000100000000111100000000011100001000000001000000000 +000000000000000000100011100000101010000000000000000000 +000000000000000011100000000001000000000000001000000000 +000000000000000000100000000000101011000000000000000000 +000000000000000000000000000001000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000001010000000010000001000000000000001000000000 +000000001110100001000100000000000000000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000100000000000000000000000000000111100000000000010 +000000000000000000000000000011101011001001110000000000 +000000000000000011000000001001001000000101110010000000 .ramt_tile 3 2 -000000000000000111000111101001100000000000 -000000010000100000100100001111100000000001 -111000000000000101100110100000000000000000 -000000010000000000100000000001000000000000 -000000000000000000000110101011000000000000 -000001000010000000000100000111100000000000 -000000000001000000000000001000000000000000 -000000000000100000000000000001000000000000 -000000000000000011100111000011100000000010 -000000000000000000000100001101100000010000 -000000000000001000000111100000000000000000 -000000000000000011000100001001000000000000 -000000000000000001000000000011000000010000 -000000000000000000000000000111000000000001 -110000000000000111000011100000000000000000 -010000000100000000000000000000000000000000 +000100000000001000000011100001100000000000 +000100010000001111000011001001001000000000 +111100000000000111100000010000000000000000 +000100010000000000100010110101000000000000 +000000100000001001000000001111100000001000 +000000000000101101000000001001000000000000 +000000000000000111100000011000000000000000 +000000000000000011000011100101000000000000 +000010100000000000000000001111000000000000 +000000000000000000000000000101100000000000 +000000000000000111100000001000000000000000 +000000000000000001100000000011000000000000 +000000000000000000010000000001000000000000 +000000000000000000000011100101100000000000 +110000000000000000000000001000000000000000 +010000000000000111000000001101000000000000 .logic_tile 4 2 -000000000000001111100011100101101001101000000000000000 -000000000000001011100110110111111010100100000001000000 -000000000000010001000110100011001010011000000000000000 -010000000000101101100100000111011111110000000001000000 -000000000000001011100011110001101010010000000000000000 -000000000000100101000110100101111110000000000001000000 -000000000000000001000011000011100001000000000000000000 -000000000000000000100110110111001110000000010000000000 -000000000000001000000000001001000000000001010000000000 -000000000000001011000011101101001101000010010001000000 -000001000110001000000000000001101011101000000000100000 -000000000000000111000000000101011000100100000000000000 -000000000000001011100110010111100000000000100000000010 -000000000000001001000010010001101111000000000000000000 -000010100001011000010000011001001011101000000000100000 -000001000000111001010010010111111000100100000000000000 +000000000001000000000110100001100000000000001000000000 +000000001000000000000100000000000000000000000000001000 +000000000000000011000011000000000000000000001000000000 +000000001000000000000000000000001001000000000000000000 +000000000000000111000000000000001000001100111000000000 +000000000000100000100000000000001110110011000010000000 +000010000000000101100000000000001001001100111010000000 +000001000000000000100000000000001001110011000010000000 +000000000000000000000110100000001001001100111000000100 +000000000000000000000000000000001010110011000000000010 +000000000000100000000000000000001000001100111000000000 +000000000000010000000000000000001110110011000010000000 +000000000000001101100000000000001000001100111000000000 +000000000000100101000000000000001010110011000011000000 +000000000000000000000000000000001000001100111010000000 +000000000000000000000000000000001101110011000000000000 .logic_tile 5 2 -000001000000000001000010010001000000000000001000000000 -000000000000000000100110000000000000000000000000001000 -000000000000000000000111100000000001000000001000000000 -000000000000000000000011100000001100000000000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001001110011000000000000 -000000000001000101000010100000001000001100111000000000 -000000000000000000000011100000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001011110011000000100000 -000000000000000001000000000000001001001100111000000000 -000000000000000000000000000000001001110011000000000000 -000000000000001000000000000000001001001100110000000000 -000000000000000011000000000000001010110011000000000000 -000100000000000000000000001001000000000010100000000000 -000100000000100000000000001101101110000001100000000100 +100001000000000101000010100101111001110000000110000000 +000010000000000000100110011001101010110001011000000000 +111001000000011001000110100011000001000001010101000000 +000000100000101011000010001101001000000001101001000000 +110010000000000111100000010001000001000001010100000011 +100001000000000000100010101011101001000001100000000000 +000000001101010101100000000111111001110000000110000000 +000000000000000000000010110011111001110001011001000000 +010000101010000001000000010011111001110000000100000000 +000000000000000101110010101001101000110001011001000001 +011000000000100000000111000000000000000000000000000000 +000000000001000000000100000000000000000000000000000000 +000001000000000000000000000001000001000001010100000010 +000000100000000000000000000101001001000001100000000001 +110000000001100000000110100000000000000000000000000000 +000000000001110000000000000000000000000000000000000000 .logic_tile 6 2 -000000000000000001100000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -111000000000000001100000001101100000000010100000000001 -000000000000000001000000000001001100000001100000000000 -010000000000000000000110100000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000101100000000101000000000010100000000000 -000000000000000000000000000111101100000001100000000101 -000000000000000011100000010011000000000010010000000001 -000000000000000000000011011011001000000011000000000100 -000000000000000000010000000001100000000010000110000010 -000000100000000000000000000001000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000010111111011000100000000000000 +000000000000000000000011111011011011000000000000000000 +000000001110100011000110010111000000000000000000000000 +000000000000010001100010001011000000000001000010100000 +000000000000001011000110110000000000011010010000000000 +000000000000000001100010000000001010100101100000000000 +010000000000000001100111011101111000111100000000000010 +000000000000000000000111000001001010011100000000000100 +000010000000000000000011111001100001000010000000000001 +000011000000000000000011101001101110000000000000000000 +000000000000000000000000000011101001000010000000000000 +000000000000011111000000001101011111000000000000000000 +000000000000000101100011110111000000000000000000000000 +000000000000000000010010101111100000000001000000000010 +000000000011011101000000010101000000000000000000000000 +000001000000100111100011111011100000000001000000000000 .logic_tile 7 2 -000000000000000001000010010000000000011010010000000000 -000000000000000011100010110000001111100101100000100000 -000000001100001001000010001111111010000000000000000000 -000000000000000111000010100101011101110000000000000000 -000000000001001001100000011011011100001001000000000000 -000000000000000001000010001111001100001010000000000000 -000000000000000011100110010001001110110010100000000011 -000000000000001101000011101101001001110000000001000000 -010000000000000011000111000001100000000000000000000000 -100000000000001011000000000101100000000001000001000000 -000000000000000011000000000001101000110110000000000001 -000000001110000001100000000001111110110101000000000000 -010000000000000011000011001001100000000000000000000010 -000000000000001011100000000011000000000001000000000000 -000000000000000111100110100001111011000010000000000000 -000000000001010000100100000101001011000000000000000000 +100000000000000011100111101101111001001110100111000000 +000000001100001101000110010101101001001100000000000000 +111000000000000001000010100101111000110000000101000000 +000000000000000001000111011001101100110001011010000000 +110000000001010111000111110101011000110000000100000000 +100000000000100111000110101101001100110001011001000000 +010000000000100101000111101111000001000001100110000000 +000000000000011101100010110011001000000001010001000000 +010000000000000111100000001101100001000010010100000010 +000000000000001111000000001111001000000010100000100000 +000000000000010111100010001001011000110010100110100100 +000000000000000000100100001001001011110000000000000000 +000000000000000001000111100011111000110010100100100001 +000000000000000000000100000101101111110000000001000000 +110000000000000000000111100001000001000001010100000000 +000000000000000000000100000001101000000001100010000100 .logic_tile 8 2 -100000000010000011000000000101000001000001010101000000 -000000000000001001100000001111001000000001100000000000 -111000000000000001000010100101100001000001010101000000 -000000000000000001000100001111001000000001101001100000 -010000000000000000000000001011100001000010010101000000 -000000000000001101000000000011001000000010100000100000 -010000001000001101000010110111100001000010010101000001 -000000000000000001100110001011101000000010100010000000 -010000000000100000000110101001111011111001010110100000 -000000000000010000000000001011001011110000001000000000 -000000000000101101000000010001111111111001010100000000 -000000000001000101000010100001001001110000001010000001 -000000000000000000000110111001111011111001010101000000 -000000000000000000000010100011011011110000001000000001 -110000000000000001100010100001000001000010010111000000 -000000000000000000000000000001001000000010100011000000 +000000000000000000000010100001100000001111000000000000 +000000000000000000000110110000000000110000110000000000 +111000000000001001100110011001100000000011000000000000 +000000000000000001000010000001100000000000000000000000 +010000000100001001100110001001001010000010000000000000 +110000000000000001000010110001111010000000000000000000 +000000000000001001100110011001101101111011110000000000 +000000000000000001000010000001111001111111110000000000 +000000000000000000000000001101101011111110000000000000 +000000000000000000000010010101101011111100000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000100000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000100000000 +110100000000000000000000001001101001111110000100000000 +000100000000000000000000000001111001111100000100000000 .logic_tile 9 2 -000000000000000111000011100001000000000000001000000000 -000000000000000000000000000000100000000000000000001000 -000000000000001101100010110000000000000000001000000000 -000000000000000101000010100000001000000000000000000000 -000000000000001101100000000000001000001100111000000001 -000000000000000101000000000000001010110011000001000000 -000000000001000000000000000000001000001100111000000000 -000000000010000000000000000000001010110011000001000000 -000000000000000000000000000000001001001100111010000000 -000000000000000000000000000000001001110011000000000000 -000000000000000000000000000000001001001100111000100000 -000000000000000000000000000000001000110011000000000001 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001000110011000000000011 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001001110011000000000000 +100000000000000000000000001101011001110010100100000000 +000000000000011101000000001101001001110000001000000100 +111000000000000001000010100000000000000000000000000000 +000000000000000001000010100000000000000000000000000000 +110000000000000000000010100000000000000000000000000000 +010000000000001011000010100000000000000000000000000000 +010000000000000101000010100000000000000000000000000000 +000000000000000000100110110000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000001011000011100000000000000000000000000000 +000000000000000000000000001011011001001111100100000001 +000000000000000000000000001101001001001110100000000100 +000000000000000000000000001101011000110010100100000001 +000000000000000000000000000101001011110000000000100000 +110000000000000000000000000001011000110000000100000001 +000000000000000000000000000101001011110001011000000000 .ramt_tile 10 2 -000000000000000000000110001101100000000000 -000000010000000011000100000111101111000000 -111000000000000001100110000000000000000000 -000000010000001011100111000011000000000000 -000000000000000000000000001001000000000000 -000000001110000000000000001001100000000000 -000000000000000000000000011000000000000000 -000000000000000011000010010101000000000000 -000010100000000111000000000001100000000000 -000001000000000000100000000001000000000000 -000000000000000000000110000000000000000000 -000000000000001001000100001111000000000000 -000000000000000000000110011001100000000100 -000000000000000000000111100001100000000000 -110000000000000001000000001000000000000000 -010000000000000000000000001011000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 +000000000000100000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 .logic_tile 11 2 -000000000001001000000010111111111101001001000000000000 -000000000000000101000010000011101110001010000000100000 -000000001100000101000000001101101111011100100001000000 -000000000000000000000010100001101101011100010000000000 -000000000000000000000010110111011101001001000000000000 -000000000000000000000110100011101110001010000000000000 -000000000000010000000010110000000000000000000000000000 -000000000000100000000010000000000000000000000000000000 -000000000000001000000000001001011100101000000000100000 -000000000000001001000000001001111110100100000000000000 -000000000000001001000010000001001011111000000000000001 -000000000000001001000000000111111011111100000000000000 -000000000000000001000000010101011100101000000000000000 -000000000000000001000010010001111110100100000000000000 -000000000000000001000000010000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 +000000000000001111000000000111100000000000001000000000 +000000000000001001000000000000100000000000000000001000 +000000000000000000000000000001000000000000001000000000 +000000000000000000000000000000001101000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001110000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000010100000000111100011000000000000000000001000000000 +000000000000001011100111110000001101000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000111000000000000001000111100000001000000 +000000000000000000000011000000000000111100000000000000 .logic_tile 12 2 -100000000000001000000000001111100000000000110110000010 -000000000000001011000000000001001000000001110000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -010000000000000000000011100000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -010000001110000000000000000000000000000000000000000000 +100000000000000101000000000000000000000000000100000000 +000000000000001101100000001101000000000010000001000000 +111000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +110000000000000000000010100000000000000000000101000000 +000000001010000000000100000001000000000010000000000000 000000000000000000000000000000000000000000000000000000 -001000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000000011000000000010000000000000 +010000100000000000000000000000000000000000000100100000 +010000000000000000000000000001000000000010000000000000 .io_tile 13 2 000000000000000000 000000000000000000 @@ -698,222 +698,222 @@ 000000000000000000 000000000000000000 .logic_tile 1 3 -000000000000000001100010000000000000000000000000000000 -000000000000000000100100000000000000000000000000000000 -000000000000000101000010101011000000000001010000000000 -000000000000000000000010101001001001000010010000000000 +100000000000001000000111100000000000000000000000000000 +000000000000001111000110010000000000000000000000000000 +111000000000000000000000000001001001111100100101100000 +000000000000000000000000001001011011111100000000100100 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001000000000001010000000000 -000000000000000101000010001001001101000010010000000000 -000000000000000000000000001111100000000001010000000000 -000000000000000000000000000001001001000010010000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 3 -000000000000001000000111100101100001000001010000000000 -000000000000001001000110001011001100000010010000000000 -000000000000010000000000000000000000000010000001000000 -000000000000001101000011000101000000000000000000000000 -000000000000000000000111010000000001011010010000000000 -000000000000000001000110010000001000100101100000000000 -000000000000000000000000010001101000101000000000000000 -000000000000001101000010011101111001100100000000000000 -000000000000000011000000010000000000000010000000000000 -000000000000000000000010000001000000000000000010000000 -000000000000000000000000001101001000101000000000000000 -000000000000001011000000001101111001100100000000000000 -000000000001000000000110000011000001000001010000000000 -000000000000000000000100001111001100000010010000000000 -000000000000000000000000000000000000000010000001000000 -000000000000000000000000001101000000000000000000000000 +100010101110000000000000000000000000000000000100000001 +000000000000000000000011101011000000000010000000000000 +111000000000000000000010100000000000000000000100000010 +000000000000000000000100001101000000000010000001000000 +010001000001000000010000010000000000000000000100000001 +100000100000001011000011111001000000000010000000000000 +000001000001000000000000000000000000000000000100000101 +000011000000100000000000001011000000000010000000000000 +000000000000000000000011000000000000000000000000000000 +000000001010000000000011100000000000000000000000000000 +000010000000000000000000001000000000000000000110000000 +000001000000000000000000001001000000000010000000000001 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000001 .ramb_tile 3 3 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001101110000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 4 3 -000000000000000011100111100001000000000000001000000000 -000000000000000000100000000000000000000000000000001000 -000010100000000011100000000000000001000000001000000000 -000000000000000000100000000000001000000000000000000000 -000000000000000011100000000000001000001100111000000000 -000000001000000000000000000000001010110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001001110011000000000000 -000001000000001000000000000000001000001100111000000000 -000010100010001001000000000000001100110011000000000000 -000000000001001000000000000000001000001100111000100000 -000000000000101001000000000000001111110011000000000000 -000001000000100000000000010000001001001100111000000000 -000000100001010000000010010000001100110011000000000000 -000010000000000000000000010000001001001100110010000000 -000000000000000000000010010000001111110011000000000000 +000000000000000001100010110000001001001100110000000000 +000000001000001001000111010000001000110011000001010010 +000010100010001000000000001101101100000011010000000000 +000000000000001001000000001101001100000011110000000000 +000000000000000111100111110111000000000000000000000000 +000000001100000000000111110001100000000001000010000010 +000000100110000001000000000011000001000000100000000000 +000000001011000001000010001011101110000000000000000010 +000000000000001101100010011111001011000011010000000000 +000000000000000011000111000011011010000011110000100000 +000001000000001000000110101101000000000001000000000100 +000010000010001101000000001011100000000000000000000000 +000000000000000000000000010001000000000000000010100000 +000010100000000011000011000001100000000001000000000100 +000000000000001000000000000101001001010000000010000000 +000000001100001101000000000001011010000000000000000000 .logic_tile 5 3 -000000000000000000000111100000000000000010000000000000 -000000000000000000000100000011000000000000000000000000 -000000000000001000000000000000000000000010000000000000 -000000000000001111000000001101000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000000000000011000000000000010000000000000 -000000000000000000000011111101000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000001000000000000000000000000000000000010000000000000 -000010100000000000000000001001000000000000000000000000 -000000000000010000000000001000000000000010000000100000 -000000000000000000000000000001000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000101000010100101000001000000000000100000 +000000000000000000000100000111101110000000010000000000 +000000000000000000000000001111100000000000000000100000 +000000000000000101000010011101000000000001000000000010 +000000000000000000000000011011001001001001000000000000 +000000000000000101000010101111111001001010000000000000 +000000000000000000000000001111000000000000000000100000 +000000000000000000000010011101000000000001000000000000 +000000000000000000010010101001000000000000000000000000 +000000000000000000000100001001000000000001000000000010 +000000001110000011100000001001100000000000000000000000 +000000000000000000000000001101000000000001000000000100 +000000000000000011100000001101000000000000000000000000 +000000000000000000000000001001000000000001000000000010 +000000000000000011100111000111000001000000010000000010 +000000000000000000000100001101001100000000000000000000 .logic_tile 6 3 -000000100000001101100110100001100000000000001000000000 -000001000000000101000011010000100000000000000000001000 -000000000000000101100011000000000000000000001000000000 -000000000000001111000100000000001000000000000000000000 -000100001011010101100000000011000000000000001000000000 -000000000000000000110000000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000011000000001001000000000000000000 -000100000000000101100000000000000001000000001000000000 -000100000100000000100000000000001000000000000000000000 -000000000001010000000000000101000001000000001000000000 -000000000110000000000000000000001011000000000000000000 -000000001101010000000000000011000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000100000000000000000000000000000001000000001000000000 -000100001010000000000000000000001000000000000000000000 +000001001001010000000110010011000001000001000000000000 +000000000000100001000010000101101000000011000000000000 +000001000000001011100010001011111010111111110000000000 +000000100000000001100110111111101001001111110001000000 +000000000000001011100000010011111010010100000000000000 +000000000000001111100010100101011111011000000000000000 +000000000000000001000111000011011101100100000000000000 +000000000110000000100010110101101001101000000000000000 +000000000000000101100110100111101110110000000000000000 +000000000000000000000010000001011001111000000000000000 +000000000001000101000000000001100000000000000000000000 +000000000000000001100011111101100000000001000000000000 +000000000000010000000010111011000001000000000000000001 +000000000000000000000111011101001111000000010000000000 +000000000000001001000110001111101011001001000000000000 +000000000000000101100011100111001000001010000000000000 .logic_tile 7 3 -100000000000000000000000001011100001000001010100000010 -000000000000001001000000000001101001000001100000000100 -111000000000001111100010000011000001000001010100000000 -000000000000000111000010000101101001000001100000000100 -010000000000000000000000001101111001011001010100000010 -000000000000000101000000001011011100011010100000000100 -000000000000001011100000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000001111100001000001010110000010 -000000000000000000000011010101101001000001100010000000 -010000000000000000000011001001000001000010010100000000 -000000000000001111000011000001001001000010100000000010 -010000000000000000000110100101111001011001010111000000 -000000000000000000000000001111011100011010100000000101 -110000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 +000000000000000000000110001111001111110100000000000000 +000000000000000000000000000011011010110000000000000000 +000000000100000111100010101001111001011010100000000000 +000000000000001101000010101001011100010110100000000000 +000000001110010000000110001011100000000011000000100000 +000000000000100101000010111001001011000001000000000000 +000000000000000101100000001011000000000000100000000000 +000010000000010000000010000001001111000000110000000000 +000000000000001000000000001101000001000010100000000000 +000000000000000011000010111111101110000001100000000000 +000000000000001101100111000111100000000011000000000000 +000000000000000101100000000101001001000001000000000000 +000100000000001000000000000101101110110000000000000000 +000000000000010011000010010011111101111000000000000000 +001000000000000101100110101111100000000011000000000000 +000000000000000000100100001011001001000001000000000000 .logic_tile 8 3 -000000000000000000000111001011000001000010100000000000 -000010001000000101000100000001101101000001100000000000 -000000000000001001000010101101000000000010100000000000 -000000000001000001000000000101101111000001100000000000 -000000000010000001100010111001100000000010100000000000 -000000000000000000000010001101101101000001100000000000 -000000000010001000000111001111100001000010100000000000 -000000000000000101000000000111001111000001100000000000 -010000000110000000000111010001000000000010100000000000 -000000000000010000000111100001101101000001100000000000 -000010100000000000000110100111000001000010100000000000 -000000000000000000000011001101001111000001100000000000 -000001000000000001000000010011100001000010100000000000 -000000100000000000000011100101101101000001100000000000 -000000000000001101100000001011000001000010000000000000 -000000000000000101000011001111101000000000000000000010 -.logic_tile 9 3 -000000000000000000000000000000001000001100110000000000 -000000000000000011000000000000001101110011000000010010 -000000000000001111100110111011011010000100000000000100 -000000001010001001100110001101011010000000000000000000 -000000000000001111100000001011011000101000000001000000 -000000001100000001100000000001001010100100000000000000 -000000000000010000000010100000000000000000000000000000 -000000000000100000000010010000000000000000000000000000 -000010100000000001000110000111000001000010100000000000 -000001000000001001100111010001101111000001100000000000 -000000000000000000000000001111000000000010010000000000 -000000000000000000000000001001101111000011000000000000 -000000000000000000000110010000000000011010010010000010 -000000000000000000000110010000001111100101100000000000 -000000000000000000000111100001100001000010100000000000 -000000000000000000000100001011101001000001100000000000 -.ramb_tile 10 3 -001000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000001000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -.logic_tile 11 3 -000000000001011000000010000000000000011010010000000001 -000000000000101111000011100000001000100101100000000000 -000000000000000001000000000000000000000010000001000010 -000000000000000111000010000000000000000000000000000000 -000000000000000000000011000001100001000000100000000000 -000000000000001011000000001101001001000000000001000100 -000000000000000011100111100101101001101100000000000000 -000000001000000000000100000101011100111100000000000000 -010000000000010000000000001111001001000011000000000000 -000000000000100000000000001101011000000011010000000000 -110000000000000111100000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000111000010000001100000000000001000000000 +000000000000000001100010100000000000000000000000001000 +111000001000000101000010100001100000000000001000000000 +000000000000000000000010100000101000000000000000000000 +010000000110000111100111100001101000000011110000000000 +010000001100010000100100000000100000111100000000000000 +001001000001110000000000001101000000000011000000000001 +000000100001110000000011000011100000000000000000000000 +000000000000000000000000000001000000000001010000000000 +000000000000000000000000000101101000000010010001100000 +000001000000000001100000001000000000000000000100000010 +000000000000000000000000001101000000000010001100000001 000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 9 3 +000000000000000001000110000001011010100001010000000000 +000000000000000101100010011011011100110011110000000000 +000000000001011101000010110000000000000010000001000000 +000000000000000011000011010011000000000000000001000000 +000000000000000011100011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000011001000001000000100000100011 +000000001010000000000010001001001000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000001011000011010000000000000000000000000000 +000000000000000000000000000101011001001111110000000000 +000000000000001011000000000001111011000110100000000000 +000000000000000000000000000001000000000000110000000000 +000000000000000000000000000101101001000000100000000000 +000000000000000000000000000011111001001111110000000000 +000000000000000000000000000001001011000110100000000000 +.ramb_tile 10 3 +000000000000000001000000010111000000000011 +000000000000000000100011010011000000000010 +111000000000000011000000001000000000000000 +000000001000000000100000000011000000000000 +010000000000000000000000001101100000000001 +010000000000000000000000001111100000000110 +000000000000000000000000001000000000000000 +000000000000000000000010001111000000000000 +000000000000001000000000000001100000001000 +000000000000001001000000000000000000000000 +000000000000000001100000000000000000000000 +000000000000001111100000000000000000000000 +000000000000001000000000000001000000000110 +001000001100000111000000000000000000000100 +110000000000000000000110010000000000000000 +010000000000000000000110010000000000000000 +.logic_tile 11 3 +000000000000000000000000000011100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000010110000001110000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000001110000000000000000000001100000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000011000000001011000000000000000000 +000000000000001000000000000000000001000000001000000000 +000000000000001011000000000000001110000000000000000000 +000010100000000011000000000000000001000000001000000000 +000000000000000000000000000000001110000000000000000000 +000001000000010000000000000000000001000000001000000000 +000000001110101011000000000000001001000000000000000000 +000000100000000001000000000000001000111100000000000000 +000000000000001001100000000000000000111100000001000000 .logic_tile 12 3 -100010000001010000000000000000000000000000000100000000 -000000000000110000000011100011000000000010000000000100 -111010100001100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -010000000000010000000000000000000000000000000000000000 -010000001100000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000010000000000000000000000000000000000000000 -000000000000100111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000111001001101000110000000000000010 +000000000000000000000111000001011100000000000000000000 +111000000010001111000000000001101011010000000000000100 +000000000000001111000000000111011000001000000000000000 +000000000000100000000111000000000000000010000000000000 +000000000000000000000100001001000000000000000000000100 +000000000000000000000000000001101011000100000000000010 +000000000000000000000000000111011000100000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000011011000000000000000000000000000000000000 +000100000000100111100000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000001011000000000000000000000000000000000000000 +000000000000101011000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100100000 +000000000000000000000000000011000000000010000000000000 .io_tile 13 3 -000000000000000000 -000100000000000000 000010000000000000 -000000110000000000 +000110110000000000 +000000000000000000 000000000000000000 000000000000000000 -000100000000000000 000000000000000000 +000100000000000000 +000000000001000000 000000000000000000 000100000000000000 000000000000000010 -000000000011000000 +000000000001000000 000000000000000000 000000000000000001 000000000000000001 @@ -936,216 +936,216 @@ 000000000000000000 000000000000000000 .logic_tile 1 4 -100000000000000000000010000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +110000000000000111100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000001001000000000010000010000000 -000100000000000000000000000000000000000000000000000000 +111000000000000000000000011000000000000000000110000000 +000000000000000000000011000111000000000010000000000001 +010000000000001000000000001000000000000000000110000000 +100000000000001011000000001001000000000010000010000000 +000000000000000000000000000000000000000000000100000001 +001000000000000000000000000101000000000010000000000000 +000000001100000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000110100000 +000000000000000000000000001111000000000010000000000000 +000000000000000101000000000000000000000000000100000000 +001000000000000000000010100111000000000010000010000000 +110000000000000000000000000000000000000000000101000000 +000000000000000101000000000001000000000010000000000001 .logic_tile 2 4 -110000000000000000000000000001100000000001010100100000 -000000000000000101000011010111001100000010010000000010 -111000000000000101000000010000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -110000000000000000000010100000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000001001100000000001010100100100 -000000000000000000000010101101101110000010010000000010 -000000000000000000010000000011101110000000110100100000 -000000000000001111000010011001101000101000110000100010 -000000000000000001100010001101000000000001010100000000 -000000000000000000100000000011101110000010010000100010 -000000000000000001100000000000000000000000000000000000 -001000000000001111100000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010000000000001111100010100101001110000010000000000001 +000000000100001111000111100011001110000000000000000000 +000000000000011001000011000101100001000000010000000000 +000000000000100111000000000001101001000000000000000001 +000000001100000111100110110011100000000000000010000010 +000000000000000101100010100101100000000011000000000000 +000000000000000000000110001001101010000010000000000100 +001000000000000000000010011101011101000000000000000000 +110000000000000000000111000101001110100000000000000000 +111000001000000001000110010011001110000000000000000000 +000000000000001101100011100111101101000010000000000000 +000000000000001101100000001101111100000000000000000000 +000001000000001011000000001111111000101100000000000000 +001010100000001011100000000011101001001100000000000001 +000000000000000000000011100001000000000000000000000000 +001000000000000000000010111001000000000001000000000010 .ramt_tile 3 4 -000010100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 +000010000000100000000000000000000000000000 +000000000010000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000001110000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 .logic_tile 4 4 -010000000000000000000000000101100000000000110010000000 -000000000000001001000011101001001000000001100000000000 -000001000000001000000000011101001110101000000001000000 -000000100000001101000010000011101011100100000000000000 -000000000000001000000010110101000000000000000000000000 -000000000000000111000010000011000000000001000000000011 -000000000000000000010000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000100011000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000011100000000000000001011010010000000000 -001000000000000011100000000000001100100101100000000000 -000000000000000000010000000001111001111111000000100000 -000000000000000111000000000111101110010111000000000000 +000000000000000000000111100011000000000000001000000000 +000000000000000000000100000000000000000000000000001000 +000000000000000011000000000000000001000000001000000000 +000000001110000000000000000000001000000000000000000000 +000000000000000111100000000000001000001100111000000100 +000000000000000000100000000000001010110011000000000100 +000000100000001000000111100000001000001100111001000000 +000000000000000111000100000000001001110011000000000000 +000000000000000111100000000000001000001100111000000000 +000000000000000000100011100000001101110011000001000000 +000000100000000000000000000000001001001100111000000000 +000000001000000000000000000000001010110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000110000000000000010000001001001100111000000000 +000101000000000000000011010000001111110011000000000000 .logic_tile 5 4 -000001000000000001100111000001000000000000100000100000 -001010100000000000000100001101001110000000110000000010 -111010100000000000000111000101100001000000010000000000 -010000000000000001000000001001001001000000000000000000 -010000000000000000000110000000000000000000000000000000 -001000001110000000000000000000000000000000000000000000 -110000000000000001000110011101111101100000000000000000 -000000000100000000000011000011111110000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000010000000000000000000000000000000000 -000000000000000101100000010000000000000000000000000000 -000000000100000000000010100000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -110000000000011000000000001001100000000010000110000100 -000000000000000101000000001101000000000000000000000000 +010000000000000000000110010101101000001001000000000000 +000000000000001001000010000001011111001010000000000000 +000000000010000101100110110001101011001001000000000000 +000000001000001101000010100101111111001010000000000000 +000010100000001011000110000001100000000000000000000000 +000001000000001111100000001111100000000001000000000101 +000000000000001101100000000011000000000000000000000000 +000000000000000101000000000011000000000001000000000000 +000000000000000111100000000001011111001100000000000001 +001000000000001111100000001001101000101100000000000010 +000000100000000000000000001101100000000000000010000000 +000001000000000000000000001111000000000001000001000000 +000000000110000000000010000001111001100000000000100000 +001000000000000000000000000101011001000000000000000000 +000000000000000000000000001001100000000000000000000001 +001000000000000001000010001111100000000001000000000000 .logic_tile 6 4 -000000000000001001100010010000001000111100000001000000 -001000000000000011000010000000000000111100000001010000 -111000000000000001000010010000000001011010010000000000 -000000001010000000000111010000001100100101100000000000 -010000000000000011100000001001000000000001010000000000 -001000000000000000000000000101001010000010010000000010 -000000000000000001100000000111000001000000000000100000 -000000000110000000000000001001101001000000010000000000 -110000000000000000000000001001100000000010000100000100 -001000000000000000000000001001000000000000000000100001 -000000000000000001100000001001000000000000000100000000 -000000000000000000000000000001100000000001000000100001 -000000000000000000000011000001100000000010000100000110 -001000000000000000000100000001000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010000000000001101100010010001000001000000110000000000 +000000000000000101000010100101101000000000010000000000 +000000000000100001100111011011100000000000100000100000 +000000000000000001000110000001001010000000110000000000 +000000000000001101000011110101100000000000000000000000 +000000000000000001100111010001100000000001000000000000 +110000000000000011100111111101001011000100000000000100 +101000000000001001100110000101101100000000000000000000 +000000000000000000000000001001000000000000000000000000 +001000000000000000000000001101100000000001000000000001 +000000000000001111000000001101100000000001000000000010 +000000000000000001000000001001000000000000000000000000 +000000000000000000000000000101000000000000000000000000 +001000000000000000000000001001100000000001000000000000 +000000000000000000000000000001100000000000000000000000 +000000000100000000000000000001100000000001000000000000 .logic_tile 7 4 -010000000000000011100110010011100001000000010000000000 -001000000000000000000011011001101100000000000000100000 -000000000000000001100111001111111010100010000000000000 -000000000000100000000100000111001000100000000000000000 -000001100000000011100000011001101000001111110000000000 -000000000000000000000010100111111001111111110000000000 -000000000000000011100111001011001010000000000000000000 -000000000000000000000100001001001011100100000000000000 -000000000000000000000000000001111110111100000000000000 -001010100000001111000000000101001001011100000000000000 -000000000000001000000110000011000001000000000000000000 -000000000000001101000000001101001001000000010000000000 -000000000000000000000000000000000000000000000000000000 -001000000000001111000000000000000000000000000000000000 -000001000000001011100000000101100000000000000000000000 -000000100000000101100000000111100000000001000000000000 -.logic_tile 8 4 -010000000000000001000111100011000000000000001000000000 -001000000000000000000100000000000000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000001000001000000001000000000 -000000000000001001000000000000101010000000000000000000 -000010000000000111000000000000000000000000001000000000 -000001001000000000000000000000001010000000000000000000 -000000000000000011100000000000001000111100000000000000 -001000000000000000000000000000000000111100000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +010000000000000000000110000011100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000000000110110000000000000000001000000000 +000000000000000000000011000000001011000000000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000010000000001100110011000010000001 +000000000000001011100010010000001001001100110000000010 +000000000000000001100111000000001011110011000000000000 +000000000000000000000000011001000000000001010000000000 +001000000000000000000010111001101101000010010000000010 +000000000000011011000000000000000000000000000000000000 +000000000000101101000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101111011110100000000000000 +001000000000010000000000000101011001110000000000000010 +.logic_tile 8 4 +000000000000001111000011100001101001111001010000000000 +000000000000000001000100001011111000110000000000000000 +000000000000001111100110000111100001000001010001000000 +000000000000001111000000000011001001000010010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000101101100000000011000000000000000000000101 +000000000000000001100000000001001001000000010000000100 +000000000000100111100000001001011100111111000000000000 +001000000001010101000011110001111000010111000000000000 +001000000010000000000010010101111101011100000000100000 +000000000000001001000010101111001010111100000000000000 +000000000000000000000000011001100000000001000001100000 +001000000000000000000010100111000000000000000000000000 +000000000000000001000000001011100000000000000000000000 +000000000000000000000000000001100000000001000000000000 .logic_tile 9 4 -010000000000000111100000001011100000000000000001000000 -001000000000001101100000000011100000000001000000000000 -111000000000000001100011100101000000000000000001000000 -000000000000001011000000000111100000000001000000000000 -010000000000000011100111101001100000000000000001000000 -000000000110001101100000000011000000000001000000000001 -000000000000001001100110010011000000000000000001000000 -000000000000000001000010000111000000000001000000000000 -000000000000001000000000000101100000000001010100000000 -001000000000001111000000000101001000000010010001000000 -000000000000000000000110000101100000000001010100000000 -000100000000000001000000000001001010000010010000000000 -000100100001000000000000001101100000000001010101000000 -001000000000100001000000000001101000000010010000000000 -110000000000000000000000001101111010001100000100000000 -000000000000000000000000001001011110000101000000000000 +010000000000001111000110001011011110110001010000000001 +000000000000001111000000000001001010110000000000000000 +000000000000000101000010100011001101010110100001000000 +000000000110000111100110110001101011100110100000000000 +000000000101010101100010001001011001100000000000000000 +000000000000001001000100000101101001000000000000000000 +000000000000001001100011010001011011001111110000000000 +000000000000000001000110000101011010000111110000000000 +000000100000100101000000011001111010001100000000000000 +001001000001001111000010101011111101101101010000000000 +000000000001010000000000011111101110000110100000000000 +000000000000100000010010101111101111001111110000000000 +000000000000000001100000010111000000000000000000000000 +001000000000000101000010101101000000000001000000000000 +000000000000001000000110010011101101010110100010000000 +000000000000001011000010100001101001100110100000000000 .ramt_tile 10 4 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000111001101000000000000 +000000010000000000000000000101000000100010 +111000000000000011100000000000000000000000 +000000010000010000000000001001000000000000 +000000000000000000000000011101000000000001 +000000000000011011000011111101100000000010 +000000000000001111110111001000000000000000 +000010001010001101100000000001000000000000 +000000000000000111100000000101100000001011 +000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000 +000000000000001011000000000000000000000000 +000000000010100000000000000011000000000000 +000000000000000000000000000000000000000100 +110001000000000000000000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 11 4 -010000000000000000000000000101000000000000001000000000 -000000000000000000000011010000000000000000000000001000 -000100000000000101100000000000000001000000001000000000 -000100000000000000100011000000001010000000000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000001011000000000000001001110011000000000000 -000000000000000000000000000000001001001100111000000001 -001000000000000011000000000000001000110011000000000000 -000000000000000000000000000000001000001100111001000000 -001000000100000000010000000000001111110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001110110011000000000000 -000010100000001000000110110000001000001100111010000000 -001001000000000101000010100000001001110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001111110011000000000000 +010000000000001000000111000101101001010110100000000000 +000000000000001111000010001011011000100110100000000000 +000001000000001000000000000111001010010010100001000000 +000000100000000001000000000111001111110011110000000000 +000000100000001011000110000011000000000001010000000000 +000001000000001111100000000011101011000010010010000000 +000000000000001111100111000001000000000000000000000000 +000000001000000101000000001001000000000001000001000000 +000001001010001101000111010011000000000000000000000000 +000000100110001001100011011111000000000001000001000000 +000000000000001000000000000011111000101001010010000000 +000000000000001001000000001011001001100101010000000000 +000001000000001011100010010000000000000010000000000010 +001010000000010001000010011001000000000000000000000000 +000000000001010000000000000101111010010110100000000000 +000010000000000000000000001011011010100110100000000000 .logic_tile 12 4 -010001000000000000000000000101100000000000000000000000 -001000000000000111000011101001100000000001000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000011010111100000000000000000000000 -000000000000000111000010101001000000000001000000000000 -000001000100001101100110111101000000000000000000000000 -000000000000001011000010000101100000000001000000000000 -000000000010000000000000001111100000000001010100000000 -001000001010000000000000001101001000000010010000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000100000000000001101100000000001010100000000 -001000000000000000000000000101101000000010010000000000 -110000000000001000000110000111100000000001010100000000 -000000000000000001000000001101101010000010010001000000 +110000000000001011000111110001101100001101010101000000 +000000000000000101100111111101001000001100000000000000 +111000000000001011100111010000000000000000000000000000 +000000000000001111100111110000000000000000000000000000 +010000000000000111100111100011001101001101010100100000 +010000000000000000100100001101101000001100000000000000 +000000000000000101100110101101100000000001010100000010 +000000000000000011000000000101001100000010010000000000 +000000000000010111100111110001101100110001010100000100 +000000000000000000100011101101101010110000000000000000 +000000000000000000000000000001101110001101010100000000 +001000000000100000000000001001101000001100000001000000 +000000000000000111100000000001001101101101010100100000 +001000000000000000100000001011101011001100000000000000 +010100000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 .io_tile 13 4 000010000000000010 -000100110000000000 -000000111000000000 -000000001001100001 +000100010000000000 +000000000000000000 000000000000000001 -000000000011000000 +000000011010000001 +000000000001000000 001100000000000000 000000000000000000 000000000000000000 @@ -1160,7 +1160,7 @@ 000000000000010000 000000000000000000 000000000000000000 -000000000001100000 +000000000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -1174,209 +1174,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 5 -000000000000000001100000010001100001000000001000000000 -000000000000000000000010110000001100000000000000000000 -111000000000000001000110010000001001001100111000000000 -000000000000000000000010000000001011110011000000000000 -000000000000000000000110010000001001001100111000000000 -000000000000000000000010110000001011110011000000000000 -000000000000000001100110010000001001001100110000000000 -000000000000000000000010000000001100110011000000000000 -010000000000000000000000011001111111100000000000000010 -011000000000000000000010000101101001000000000000000000 -000000000000001001100000000101000000000000000100000000 -000000000000000101000000001101100000000001000000000000 -000000000000000000000000000001000000000000000100000000 -001000000000000000000000001001000000000001000000000000 -000000000000001000000000000011000000000000000100000000 -000000000000000101000000001101100000000001000000000000 -.logic_tile 2 5 -000000000000000000000000000101100000000001000001000000 -000000000000001011000000000001000000000000000000000000 -111000000010001001000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000000010001100001001100110000000000 -000000000000000000000010000000001000110011000000000000 -000000000000001101100000000000000000000000000000000000 -000000000000001101100000000000000000000000000000000000 -010000001000000000000000001101000000000000000100000000 -011000000000000000000000000101100000000001000000000000 +100000000000000000000000010000000000000000000000000000 +001000000000000000000010110000000000000000000000000000 +111000000000000011100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000011110000000000000000000000000000 +110000000000000000000111010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000001011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001100000000000000000101011000111100100101000000 +001000000000000000000000001001101100111100000000000100 +110010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.logic_tile 2 5 +010000000000000111000110001101100001000000100001000001 +001000000000000000100011001111001001000000000000000000 +000000101000000000000110000001000000000000000000100000 +000001000000000101000011111001100000000001000000000000 +000000001110001000000110010101000000000000000000000000 +000000000000001111000011111101100000000001000000000100 +000000000000001101000000011101100001000000010011100000 +000000000100001011000010001101001100000000000000000000 +000000000000000000000000000101000000000000000000000000 +000000000010000000000000001101000000000001000000100000 +000000000000001101100010011101100001000000010010000000 +000000000000001111100110011001001100000000000010000000 +000100000000000000000000001001011101100000000000000000 +001010000000000000000000000001011101000000000000000000 +000000000000000000000110100011111101000100000000000000 +000000000000000000000100000001101101000000000000000000 .ramb_tile 3 5 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 .logic_tile 4 5 -010000000000000111100111100001000000000000001000000000 -000000000000000000000100000000000000000000000000001000 -000000000000000011100000000011000000000000001000000000 -000000000110000000000000000000101001000000000000000000 -000000000000000000000000000011001000000011110000000000 -000000000000000000000011110000100000111100000010000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000110110000000000000000000000000000 -001000001110000111000010100000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000010000000101000000000000000000100000 -001000000000000000000000000011100000000001000010000010 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000001000000000000000000000010 +010000000000000000000000000000001000001100111000000101 +000000000000000000000000000000001111110011000000010010 +000000000000000111100000000000001001001100111000100000 +000000001000000000100000000000001011110011000000000000 +000000000000000000000000000000001000001100111000000101 +000000000000000000000000000000001110110011000000000010 +000000000000001001100000010000001000001100111001000000 +000000000000000011100011010000001101110011000000000000 +000000000000000000000011100000001000001100111000000000 +000000000000000000000011100000001101110011000001000000 +000000000000000000000000000000001001001100111000000000 +000000000010001111000000000000001111110011000001000000 +000000000000000111000010000000001001001100110000000000 +001000000000000000100000000000001100110011000000000010 +000000000000000000000000001101100001000010000010000000 +000000001100000000000011010001001010000000000000000000 .logic_tile 5 5 -000000000001011000000000010101000000000001000000000000 -000000000000001111000011001101000000000000000010000000 -111000000000001000000000010001111011100000000001000000 -000000001110001111000010100111011111000000000000000000 -010000000000000000000110000111100000000010000000000000 -011000000000001101000000000001100000000000000000000000 -000000000000000001100011011011000000000010000000000000 -000000000000000011100010010101000000000000000000000000 -000000100000001000000000001101100000000000000000000010 -000001000000000101010000000101100000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -001000000000000001000000000000000000000000000000000000 -010010000000000000000000001001100000000010000100000010 -100000000000000000000010100101101010000011000000100000 +000000000000001111100010110011000000000001000000000011 +001010000000001011000110000001101011000011000000000000 +000000000000000001000011011001100000000000010000000000 +000000000001010001000010111011001000000000000000000001 +000000000000100101000111011101101101100000000000000000 +000000000000010000100010001001001100000000000000000000 +010000000000001000010110010101000000000000000000100000 +101010000000000001000010001101001001000000010000000000 +110000000000000101100111011111100000000000000010000000 +100010100001000000000111101101100000000001000000000000 +000000000000001011100111101101000001000000010000000000 +000000000110011111100100001101001000000000000000100000 +000000000000010000000000000011100000000000000000000000 +000000000000100000000000001001000000000001000000000000 +000010000000000000000000011011000000000001000000000000 +000001100000000000000010111001100000000000000000000000 .logic_tile 6 5 -000000000000000000000110010101100001000000110010000000 -000000000000000001000011111101001000000001110001000000 -111000100000001001100110011101001100001100000000000000 -000000000000000011010011100101101011001000000010000000 -011000000000001000000010110001100000000010000000100000 -011000000000000001000110001111100000000000000000000000 -000000000000001001100010101011000000000000000000000000 -000000000000000001000100001111100000000001000000100000 -000000000000000000000000001001000000000000000000000000 -000000000000001101000011001101100000000001000000000000 -000000000000000111000000001001100000000010000000000000 -000000000000000101100000000011100000000011000000000000 -000000000000000000000110001001100000000001000100000110 -001000000000000000000000000011001000000011000000000000 -010000000000000000000000011101100000000001000100000010 -100000000000000101000011010111001010000011000000000010 +000010000000001001100111101001000001000011100000000010 +001001000000001111000100000111101010000011000000000000 +000001000010101001000010011001100000000001000000100001 +000010000000001111010010101001000000000000000001000000 +000000000110001000000110010101111111000000000000000000 +000000000000000111000010000001101010100000000000000000 +000000000000000101000011010001011101010011110000000000 +000000000000000101000011100011011111110011110000000000 +110000000000000011000000000011111101100000000001000000 +110000000000000000000000001101101001000000000000000000 +000000000000000001100110000111011011001111110000000000 +000000000000000000000010000101001010101111110000000000 +010010000000000101100000001001001011000011110000000000 +100001000100000000010000001101011101000011100000000000 +000000000000000101100010010111111111010000000000000000 +001000000000000001000010101101011000000000000000000000 .logic_tile 7 5 -000000000000000101000110000111000001000000000010000000 -000000000000001101000010100101101000000000010010000000 -000000000000000000000111110000000000000000000000000000 -000000000000001101000010000000000000000000000000000000 -000000000110000011100110010101011011000011100000000000 -001000000000001101100010001001001011000011000000000001 -000000000000000011100111101101111010001111110000000000 -000000000000000101000000001111101000011111110000000001 -000000000000100000000000010001000000000000000000100000 -000000000000000000000010001011100000000001000001000000 -000000000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 -000000000000000000000000000001111010000010000000000000 -001000000000000000000010101001101000000011000001000000 -000000000000000000000000000101011001000000110000000000 -000000000000000000000010111101111111000001110000000000 +010010000000001000000000010011000001000010000000000000 +000001000000000001000011110101101001000000000000000000 +000000000000001001100111100001100001000011000000000000 +000000000000000001100011101101001000000001000000000001 +001000000000001111000010110101111000000010000000000000 +000000000000000001000110000011011111000011000000000000 +000000000000000000000110011111100000000010000001000000 +000000000000000011000011010001101011000000000000000000 +001000000000001000000111100111000000000010000000000000 +000100000000000111000000000011101001000011000000000000 +000000000000000000000000001101001000100000000000000000 +000000000000000000000000001101111010000000000000000000 +000000000000000000000111111111011110000011100000000000 +000000000000000000000011101101101011000011110000000000 +000000000000001000000110101001000000000000000000000000 +000000000000000101000000000101000000000001000000000010 .logic_tile 8 5 -000000000010000000000000011101000000000000100000000000 -000000000000000000000010001101101001000000000000000000 -000000000000100001000110110000000000000000000000000000 -000000000001110001000010100000000000000000000000000000 -000000000000000111100110000011100000000001000000000000 -000000000000000001100011010011000000000000000000000000 -110000000000000111100110111011111000011100000000000000 -101000000000000000100010101001001010001100000000000000 -111000000000011000000000001101100000000000000000000000 -101000000110101111000000000101100000000001000000000100 -000000000000001001000011100001100000001111000000100000 -000000000000000001000000000000100000110000110000000000 -000000000000000000000000000000000000000000000000000000 -001000000000100000000000000000000000000000000000000000 -000000100000000000000000001101001011000011000000000010 -000000000000000000000000001011011000000011010000000000 +010000000000000000000111000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000010000000000001000000000000000000011010010001000100 +000001000000001001000000000000001001100101100010000010 +000000000000000000000011000000000000000000000000000000 +000000001000001101000110010000000000000000000000000000 +000000000001010001100000010000000000000000000000000000 +000000000000101001000010000000000000000000000000000000 +010000000000000000000000001101001011000000000000000000 +000000000000000000000000001011011010100000000000000000 +000010100000000000000000001101000001000000010000000000 +000001000000000000000010101101101010000000000000000000 +000001000000000000000000001001100000000010000000000000 +000010100000000000000000001111000000000000000001000010 +000000000000000000000010101001100000000000100000000100 +000000000000000000000010101111001011000000000000000000 .logic_tile 9 5 -000010000000001000000000010001100000000000000011000001 -001001000000000001000011110011000000000001000000000100 -111000000000001001100000010011100000000000000001000000 -000000000000001111000011100111000000000001000000000000 -010000000000001000000000010101000000000000000001000000 -000000000000000001000010110011100000000001000000000000 -000001000000001000000000010011100000000000000000000010 -001010100000000001000010000111100000000001000000000000 -000000000000001000000000000101100000000001010100000000 -001000000000001111000000001001001000000010010000000000 -000000000000001000000000010001100000000001000100000000 -000000000000000001000010000101000000000011000000100000 -000000000000001000000000001101100000000001010100000000 -001000000000001111000000001001101000000010010000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000001011000000110010011111100000010000000000000 +000001000000101001000011001111011010000000000000000000 +000000000000001000000110000001011001000110100000000000 +000000000000000001000000001011101011001111110000000010 +000000001010000011000000010011000000000010000000000000 +000000001000000001100010000011000000000000000000000001 +000000000000000000000110001001001101011001000000000000 +000010000000001111000010110111011010011010000000000000 +000000000000011101100110110111111000101001010000000000 +001000001110100101000010101001011101100101010000000000 +000000000000000111000110101001111110110011000000000000 +000000000000000000100000000111001010010010000000000000 +001000100000001101100110101101001000010111110000000000 +001000000000000101000000001101111101011011110000000000 +000000001100100111000000000111000000000001000000000000 +000000000000000001000000000111000000000000000000000000 .ramb_tile 10 5 +000000000000000000000000000000000000100000 000000000000000000000000000000000000000000 -000000010100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000000010010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001100000100000000000000000000000000010 +000001000101010000000000000000000000000100 +010001000000000000000010000000000000000011 +110000000000000000000000000000000000000000 +000000100001000000000000000000000000000000 +000001000000000000000000000000000000000001 +000000000000000000000000000000000000100100 +000000000000000000000000000000000000000000 +000010000000100000000000000000000000000001 +000000000001000000000000000000000000001000 +000010000000000000000000000000000000000010 +001001000000000000000000000000000000010000 +000000100000000000000000000000000000000100 +000000000000000000000000000000000000010000 .logic_tile 11 5 -000000000000000001100010100000001000001100111000000000 -001000000000001101000110110000001001110011000000010000 -111000000001000000000000000000001000001100111000000000 -000000000000000011000000000000001010110011000000000000 -010000000000000101000000000000001000001100111000000000 -000000000000001011100000000000001000110011000000000000 -000000000001000000000110000000001000001100111000000000 -000000000000000011000011000000001001110011000000000000 -000000000000000000000000000000001000001100111000000000 -001000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001001001100111000000000 -000010000000000000000000000000001011110011000001000000 -000000000000000000000000000000001001001100110000000000 -001000000000000000000000000000001000110011000001000000 -110000000000000000000000000101100001000001010101000000 -000000000000000000000000000001101010000010010000000000 +000000000000001011100011110001011110110011000000000000 +000000000000000101100011100001011111000000000000000000 +000000000000000111000111011101001101110011000000000000 +000000000000001001000011101001101010000000000000000000 +000010100000001011000111110111011111110011000000000000 +000000000000000001100010001001101110000000000000000000 +000010000000001011100110010000000000000000000000000000 +000000000000000101100011000000000000000000000000000000 +000000000000001111000000001000000000000010000000000000 +001000000000001001000000000001000000000000000000100000 +000010000000001000000000000011101011000100000000000010 +000000000000001111000000000011001011100000000000000000 +000000000000100001100111110101001011100000000000100000 +001000000001010000000110010111101011000000000000000000 +000000000000001011100000010101111001110011000000000000 +001000000000001001000010011001011000000000000000000000 .logic_tile 12 5 -110000000000000000000000001000000000000000000100000000 -000000000000000000000000000011000000000010000000000100 -111100000000001000000000001000000000000000000100000010 -000000001000001011000000000011000000000010000000000000 -110000100000010000000000000000000000000000000000000000 -000001000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001010000000000000000000000000000000000000000000000000 +100010100001010000000000000000000000000000000100000000 +001000000000100000000000000011000000000010000000000000 +111001000001001101100000001000000000000000000100000000 +000000100000001011000000000011000000000010000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000100 +000000001000000000000000001011000000000010000000000000 +000000000001010000000011100000000000000000000000000000 +000000000000101101000000000000000000000000000000000000 +000000000000010011100000001000000000000000000100000000 +001000000000000000100000000001000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000100100000 -001000000000001111100000001111000000000010000000000000 -000000000000000000000000010000000000000000000000000000 -001000000000000000000010110000000000000000000000000000 -010000000000100000000000000000000000000000000000000000 -010000000001010000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +011000000000000000000000000000000000000000000000000000 +010001000000000000000000000000000000000000000000000000 .io_tile 13 5 000000000000000000 000000000000000000 @@ -1412,230 +1412,230 @@ 000000000000000000 000000000000000000 .logic_tile 1 6 -000000000000001000000000000101000000000000001000000000 -000000000000000011000011110000100000000000000000001000 -000000000000000000000000000001000000000000001000000000 -000000000000000000000000000000001111000000000000000000 -001000000000000000000010110000000000000000001000000000 -000000000000000000000111110000001011000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000001101000010110000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001011000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000010010100000001110000000000000000000 -000000000000000101000000000000001000111100000001000000 -000000000000000000000000000000000000111100000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +010100000000000000000000001000000000000000000100000100 +010000000000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 6 -000000000000000111000011110001100000000000001000000000 -000000000000000000000111110000100000000000000000001000 -000000000000000000000011000000000000000000001000000000 -000000001100000000000000000000001011000000000000000000 -000000100000000000000000000000000000000000001000000000 -000001000000000000000010110000001111000000000000000000 -000000000000000011000011100000000001000000001000000000 -000000000000000000100000000000001110000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000010100000000000000000000000000001000000001000000000 -000001000000000000000000000000001111000000000000000000 -000010000000000000000010100000000001000000001000000000 -000000000000000000000100000000001011000000000000000000 -000000000000000000000000000101101000011100000001000000 -000000000000001101000010110001001001111100000000000000 +000100000000000111100011111101100000000000000001000000 +000100000000000111100011101001100000000001000000000000 +000000000110001001000010010001000000000000000000000000 +000000001000000111000011010111000000000001000000000000 +000000000000000011000010100101100000000000000000000100 +000000000000000111000000001101000000000001000000000000 +000000000000000001100000010001011010000000000000000001 +000000000000001011000010001001101000100000000000000000 +010000000000000001100000000001111000001011110000100010 +100000000000001011000000001101101010001111110000000000 +000000000000001000000000001001111000000100000000000000 +000000000000001111000000000101101110000000000000000000 +010000000000000000000000001011000000000001000001000000 +000000000000001011000000000011000000000000000000000010 +000000000000000000000000000011000000000010000001000001 +000000001110000000000000000101000000000000000000000001 .ramt_tile 3 6 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 .logic_tile 4 6 -100000000000000000000111000000000000000000000000000000 -000000000000000000000110010000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000001000001000000000000000000000000000000000000 -000000001110100000100000000000000000000000000000000000 -000000000000000000000110101011001001111100010110000100 -000000000000000000000100000111111010111100110000000000 -000100100000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000011000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 +000000000000000011100111100011111001001111110000000000 +000000000000000000000111001011011011000110100000000000 +000000000000000000000111001001000001000000010000000000 +000100000000000001000010011101101010000000000000000000 +000000000000001001100000010000000000011010010000000000 +000000000000000001000010000000001110100101100001000000 +010000000000001000000110011101000000000000010000000000 +000000000000001011000011111011001001000000110000000000 +000000001110001001100000001001000000000010000000000000 +000000000000001101000011101101000000000000000000000000 +000000000000001011100110000011111000000010000000000000 +000000000000000001000000000011001010000011000000000100 +000000000000000011100000000111100000000000000001000000 +000000000000000000100000000101000000000001000000000000 +000000000001011000000000000011011001010000000000000000 +000000000000000001010000001101011011000000000000000010 .logic_tile 5 6 -000000000000000000000110010001111010000110100000000000 -000000000000001001010010000001101001001110100010000000 -000000000000100011000010100101111111000000000000000000 -000000000000001001010011000111001101100000000000000000 -000000000000000001000111000001101011010000000000000000 -000000000000000111100011010101001001000000000000000000 -000000000000001001100110010011000000000000000000000000 -000000000000000001000110011111000000000001000001000000 -000000000000001000000010001111101101010000000000000000 -000000000000000011000000000001011110000000000000000000 -000000000010000000000110101101011010010000000000000000 -000000000000000000000110001101111011000000000000000000 -000000000000000000000010101000000000000010000000100000 -000000000000000001000110001011000000000000000000000000 -000010000000000101000000000111011011011100000000000100 -000000000000000001100010111001101111111100000000000000 +001001000000000001100111010101100000000000000000000000 +000010100000001101100110000001000000000001000000000000 +000000000000000001000011010101100001000010010000000000 +000000000000001101000010001001101011000010100001000000 +000000000000001000000110111001100001000000010000000000 +000000000000000001000010001111001100000000000000000000 +000000000010001000000110011011000000000000100000000000 +000000000000001011000010000011001011000000000000000000 +010000000000001000000000000101011100000010000000000000 +100000000000000001000000001001001011000011000000000100 +000000000000000001100000001001100000000000000000000000 +000000000000000111000000001001100000000001000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000000111100000000001000000000000 +000000000000000101100010001001100000000000000000000000 +000000000000000000100100000101001000000000010010000000 .logic_tile 6 6 -000000000000001111000010100111000000000000000000000000 -000000000000000001000010110011100000000001000000000000 -000000000110000101000111000011000000000000110000000000 -000000000000000011100111000011101001000000100000000000 -000000000000001011000010101101000000000000000001000000 -000000000000000111100010000101100000000001000000000000 -000000000000000101000011101001011000110100000000000000 -000000000000001101100011000101101110110000000000000000 -000000000000000111100000011001101010000000000000000000 -000000000000000011100011001001001011100000000000000000 -000000000000000000000000000111011011001111110000000000 -000000000110000000000000001001011110000110100000000000 -000000000000001011100000010101111001000000110000000000 -000000000000000001100011000001011110101000110010000011 -000000000001011001100000001101101010000000000000000000 -000000000000000001000000001101001000100000000000000000 +000000000000001011100011100101000001000000100000000000 +000100000000001111100011110101001000000000110000100000 +000000000000011011100110001001111100100000000000000001 +010000000000100001100010101101101001000000000000000001 +000001000000000101000111010011000000000010100000000000 +000010100000000011000010001101101110000010010000000000 +000001000100000111110000010001111100100000000000000000 +000000100000000011100010000011101011000000000000000100 +000000000000000101100011110011100001000000100000000000 +000000000000000000010111010001101110000000110000000000 +000000000001001000000011111011011110101011000000000000 +000000000000000101000010101001111010000011000001000000 +000000000100000001000000001001011111011100000000000000 +000000000000000000000000000101001101111100000000000000 +000000001110001011100011101001000001000000100000000000 +000000000000000001000000000101101000000000000000000000 .logic_tile 7 6 -000000000000000101100010000001011001000011000000000100 -000000000000000001000110001111001011000011010000000010 -111000000000000001100110011101000000000000010000000000 -000000000000001001000010100011001111000000000000100000 -110000100000001111100110000101000001000010000000000000 -010001000000000001000010101001101001000000000010000000 -000000000000001000000110001001000000000011000000000000 -000000000000000101000000001011001010000001000000000000 -000000000000000000000000001001000000000001000000000000 -000000000000000000000011100111100000000000000000000000 -001000000000000101000010001001100000000001000000000000 -000000000000000000100100000101000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000000000111010000000000000000000000000000 -010000000000001001000000001101000001000001010100100000 -100000000000000001100000001001101110000001100000100000 +000000000000000011100011010011000001000000010000000000 +000000001110001001000010001101101011000000000000000000 +000001000000000001000111110001101000001100000000000000 +000010100000000001000111100001011010001000000000000000 +000110000000001111000011000011111000011100000000000000 +000101001000000001100010001101111100111100000000000000 +010000000001001001100010110001100001000000010000000000 +000000000000001001000011110011101110000000000000000000 +010000000000001101100110010001101001001101010000000000 +000000000000001011000011111011011010001111110000000000 +000001000000100000000110001001000001000000010000000000 +000000000000000001000000000101001101000000110000000000 +000010100001000000000000001001001010001100000000000000 +000001001100000000000000000011111010101100000000000000 +000000000000000000000000001001100000000000000000000000 +000000000000001111000000001101101100000000010000000000 .logic_tile 8 6 -000000000000001111100111101101000000000010000000000000 -000000000000000001100110100101101100000011000000000000 -000000000000001001100000001001100000000000100000000000 -000000000000000001000010011101001010000000000011000000 -000000000000001111100000001011100001000000100010000000 -000000000000000001100010101101001001000000000000000000 -000000000000001011100011011001011110111100110000000000 -000000000000000001100010000101001001010100110000000000 -000000000000001000000000000001001011100001010000000000 -000000000000001011000010000101111111110011110000000000 -000000000000000101100110000011000000000000000000000000 -000000000000000111000100000001100000000001000000000000 -000000000000000000000000001001101011101001010000000000 -000000000000000000000000000011011111100101010000000010 -000000001010000111000110000101101001110011110000000000 -000000000000000000000100000001111101010010100000000000 +000000000000000000000000001001100000000000000000000000 +000000000000000000000000001001000000000001000000000000 +000000000000010001000000010011000000000001000000000000 +000000000000100000000011010101000000000000000000000010 +000000000000001000000000001000000000000010000000100000 +000000000000000001000000001101000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000001000000000000000001000000000000010000000000000 +100000001100000111000000000011000000000000000010000010 +000000000001010000000000000000000000000000000000000000 +000000000000101001000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 .logic_tile 9 6 -000010000000000001100110111011000000000010100000000000 -000001001100000111000010000001101000000001100000000100 -111000000000001011100110111011011001111100100000000000 -000000000000000011000011011001011000111100000000000000 -010000000000000001100000011011100000000000000000000000 -000000000000000000000010000011000000000001000000000000 -000000000000000011100000001101101000000010000000000000 -000000000000000000100000000001011000000011000000000000 -000000000000001000000011100000000000000000000110100000 -000000000000001001000000000101000000000010000000000000 -000000000000000001100000001101100000000001110100000000 -000000000000000111000000000001001011000000110000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000110000000 -000000000000000000000000001001000000000010001000000100 +000000000001001000000000010101100000000000001000000000 +000000000000111111000011110000100000000000000000001000 +111000000000010001100110010000000001000000001000000000 +000000000000000000100010010000001101000000000000000000 +000001000000000000000110000000001001001100111000000000 +000010000000000000000111010000001110110011000000000000 +000000000000001001100000000000001000001100110000000000 +000000000000011001000010110000001100110011000000000000 +000000000100000111100010001011100000000011000000000010 +000000000000000000100100001011001001000001000000000000 +000010000000000001000010011001100000000000010000000000 +000000000000010000100111010001101101000000000000000000 +000000000000000000000000000101100001000000100010000000 +000000000000000000000000001101001010000000000000100101 +110000000000000011000000000001000001000000100110100100 +000000000110000000000000001101001001000000111001000100 .ramt_tile 10 6 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000100101000000111001000000000000000 +000000010000011011000100001001000000000000 +111000000000001000000110110000000000000000 +000000010001001011000111101001000000000000 +000000000010000000000000001000000000000000 +000000000000010000000000000011000000000000 +000000101111010000010000001000000000000000 +000001001110000000000000000111000000000000 +000000000100100000000000000000000000000000 +000000000001010000000000001111000000000000 +000000000000000000000000001000000000000000 +000000000000000111000000001001000000000000 +000000000000000000000010000000000000000000 +000000000000000000000100000001000000000000 +010000000000000000000000000000000000000000 +010000000000000001000000000000000000000000 .logic_tile 11 6 -000000000000000111100010100101100000000000000000000000 -000000000000000000100010100011100000000001000000000000 -111000000000000001100000000011100000000000000000000000 -000000000000000101000000000111000000000001000000000000 -010000000000000111100000000001100000000000000000000000 -000000000000000101100000000011000000000001000000000000 -000000000001001001100110010101100000000000000000000000 -000000000000000001010010000111100000000001000000000000 -000000000000000000000000001101100000000001010100000000 -000000000000000111000000000001001010000010010000000000 -000000000000001000000000000101100000000001010100000000 -000000000000000001000000000101001000000010010000000000 -000000000000000000000000000101100000000001010100000000 -000000000000000111000000001001101010000010010000000000 -110000000000000000000000000101100000000001010100000000 -000000000000000000000000001001101000000010010000000000 +000000000000001111100010001111101000000100100000000100 +000000000000001111100111011001011011000000000000000000 +111000000110001001000110010000000000000000000000000000 +000000000000000111000010110000000000000000000000000000 +010000100000000000000011110000000000000000000000000000 +010000000000000000000011110000000000000000000000000000 +000100000000001000000111100000000000000010000000000100 +000000000000000001000000001011000000000000000000000000 +110000000000000000000110100101000000000000110000000000 +110000000000000000000111111011101000000000000001000000 +000000000000001000000000001011100000000001000000100000 +000000000000000001000000000001001000000011000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +110000000000001000000000001001111011110001010100100000 +000000000000000011000000001001011010100001010000100000 .logic_tile 12 6 100000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -111000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -110000000001010000000000000000000000000000000100000000 -000000001110000000000000001011000000000010000000000000 -000000000000000000000000000000000000000000000100000010 -000000000000000000000000000011000000000010000000000000 -000100000000000111100000011000000000000000000100000000 -000000000000000000010011100111000000000010000000000100 -000010000000000000010000000000000000000000000100000000 -000000000000000000000000000111000000000010000000100000 -000000000000000000000000001000000000000000000100000000 -000000000000001101000000001111000000000010000000000000 -010000001100000101100110100000000000000000000000000000 -010000000000000000100100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000100000000000001000000000000000000100100000 +000000000000000000010000001111000000000010000001000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000010000000000000000000000000000 +000000000000100000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 .io_tile 13 6 -000000000000000010 -000100000000000000 -000010000000000000 -000010010000000001 -000000000011000001 -000000000011000000 +000011010000000010 +000101110000000000 +000000000000000000 +000000000000000001 +000000000000000001 +000000000001000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000010 -000000000011000000 +000000000001000000 000000000000000000 000000000000000001 -000010000000000001 -000010010000000000 -.io_tile 0 7 +000000000000000001 000000000000000000 +.io_tile 0 7 000000000000000000 000000000000000000 +000000000000100000 000000000000000000 000000000000000000 000000000000000000 @@ -1651,221 +1651,221 @@ 000000000000000000 .logic_tile 1 7 000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000001101000000000101000000000000000000000000 -000000000100000000000000000000000000000010000000000000 -000000000000000000000011011011000000000000000000000000 +010000000000001000000000000001100000000011000000000000 +100000000000000011000000000011000000000010000000000010 +000000000000000011100000000011100000000001000000000001 +000000000000000000100000000111000000000000000000000100 +000010100000000011000000000000000000000000000000000000 +000001000000000000100000000000000000000000000000000000 +000000000000000101000000000101100000000000000000000010 +000000000000000000000000001001000000000001000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 .logic_tile 2 7 -000000100000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000001000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000001000000000000000001001000000000000000000000000 -000000000001000000000000000000000000000000000000000000 +100000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000111100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000000001001100000000000110100000000 +010010001010000000000000000001101100000001110000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001001000000000000000000100000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .ramb_tile 3 7 -000000001010000000000000000000000000000000 -000000000000000000000000000000000000000001 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000010000 -110000000000000000000000000000000000000001 +000001000000000011100011111001000000000001 +000000100000000000100010100001100000000100 +111000100000001000000000001000000000000000 +000001000000001111000011101111000000000000 +010000001110000001000000000101100000000111 +010000000000000000100000000101100000000000 +000000000000000000000000010000000000000000 +000000000000000000000011100001000000000000 +000000000000000000000111001011000000001000 +000000000000000000000100001011100000010000 +000000000000001111000000001000000000000000 +000000000000001111000010000011000000000000 +000000000000000000000000001111000000000010 +000000000000000011000000001001000000000001 110000000000000000000000000000000000000000 -000000000000100000000000000000000000100000 -000000001101000000000000000000000000000000 -000000000000000000000000000000000000000100 -000000000000000000000000000000000000000000 -000010000000000000000000000000000000001000 -000001000000000000000000000000000000000000 -000000000000000000000111100000000000100000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000100000 -000000000000000000000000000000000000000000 +010000000000000000000010010000000000000000 .logic_tile 4 7 -000100000000000000000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000010000001000011000000000111011010010000000000000000 -000000000100000000100000001001101111000000000010000000 -000000000000000001000010000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -000000000000000001100000000011000000000010000000000010 -000001000000000000000000000111000000000000000000000000 -000000000000001000000010011001000001000000000000000000 -000000000000001111000011110111101010000000010000000000 -000000000000000001000000000101001011000010000000100000 -000000000000000000100000001011101000000000000000000000 -000000000000001011100111110101011100000010000010000000 -000000000000000111000011101011101110000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000100000100000000000000000000000000000000000 +100000000010000111100000010000000000000000000000000000 +000000000000000000100011010000000000000000000000000000 +111000000000001000000010000000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010001011001000001110100000000 +000000000000000000000011011001011000000000110000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 .logic_tile 5 7 -000000000000001111000110100011101111000000000000000010 -000000000000001111000010001011011010100000000000000001 -000000100000000001000111110001000001000000000000000000 -000000000000001101100010100011101000000000010000000000 -000000000000011001100000011101011101100000000000000000 -000000000000111111000010001001011110000000000000000000 -000000000000001001000110010001101011010000000001000000 -000000000000000101100010001101001110110000000000000000 -000000000000000011100111001011011010010011110000000000 -000000000000000001100111001101101100000011110000000000 -000000000000000001100010101001101000000001000000000000 -000000000000000000000000001001011110010001000000000000 -000000000000001000000011100001000000000000110000000000 -000000000000000111000110011111001000000000000000000000 -000000000000100111100000001001101010011100000000000000 -000000000001010111100000001101101001111100000000000000 +000000000000000001000000001111000000000000000000000000 +000000000000000000100000000001000000000001000000100000 +000000000000101000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010001000000000001000000000000 +000000000000000000000010111011000000000000000000000101 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 7 -000000000000000011000010100101001100111000000000000000 -000000000000000001100110110001001001110000000000000000 -000010100000001001100110000011001101001000000000100000 -000000000000000101000011010111011000001100000000000000 -000010000000001011000010100101000000000000100000000000 -000000000110001111100110110001101110000000000000000000 -000000000000101011100110000101111101010000000000000000 -000000000000000101000010000001111010110000000000000000 -000000000000001001100000000001100001000011010000000000 -000000000000000001100011100101101000000011000000000000 -000000000000000000000110111101111001000100000000000000 -000100000000100000010110001101011101000000000000000000 -000000000000000001100000000001011000000011010000000000 -000000000000000011100000001001101110000011110000000000 -000000000011100000000000001101000000000010000000000000 -000001000001100000000000001101100000000011000000000000 -.logic_tile 7 7 -000000000000000111000011100000000000000000000000000000 -000000000000000000000110110000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000001001000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000001000100000000000000000011000000000000000000000100 -000000000000000000000000000001100000000001000000000010 +000000000000010011100000011001000001000000000010000000 +000000000000001001100011000001001101000000010000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001011010011010000000000000000000000000000 -000001000000000000000000000011000000000000000000000010 -000000000100010000000000000001000000000001000000000010 000000000000000000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -000000000000000000000000000011001000011111110000000000 -000000000000000000000000000111111001111111110000100000 +000000000001000000000000001011001001100000000000000000 +000000000000100000000000000111011000000000000000000000 +000000000000101001000000000001100000000000000000100011 +000000000001010001100010101001001000000000010000000010 +000000000000000000000110111011100000000010000000000000 +000000000000000111000011011111000000000000000000000000 +000000000010000000000110100001011100001100000000000000 +000000000000000000000000001011011111101100000000000100 +000010000010000000000000000001111100010000000000000000 +000010000000001001000011100001011100000000000000000000 +.logic_tile 7 7 +000000000000000011100010001001111101000000000000000000 +000000000000001101100010111101101010100000000000000000 +000000000000001111100110101111100001000000000000000000 +000000000001010101100000000001101100000000010000000000 +000000000000001000000010000001101101110000000000000000 +000000000000000001000100001011101101111000000000000000 +000000000000001001100111100001000000000010000000000000 +000000000000000001000111110101001000000011000000000000 +000000000000000001100000011101100000000010000000000000 +000010000000000111000010100001101011000010010000000000 +000000000000000011000111011011000000000000000000000000 +000000000000000000100110101011000000000001000000000000 +000000001010000101100110100101101000001101010000000010 +000000000000000000000000001001011110000101010000000000 +000000000000000000000111000111000000000000110000000000 +000000000000000000000100000001101001000000010000000000 .logic_tile 8 7 -000000000000000000000110000101100000000000001000000000 -000000000000000000000010110000100000000000000000001000 -111000000001010111100000000101100001000000001000000000 -000000000000000000000000000000101000000000000000000000 -110001100000000000000000000011101000000011110000000000 -110001000000000000000000000000000000111100000000100000 -000000001110100000000110011101100000000011000000000000 -000000001000010000000010001101100000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000001100000001101101101111110000100000000 -000000000000000000000000001101111100111100000100000000 -000000000000000000000011000000000000000000000100000000 -000000000000000000000000001001000000000010000100000000 -110000000000000000000000000000000000000000000100000000 -000000000000000000000000001001000000000010000100000000 +000000000000000111100011010000000000000000000000000000 +000000001110000000000110000000000000000000000000000000 +000000000000000011100111101101100000000001000000000000 +000000000000001011000100000111100000000000000000000000 +000000000110000000000011000101111111000000000000000000 +000000000000000000000100001101001010100000000000000000 +000010100001011101000110001011100000000000000000000000 +000001000000100001100000000001101001000000010000000000 +000001000000001000000000000001000000000010000000000000 +000000000000000011000000000011000000000000000000000000 +000000001000000000000000001011100000000000110000000000 +000100000000000001000011001001001001000000000000000000 +000000000000001000000000001101101111011100000000000000 +000000000000001111000000000111001010111100000000000000 +000010000000000001100000001001011001110000000000000001 +000001000000000001000011000001101111100000000000000010 .logic_tile 9 7 -000000001100001011100000000101100000000000000000000000 -000000000000001011000000000101000000000001000000000000 -111000000000001001000000000111111101000000000010000000 -000000000100001101000000000001111110110000000000000001 -010000100000001001000010111101001100010000000000000000 -000000000000001111100010000001011000000000000000000000 -000000000000001000000000001000000000000010000000000000 -000000000000000001000000000101000000000000000010000001 -110000000000001000000110000101011000111000000100000000 -100000000000000001000100001101101100110000000000000100 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000000000000000000000000000000000000 -000000000000001000000111000000000000000000000000000000 -000000000000001101000100000000000000000000000000000000 +000000000000001000000010100000000001011010010000000000 +000000000000000001000110100000001101100101100000000000 +111010100000001000000010100001100000000001000010000000 +000001000000000001000010011011000000000000000000000100 +110000000000000001000010100101000000000010000000000000 +110000000000000000100010100001101011000000000000000001 +000000000000000001000010101011001010000010000000000000 +000000000000001101100010001011001100000000000000000000 +000100000000000111100110001101101111000010000000000000 +000000000000001111010010011001101010000000000000000000 +000000000000000000000010011011001001001100000100000010 +000010000000000000000110001001111010000100000000000000 +001000000000000000000110101001011010111100110100000110 +000010000000001001000100001101101000111100100000000000 +110000000000000000000000001011001011001100000100000000 +000000000000000000000000001001111010000100000000100011 .ramb_tile 10 7 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000111000010001111000000000001 +000000000000001001000100001001000000000000 +001000000000001111000111011000000000000000 +001000000100001111000010101011000000000000 +010000000000000000000010001111100000000000 +110000000000000000000000000101000000000100 +000000000000000101100000001000000000000000 +000000000000000000000000000001000000000000 +000000000000000000000111001011000000000001 +000000000100000000000000000001100000000000 +000000000000000000000010100000000000000000 +000000000000101111000100001001000000000000 +000000000010000000000111000001000000000001 +000000000000000011000100001111000000000000 +110000000000000000000000001000000000000000 +010000000000000011000000001001000000000000 .logic_tile 11 7 -000000000000000001000000000101011011110000000000000010 -000000000000000000000000000111111000000000000000000000 -000000000000001000000000000011000000000000000000000000 -000010000000000011000000000001000000000001000001000000 +000100000000000001000000000011000000000000001000000000 +000000000000000001100000000000100000000000000000001000 +111101000000000000000110000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +110000000000000000000110000000001001001100111000000000 +100000000000000000000000000000001010110011000000100000 +000000000000000101000000000000001000001100110000000000 +000000000000000000100000000000001100110011000000000000 +000000000000000011000111100000000000000010000000000010 +000000000000000000100100000001000000000000000000000000 +000000000000000000000000000101000000000001010000000011 +000000000000000000000000000011101000000010010000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +110000000000000000000000000111000001000001100110000000 +000010000000000000000000000001101001000000111000000000 +.logic_tile 12 7 +100000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 +010000000000000000000000001000000000000000000100100000 +010000000000000000000000000111000000000010000000000000 000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111000111101011000000000010100000000001 -000000000000000000100000001101001111000001100000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000011000000000000000000000001 -.logic_tile 12 7 -100000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -111000000000100000010000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000001000001000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000001000000000010000000000000000000000000000000000 -000000000000000000000110100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000010000000100000000000000000000000000000000000000000 .io_tile 13 7 000000000000000010 000100000000000000 -000000000000000000 -000000000000000001 -000000000000100010 +000010000000000000 +000001110000000001 +000000000000000010 000000000000110000 001000000000000000 000000000000000000 000000000000000000 000100000000000000 -100001111000000000 -000000001000000000 +000000000000000000 +010000000000000000 000000000000000000 000000000000000001 000000000000000000 @@ -1873,7 +1873,7 @@ .io_tile 0 8 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000001100 000000000000001000 @@ -1888,235 +1888,235 @@ 000000000000000000 000000000000000000 .logic_tile 1 8 -100000000000000000000000010000000000000000000000000000 -000000000000000001000011100000000000000000000000000000 +000000000000001011100000000011100000000000001000000000 +000000000000000111100000000000000000000000000000001000 +000000000000000111100000000000000001000000001000000000 +000000000000000011100000000000001000000000000000000000 +000100000000001000000000000000001000001100111000000000 +000100000000001111000000000000001111110011000000000000 +000000000000001000000000000000001000001100111000000000 +000000001100000111000000000000001010110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000010100000010111000000000000001001001100111000000000 +000001000000100000100000000000001010110011000000000000 +000000100000000000000011100000001001001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001000001100110000000000 +000000000000000000000000000000001000110011000000000000 +.logic_tile 2 8 +000000000000001000000000000101101101101000000000000000 +000000000000001111000000000011001100100100000000100000 +000000000000001001100111111101000001000000100000000010 +000000000000001001000110010001101001000000110000000000 +000000000100000000000110011101101101101000000000000000 +000000000000001001000010010101101100100100000000000000 +000000000000001001000110110011011100000010000000000000 +000000000000000101000010000111111010000000000000000000 +000000000000001011100000010011101101111000000000000000 +000000000000010101000010100001101000110000000000000000 +000000000000001001000111010001111011010000000000000000 +000000000000001001000110101101101100000000000000000000 +000000000000001011100000000000000001011010010000000000 +000000000000000101000000000000001010100101100000000000 +000000000000001000000000011101101111101000000000000000 +000000000000001001000010101111101110100100000000000000 +.ramt_tile 3 8 +000000000000000111100000001001100000100000 +000000010000000000100000001011000000000000 +111000000000000111100000000000000000000000 +000000010000001011100000001011000000000000 +000000000000000001000111001001000000101010 +000000000000000000000100000001000000000000 +000000000000101000000000001000000000000000 +000000000000001011000000000011000000000000 +000010100000000000000010000111100000001000 +000000000000000000000100000101100000011000 +000000000000000011100000000000000000000000 +000000000000000000100011110111000000000000 +000000000000001000000000000101100000000000 +000000000000001011000000001101000000010000 +110000000000000001000000010000000000000000 +110000000000000000100011010000000000000000 +.logic_tile 4 8 +100000000000000000000000010011000000000001000100000100 +000000000000000000000011001001000000000011000010000000 111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000100 -000000000000000000000000000001000000000010000010000001 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +110000000001000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -.logic_tile 2 8 -100000000000010011000000000000000000000000000100000010 -000000000000000000000000001101000000000010001010000000 -111000000000001000000000000000000000000010000110000000 -000000000000001111000000000101000000000000000010000000 -110000000000001011000010100000000000000000000100000000 -010000000000001111100100000101000000000010000001000000 +000000000000000000000010100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000001010000000011100000000000000000000000000000 -000000000010000000000000000000000000000000000100100000 -000000000000000000000000000001000000000010001000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -.ramt_tile 3 8 -000000000000001111100000000000000000000000 -000000010000000011100000001101000000000000 -001010000000001001000000000000000000000000 -101000010000000011100000001011000000000000 -000000000110000011100111100000000000000000 -000000001010010000100100000001000000000000 -000000000001010000000000000000000000000000 -000000000000000000000010010001000000000000 -000000000000000000000111001000000000000000 -000000000000001111000100000011000000000000 -000000000000000000000000001000000000000000 -000000000000001111000000000001000000000000 -000000100000000000000000000000000000000000 -000011000000000000000000000011000000000000 -010010000000000000000000001000000000000000 -010000000000000000000000001101000000000000 -.logic_tile 4 8 -000010000000001000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000011011001000000000000000000000000 -000000000110000001000010000111000000000001000000000010 -000100000101000111100000001101000001000010000000000000 -000100000000000111100000001111001000000000000000000010 -010000000000000000000000000000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -000000000000000000000000000111000000000000000000000101 -000000001100001111000000000011100000000011000001000000 -000000000000000000000000001001100000000000000000000001 -000000000000000000000000001101100000000001000000000000 -000000000000000000000000010111011000000100000000000000 -000000000000000000010011110011111010000000000000000000 -001000000000000000000010000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 .logic_tile 5 8 -000000000000000000000111010011100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -000000000000000000000000010111100000000000001000000000 -000010000000000000000010000000101100000000000000000000 -000000001010000101100111000111101000111100001000000000 -000000000000000000000100000000001110111100000000000000 -000000100010000000000111010011001000000011110001000010 -000001000000100000000010000000100000111100000000000000 -000001000010000111100010010111101001010000000000000000 -000010100000000000000111101111111001000000000000000000 -000000000000000111100000001101000001000000000000000010 -000000000000001111000000001111001011000000010000000000 -000010100000000111100111100011000001000010000000000000 -000000000000000000000100001101101011000000000000000000 -000001001110000000000111100011101000000011110000100000 -000000100000001111000010010000010000111100000000000100 -.logic_tile 6 8 -000000000000001111100110010011111001000100000000000000 -000000000000000001100011111011001111000000000000000000 -000000000000001011110110000101000000000001000000100010 -000000000010000001100000001001000000000000000000000000 -000000100000001011100111101101000000000001000000000000 -000001000000000001010110001101000000000000000000000000 -000010000100001011100110000001100001000000000000000000 -000000000000000001100011111101001001000000010000000000 -000000000000000000000000010011100001000010000000000000 -000010000000000000000011101011001010000000000000000000 -000000000000000111000000001001001000000000000000100000 -000010000000000000000000001101011000100000000000000000 -000000000000000000000000001101100000000001000000000000 -000000000000000000000000001101000000000000000000000010 -000000000000000000000111101101001000000010000000000000 -000000000000000000000000001101111000000000000000000000 -.logic_tile 7 8 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000101000000000000000000000000000000000000000 -000000001000000011000000000000000000000000000000000000 -000000000000000000000000001011000000000000000000000100 -000000000110000000000000000001100000000001000000000001 000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000010100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 6 8 +000001000000001000000111000101101101101000000000000010 +000000000000001111000011101101001000011000000000000010 +000000000000100001100111110111011110000000000000000000 +000000000001001101000010000111101001100000000000000010 +000000100001100000000010100001101101001101010000000000 +000001000001100000000000000111001001000101010000000110 +000000000000001101000110001001001101010000000000000000 +000000000000000001000010111101001100000000000000000000 +000000000000001101000111001101101101010000000000000000 +000000000000001011000000000011111011000000000000000000 +000000000000000011000110101011000000000010000000000000 +000000000010000111000100001011001100000010010000000000 +000010000000000101100110000001100000000001000000000000 +000000000000000111000000001001100000000000000000000000 +000001000000000011100000000101111110000000000000000000 +000010100000000000000000000111001001100000000000000000 +.logic_tile 7 8 +000000001100000111100111000001000000000000110000000000 +000000000000000101100010101111001100000001110000000000 +000000000000000001100110110001111011000011010000000000 +000000000000000000000010001101101010000011110000000000 +000000000000000000000110000101111000000011010000000000 +000000001010000101000010101001101011000011110000000000 +000000000000001001100110010011011010000011010000000000 +000000000100000001000010001111011010000011110000000000 +000000000000001011100110110011000001000010000000000000 +000000000000000101100011000001101011000011000000000000 +000000000001001000000011110101100000000001000000000000 +000000001010100101000110101111100000000000000000000000 +000000000000000000000110111001101001000100000000000000 +000000000000000000000010100011111101001100000000000000 +000000000000000111000000001111101100010000000000000000 +000000000000000000100000001011011100000000000000000000 .logic_tile 8 8 -000000000000001011000010101001000000000011000000000000 -000000000000000001100011001101000000000000000000000000 -111001000000000000000010100001001010000010000001000000 -000000100000000000000010101101001001000000000000000000 -010000000000001011100000011001111011111110000000000000 -010000000000000001100010001001111010111100000000000000 -000010000000010101000110000001100000001111000001000000 -000000000000100000000011000000100000110000110000000000 -000000000001010000000010101001101011111011110000000010 -000000000000000000000000001001101010111111110000000000 -000000000000000000000000000000000000000010000001000000 -000000000000000000000000001011000000000000000000000000 -000000001100000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000000000000000 -110000000110000000000000000000000000000000000101000000 -000000000000000000000000000001000000000010001101000000 +000000000000000001100000001101000000000001000000000000 +000000000000001101010010001101000000000000000000000000 +000000000000000000000110000101000001000010000000000000 +000000000000000000000000000111101101000000000000000000 +000000000000000000000011100001000001000000000000000000 +000000000000001101000000001001001011000000010000000000 +000000000000000001100110000001000001000011100000000000 +000000000001011001000000000001001001000011000000000000 +000000000000101000000010011111011000100000000000000000 +000000000000011011000111010101011011000000000000000000 +000000000000001000000010000011001101000010000000000000 +000000000000001111000000001011011101000000000000000000 +000000000000000000000000010001011011000000000000000000 +000000000000000111000011001001001011100000000000000000 +000000000000000000000000000011101101000010000000000000 +000000000000000111000000001111011110000000000000000000 .logic_tile 9 8 -100000000001000000000000010000000000000010000100000000 -000000000001110001000011000101000000000000000000000000 -111100000000010000000011100000000000000000000000000000 -000000000000100000000010110000000000000000000000000000 -010000000000000011000010110011100001000011000100000010 -100000000000011101000111000001001010000011010010000000 -000001000000000101000010100000000000000000000000000000 -000011000010001101100111100000000000000000000000000000 -000000000000000000000000001101111011110011110100000000 -000000000000001101000000000111101100010010100000000000 -000000000000000011000110101111001011101101010100000000 -000000000000001001100100001101111101001100000000000010 -000000000000000000000010100001101010011100010100000000 -000000000000000000000111010011101001111100010000000000 -000000000000000111000000000101111000000011110100000000 -000100000000000000000000001111111011000011100000100000 +000000000000000000000110000001101010001111110000000100 +000000000000001011000010010001011100101111110000000001 +111000000000101111100110010001101100001100000000000000 +000000000000001111100011000101001001000100000000000001 +010000000000000000000111010001111101000010000000000000 +010000000000001011000010001111111010000000000000000000 +000000000000001101000110000101101011000000000000000001 +000000000000000001000010100011111011100000000000000000 +000001000000101011000000001101000001000000100000000001 +000000100000011111000000001001001000000000000000000000 +000000000001010000000111100000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000100000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110001000000000000000000001111000001000000100100000001 +000000000000000000000000000101101001000001000000100010 .ramt_tile 10 8 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000010000000000000000111000000001000 +000000010000001001000000000001000000000000 +101000100001110111100110011000000000000000 +001001010000000000100111000001000000000000 +000000000000000000000000001111100000000000 +000000000000000000000000001001000000100000 +000010001110001000000111101000000000000000 +000001000000001011000100000011000000000000 +000010100001010000000111001011000000000010 +000001000000101111000000001101100000000000 +000000000110001111000110101000000000000000 +000000001000001011000000001001000000000000 +000000000000000000000000001101100000000010 +000000000000000011000000000111000000000000 +110000000000000101100000001000000000000000 +010000000000000011000000001011000000000000 .logic_tile 11 8 -000000000001010011000011110001000000000000001000000000 -000000000000000000000011000000000000000000000000001000 -111000000000000101000000010000000001000000001000000000 -000000000000000000100010000000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000001111000000000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000001000111100000010000001 -000000000110000000000000000000000000111100000001000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000000000000000000000000000100100000 -000000000000000000000000000101000000000010000000000000 +000000000000000011100000001001111011000100000000000000 +000000000000000000100000000001111001001100000000000000 +111010100000001001000111010000000000000000000000000000 +000001000000000001000111110000000000000000000000100000 +110000001100000000000010011001000001000001010000000000 +100000000000000000000110000101001111000010010000000000 +000000000000001001100010111000000000000010000000000000 +000000000000000111000010000001000000000000000010100010 +110000000000000011000000001101100000000011000000000000 +010000000000000000000000001101001011000000110000000000 +000000100000000000000000000000000000000000000110000000 +000000000000000000000000001101000000000010001000000100 +001000001110000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000001000000 +110000000000000000000010000000000000000000000100000010 +000000000000000000000000000011000000000010001010000000 .logic_tile 12 8 -000000000110000111000000001011011000001100000000000100 -000000000000000000100000000001001100101100000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000101000010000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000001010000000000011100000000000000000000000000000 -000000100000000000010000000001100001000011100000000000 -000000000000000101000000000001101000000011000000000000 -110100000000001111100000000101100000000001000000000100 -100000000000001111010000000111000000000000000000000100 -010000001100000000000011110000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000111100000000000000000000000000000000000 +000000000000001000000000000101111000010000000000100010 +000000000000001011000000000101001000001000000000000000 +111000000000000111000111100000000000000000000000000000 +000010000000000001000100000000000000000000000000000000 +000000000000001111100000001101011001000000000000000110 +000000000000001011100000001101011010110000000000000000 +010000000000000111000111001101011010010010000000100010 +100000000000000111000100000001011010000000000000000000 +000000000000000000000111101011000000000010000000000000 +000000000000000000000100000001100000000011000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000111000000000010000000000100 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011000111111101010010000000000010 -000000000000000000000000001101111101000000000000000000 .io_tile 13 8 -000001111000000010 -000000001000000000 -000000011000000000 -000000001000000001 -000000000000001101 -000000000001000000 -001100000000011000 +000001011000000010 000000000000000000 000000000000000000 +000000000000000001 +000000000000000101 +000000000001001100 +001100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000010000000000000 +000010010000000000 000000000000000000 000000000000000000 .io_tile 0 9 +000001011000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 -000000000000001100 000000000000000100 -000100110000000000 -000000001000000000 +000000000000000000 +000100000000000000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -2126,224 +2126,224 @@ 000000000000000000 000000000000000000 .logic_tile 1 9 -000000000000000000000000000101100000000000001000000000 -000000000000000000000011000000100000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000100000000000000000000000000001011000000000000000000 -000000000000000000000000000000001000001100111001000000 -000000000000000000000010000000001001110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000011000000001101110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000000000000000000000000000000110011000000000000 -000000000000000000000110100000000000000000000000000000 +000000000000001000000000011000000000000010000000000000 +000000000000000011000011010001000000000000000000000000 +000000000000000111000111101101101000101000000000000000 +000000000000001011100100000101011010100100000000000100 +000000000000001000000010100000000000000010000000000000 +000000000000000011000000001111000000000000000000000000 +000000000000001101000000001101001000101000000000000000 +000000000000000111000010101001111010100100000000000100 +000000000000000111000000000101111000101000000000000000 +000000000000000000000000001111101000100100000000000100 +000000000000000111000000000000000000000010000000000000 +000000000000000000100000000011000000000000000000000000 +000000000000000000000011101000000000000010000000000000 +000000000010000000000000000111000000000000000000000000 +000000000000000111000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +.logic_tile 2 9 +100000001100000111000000011001100000000001000100000010 +000000000000000011000011101101100000000011000000000010 +111000000000001011100111000001101110101101110100000000 +000000000000000011000110110101001000010110100000000100 +010100001100000011100011100000000000000000000000000000 +110000000000001011000110100000000000000000000000000000 +000010000000000000000010101001100000000001000100000000 +000001000100000101000000000001100000000011000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101001001111100110100100100 +000000000000000000000000001111011010111100100000000010 +000000000000000001100000010000000000000000000000000000 +000010000000000000000010010000000000000000000000000000 +010000000000000000000000000001000000000001000100000000 +000000000000000000000000001101000000000011000010000101 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000001011100010000000000001011010010000000000 +000000000000000001100010000000001101100101100000000000 +000000000010000011100000000001101000001001000000000000 +000000000000000000000011011001111100001010000001000000 +000000000000000000000000000111101011001001000001000000 +000000000000000001000010010001111100001010000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000001111000011100000000000000000000000000000 +000000000000000111000000000001001011011000000000100000 +000000000000000000100000000111001010110000000000000000 +000000000010000000000000011000000000000010000000000000 +000000000000000000000011100001000000000000000000000010 +.logic_tile 5 9 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000001011111011100000000000000100 +000000000000000000000000000101001011000000000000000100 +010000000000000101000010101001100000000000000010000001 +100000000000011101100100001011000000000001000000000001 000000000000000000000000000000000000000000000000000000 -.logic_tile 2 9 -000100000001000111100110111001000001000001010000000000 -000100000000000011100010101001101100000010010010000000 -111000000000101001000011100101101011110011000000000000 -000000000000000101000111010001011001000000000000000000 -010000000000001000000110101011100000000000010000000000 -000000000000000001000000001101001100000000000000100000 -000100000001010101100011110111100000001111000010000000 -000100000000100000100010000000100000110000110000000000 -110000000000001001100000001101101100000100000000000000 -010000000000001101000000000001101001100000000000000000 -000000000000000111000000001000000000000010000000000000 -000000000010000001100000000001000000000000000000000001 -000000000000000000000000000000000000000000000100100001 -000000000000000000000000000001000000000010001000000000 -110000000000000000000011000000000000000000000100100000 -000000000000000000000000000101000000000010000000000000 -.ramb_tile 3 9 -000000000000000111000000001111000000000000 -000000000000000000000011010001000000000000 -101000000001000011100110100000000000000000 -001000000000001001100011001011000000000000 -010000000000000000000011100001000000000000 -110000000000000000000000001011000000000100 -000001000001001000000000001000000000000000 -000011001010101111000000001011000000000000 -000000000000000001000111000011000000000000 -000000000000000111100010011001000000000000 -000000000000001000000010001000000000000000 -000000000000001011000100000101000000000000 -000000000000001000000000001001100000000000 -000000000000000011000000001001000000000000 -110000000000000000000000001000000000000000 -010010100000000000000000001001000000000000 -.logic_tile 4 9 -000000000000000111000111001011000001000010100000000001 -000000000000000011000100001001101111000001100000000000 -111000000000001001000111011101100001000000010000000000 -000000000000001101000110101001001010000000000000000010 -110000000000000000000011000000000000000000000000000000 -110100000000000000000100000000000000000000000000000000 -000000100000001111000011100001000001000011000000000001 -000000000001001101100000000011001000000011010000000000 -110000000000000111000111001001000001000000010000000000 -100000000000000000000000001101101000000000000000000001 -000000000000000101100000001101000000000001010000100000 -000000000000000000000000000011101110000010010000000000 -000000000001010000000110000000000000000000000000000001 -000000000000100001000000000000000000000000000010000000 -110000000010000000000110000000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -.logic_tile 5 9 -000000001100001001100110101001100001000001100001000000 -000000000000000001000100001001101101000000110000000000 -111000000000000101100110000000000001011010010001000000 -000000000000000000000011100000001001100101100000000000 -010000000000000000000000011001000001000001010000000000 -000000000000000000000010001101001001000010010000000000 -000000001010000101100110001011011010110011000001000000 -000000000000000000000000000011101001000000000000000000 -000000100000001000000000010000000000000000000110000000 -000001000000000101000011110011000000000010001010000000 -000000000000100111100000011000000000000000000100100000 -000000000001110000000010000001000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000001010000000000000000001000000000010000001000000 -110010100000000000000000000000000000000000000100100000 -000000000000100000000000001101000000000010000001000000 -.logic_tile 6 9 -000000000000001111000110001111000000000001010000000000 -000000100000000001000010000101101111000010010000000000 -000010000000001001100010010101000000000001010000000000 -000000000000000001000111101011101001000010010000000000 -000000000000001011000010110000000001011010010000000000 -000000000000000101100110100000001010100101100000000000 -000000000000000111100000001101011111010000000000000000 -000000000000000000100011010001001001110000000000000000 -000000000000000000000110101001000000000001010000100000 -000000000000000000000000001001001111000010010000000000 -000000001010000000000000000101101000110011000000000000 -000000000000000000000000001101011010000000000000000000 -000001000000000000000110011101101010110011000000000000 -000000100000000000000010000001111000000000000000000000 -000000000000000011100000000101100000000001000000000000 -000000000000000000110000001001000000000000000000000000 -.logic_tile 7 9 -000000000000000101100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000010111100110101001100001000011000000000000 -000000000000100011000000001001001100000000110000000000 -010000000000000101100000000001111010110000000000000010 -000000000000000000000000000101011110000000000000000000 -000000000000000001100000010000000000000000000110000000 -000000000000000000000010100001000000000010001000100000 +000000000000000001000010000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 -000000000000000001100000001101100000000000000100000000 -000000000000000000000000001001000000000011001000000100 -000000000000000001100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 9 +000000001110001000000010001001000000000010000000000000 +000000000000000001000100000101100000000011000000000000 +111000000000000000000010000111100000000000000000000010 +000000000000000000000100000011000000000001000000000000 +010000000000001001100110100001100000000000000000000000 +110000000000000001000010101111100000000001000000000001 +000001000000001001000010001101000000000010000000000100 +000000000000000011100110000101000000000000000000000001 +000000000000000101100110011111011010000011010100000001 +000000001010001001000010101101111100000011110000000010 +000000000000001000000000001001000000000001000100000010 +000000001010000101000000000111001101000011000000000000 +000000000100000000000111101101000000000001000110000100 +000000001110000000000110000011001101000011000000000000 +010000000000001001100000001001000000000001000100000000 +100000000000000101000000000111001011000011000000100000 +.logic_tile 7 9 +000000000000001011100000000011000000000000010000000000 +000000000000000001000010010011001001000000000000000000 +000000000000000001100010111011100000000000000000000000 +000000000000000001000110000101100000000001000000000010 +000000000000000101000011100001100000000011000000000000 +000000000000001001100000000111001000000001000000000100 +010000000000001111000111110101011011100000000000000000 +100000000000001011000110010001001110000000000000000000 +000010000000000001100111110101100000000001000000000000 +000001000000001111010011001011000000000000000000000001 +000001000000001000000000001101011000010000000000000000 +000011100000000001000010011101111100000000000000000000 +000000000000010111100000001001100000000000000000000000 +000000000000000000100000001101100000000001000000000000 +000000000000000000000000000011111000010011110000000010 +000000000000001001000000001011001010000011110000000000 .logic_tile 8 9 -000000000000100000000000001101000000000010000000000010 -000010000000010111000011111101000000000000000000000001 -111000000000000011100110010001000000000000000000000100 -000000000000000001100010001011100000000001000000000000 -010000000000001000000010000101111111000000000001000000 -000000000000001001000100001101111000100000000000100000 -110000000000100000000010110111000000001111000000000001 -100000000001000000000010000000100000110000110000000000 -000000000000000001000011100001100000000000000000100000 -000000000000000000100000000001100000000001000000100010 -000000000000000000000000000101011001110000000000000100 -000000000000000000000000000011111111010000000000000010 -000000000001010000000110101101001110000001110000000000 -000000000000000111000000001101001011000000110000000000 -110000000000100000000000001000000000000000000101000000 -000000000001000000000010010001000000000010000000100000 +000000001100100000000110101011011011000100000000000000 +000000000000011111000000000111001111000000000000000000 +111000000000000001100011111001001100011100000000000000 +000000000000000000000010000111001101111100000000100000 +110000000000001011000000010001000000000000000000000000 +100000000000000001100010000111100000000001000010000000 +000000000000000111000110001101000000000011000000000000 +000000000000000011000000000001000000000000000000000000 +000000000000000111000110001101011000000000000000000010 +000000000000001011100000000101011111100000000000000000 +000000000000000000000110001001100000000001010000000000 +000000000000000000000000001011101011000010010000000000 +000100000000000101100111000000000001011010010000000000 +000000000000000000000000000000001011100101100000000000 +110000000000001011100010001000000000000000000101000000 +000000000000000101000000000001000000000010001000000101 .logic_tile 9 9 -000000000000011001100000011101100000000001000001000010 -000000000000000001000011000011100000000000000000000000 -000000000000000000000000000001011000010100110000000000 -000000001000000101000010111101011111000000110000000000 -000100000000000000000111010111100000000010000001000000 -000100000000000101000010001001100000000000000000000100 -000100000000000101000010001101100000000001000010000000 -000000000000000000000110100011000000000000000000000000 -000011000000000011000000001011100000000000000010000000 -000010100000001101100000000001000000000001000000000000 -000000000000000011100000010011011010100111110000000000 -000000000000001001100011010001011100101011110000000000 -000000000000001000000000000011100000000000000000000010 -000000001000000001010011011101000000000001000000000000 -000000000000010000000110000111111100100000000000000000 -000000000000000000000111010111111010000000000000100100 +000000000001000000000000000001100000000001100010000000 +000000001010001011000011010101101011000000110000000000 +111000000000000000000110111011101010110000000000000000 +000010000000000000000011101101111111000000000000000000 +110000000000001111100110000011101011110011000000000000 +100000000000000111100011010001011011000000000000000000 +000000000000001000000110100011000000000011000000000000 +000000000000001111000011000101000000000000000000000000 +000000000000001000000000001101100000000011000000000000 +000000000000000011000000001001100000000000000000000000 +000000001110000111000000001000000000000000000100000000 +000000001000000000010010010101000000000010000010000010 +000000000000000000000000010000000000000000000101000000 +000000000000000000000010001001000000000010000001000101 +110000000000100000000000001000000000000000000111000001 +000000000110000000000000001101000000000010000000000000 .ramb_tile 10 9 -000000000010000000000000000000000000000000 -000000010000000000000000000000000000000000 +000001000000000000000000000000000000000001 +000010000000000000000000000000000000000000 +000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 +010000001010000000000010000000000000000000 +110000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000 +000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 .logic_tile 11 9 -000000000000001000000000010000000000000000000000000000 -000000000000001111000011000000000000000000000000000000 -111000000000101000000000000111111001011100010000000000 -000000000000000111000000000101001010011000010001000000 -010000000000000000000000011111000000000001010000000000 -010000000000001011000010001101101000000010010000000100 -000000000001000000000110000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000010100000000000000010000000000000000000000000000000 -000001000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001011000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -000000000000000001000000000000000000000000000100000000 -000000000000000000100000001101000000000010000000000000 +000000000000000111100000010001100001000001100000000000 +000000000000000101000010000001001111000000110001000000 +000000000000001111100000011101101000000100000000100000 +000000000000000001000010000111111111100000000000000000 +000000000000000111100000001101101101110011000000000000 +000000000000000101100010100101011110000000000000000000 +000000000000001011000111000001100000000000110000000000 +000000000000000001000100001101101110000000000000000010 +000000000001000000000110110000000000011010010000000000 +000000000000000000000011100000001010100101100000000000 +000000000000000000000000000111000000000001010000100000 +000000000000000000000000000001101010000010010000000000 +000001000000001000000110110111000000000001010000100000 +000010000000001111000010101011101000000010010001000000 +000010000000001011000110101001100000000001010000100000 +000000000000000101000000000001001010000010010000000000 .logic_tile 12 9 -000010000000000000000011101001011000010000000000000100 -000001000000000000000000000011111110001000000000000000 -111000000000000000000110101001100000000000000000000000 -000000001000000101000100001101000000000001000000000000 -000000000000001000000000000011100000000011000000000100 -000000000000001001000000001011100000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000001110000000000011100000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 +000000100000000000000011000001000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000011000110000000000001000000001000000000 +000010001000100000000000000000001001000000000000000000 +110000000000001000000000000000001001001100111000000000 +100000000000000101000000000000001111110011000000000000 +000001000000000000000000000000001001001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001000001100110000000000 +000000000000000000000000000000000000110011000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000100000000000000101000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +110000000000000000000111100000000000000000000100000000 +000000000000000000000100000101000000000010000010100000 .io_tile 13 9 -000000011000000000 -000100001000000000 -000000000000000000 +000000110000000000 +000100000000000000 +000000000000010000 000000000000000000 000000000000000100 000000000000000000 -000100000000000000 +000101011000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000110010 -000000000000010000 -000000000000100000 -000001010000000001 +000000000000010010 +000000000000110000 +000000000000000000 +000000000000000001 000000000000000010 000000000000000000 .io_tile 0 10 @@ -2364,214 +2364,214 @@ 000000000000000000 000000000000000000 .logic_tile 1 10 -000000000000000000000000010001100000000000001000000000 -000000000000000000000011100000100000000000000000001000 -111000000001000000000010100000000000000000001000000000 -010000000000000000000100000000001011000000000000000000 -010000000000000001000000000000001001001100111000000000 -010000000000000000000010110000001010110011000000000100 -000000000000000101000000000000001001001100110000000001 -000000000000001101110000000000001010110011000000000100 -000000000000000000000111100000000000000000000100000001 -000000000000000000000100000101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100100000 -000000000000000000000000000101000000000010000000000000 -110000000000000000000000000000000000000000000110000000 -000010100000000000000000001011000000000010000000000000 +000000000000000000000000000101000000000000001000000000 +000000000000000000000011110000000000000000000000001000 +000000000000000000000010100011100001000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000101000010100000001001000000000000000000 +000000000000000101000000000000000001000000001000000000 +000000000000000000000010100000001011000000000000000000 +000000000000001000000000000000000000000000001000000000 +000000000000000101000000000000001100000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000001000000000000001011000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000000000000001000111100000000000010 +000000000000000000000000000000000000111100000000000010 .logic_tile 2 10 -000001101100000011100010010101111111000100000010000000 -000010100000000111000111111101001101001100000000000010 -111000000000001000000110010001000001000010100000000000 -000000001110001001000011110011001001000001100010000000 -010000000000000000000110011101000001000000010000000000 -010000000000000000000010111001101111000000000000000100 -000000000000000001000011001011000000000011000000000000 -000000000000000101000100001011001111000000110000000000 -000000000000000001000111110111000000000010100001000000 -000000000000000111000110101011001110000001100000000000 -000010000000000111000010010101100000000010100000000000 -000010000000000000100010111001001101000001100010000000 -000000000000000011100000001011100001000010100001000000 -000000000010001001000011100001001011000001100000000000 -110000000000000000000011000000000000000000000100000000 -000000000000000111000100000001000000000010000000000000 +000010100000001001000010111000000000000010000000000000 +000000000000000011100111010011000000000000000000000000 +000000000000000000000010101111101000001001000000100000 +000000000000001101000111001001111100001010000000000000 +000000000000000000000000010001000000000000000000100000 +000000000000000000000011011001000000000001000000000000 +000000000000000101000000001001001000001001000000000000 +000000000000000000100010111101011100001010000000000000 +000000000000000111000111001111001010001001000000000000 +000000000000000000000000000101011110001010000000000000 +000000000000000001000000001001100000000001000000000010 +000000000000000001100010110011100000000000000000000000 +000000000000000111000000001011001010001001000000000000 +000000000000001101000000000001111110001010000000000000 +000000000000000000000011000011101000001001000000000000 +000000000000000000000000001001111100001010000000000000 .ramt_tile 3 10 -000000100000000111000011000111100000001000 -000001010000000111000100001101000000000000 -101000000000000001000111000000000000000000 -101000010000000000100100001001000000000000 -000000000000000000000011100001100000000000 -000000001010000000000000000011000000000000 -000000000000000000000000000000000000000000 -000000000000001111000000000001000000000000 -000000100000001001000000001011000000000000 -000001000000000011100000001011000000010000 -000000000000001001000000011000000000000000 -000000000000000011100011011001000000000000 -000000000000000011100000000011100000000001 -000000000000100000100000000001000000000000 -110000000001000000000000001000000000000000 -010000000000101011000000000101000000000000 -.logic_tile 4 10 -000000000001000000000000000101100000000000010000000010 -000000000000000000000011110101101001000000000000000000 -111000000000001001100111000001100001000010100000000000 -000000000000001011000111100111001000000001100000000000 -010000000000000011000010101101100000000000010000000000 -110000000000000000100000000101101001000000000001000000 -000000000000000101100000011001100001000010100001000000 -000000000000010000100010110011101101000001100000000000 -000000000000000111000000001001100001000010100000000000 -000000000000000000100000000111101101000001100000000000 -000000000000000111010110010000000000000000000100000000 -000000000000000000000010000011000000000010000000000000 -001000000000001111000000000000000000000000000100000000 -000000001110001001100000000111000000000010000000000000 -110000000000000111100000000000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -.logic_tile 5 10 -000010000000000101100010000011101010101011110001000000 -000000000000000001000011000101101100100111110000000000 -111000000000001001100110011001100000000001010000000000 -000000001010000101000010000001101101000010010000000000 -010001001100011101100011000101111010101011110001000000 -010000000000100111000000001101011100100111110000000000 -000010000000001011100000011111000000000001010000000000 -000000001010000101100010111001001101000010010000000000 -000000000000000000000010100001000000000001100100000000 -000000000000001111000100001111001000000010101000000001 -000010001110000001000111100001100000000001100100000000 -000000000000000000000100000111001001000010101000000000 -000000000001010001000111001011100000000001100100000000 -000000000000100011100000000101101000000010101000000000 -010000000100100000000000001101000000000001100100000000 -100000000000010000000000000011101001000010101000000000 -.logic_tile 6 10 -000000000000000111100110000111100000000000001000000000 -000000000000000011100111010000000000000000000000001000 -111000000000000001100000010000000001000000001000000000 -000101000000000111100011010000001000000000000000000000 -110000001010001000000000000000001001001100111000100000 -110000000000001011000000000000001100110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000001000000000000000000001001110011000000000000 -000100000001011011100000001101000000000001100100000100 -000100000000100011000000001001001100000010101000000000 -000000001011110011100000001001000000000001100100000000 -000000000000100001100000000011001110000010101000000100 -000000000000001000000110101001000000000001100100000010 -000000000000000011000000000001001100000010101000000000 -010000000000001000000000000001000000000001100100000000 -100000000000001001000000000011101110000010101000000000 -.logic_tile 7 10 -000000100000000111100010000101000000000000000010000000 -000001000000000000100100001101100000000001000001000000 -111001000000000001000111110101000000000010000001000000 -000010000000000000000111011101100000000000000000000000 -010000000000000111100110011111111000010100000000000000 -000000000000000000000111110101011010100100000000000000 -000000000010000011000011110001111111110000000001000000 -000000000000000000100110111001111000111000000000000000 -110000000000000000000000001001011010010100000000000001 -000000000000001001000000001101011010100100000000000000 -000000000000000000000110001011000000000000000001000010 -000000000000000000000000001011000000000001000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000000000111000000000000000000000100000000 -000000000000001111000100001001000000000010000000100001 -.logic_tile 8 10 -000000000000000000000111000001101101000011100000000000 -000001000000001111000011001101011011000011110000000000 -111000000000000001100011101011011001011100000001000000 -000000000000010001010010001101001101111000000000000000 -011000000001000111000011010000011000000011110000000000 -010000000000001111000110100000010000111100000000000000 -010000000000001101100011011011001011010000000001000000 -100000000000001111000010000111101010000000000000000000 -000000000000001000000110111101111000000100000000000100 -000000000000001011000010000011011101000000000000000010 -110100000000000011100011100111011111000100000000000000 -100100000000000101000000001101011100000000000000000000 -000001000000000011100000000001000001000000110000000000 -000000100000000000000000000001101001000000000000000000 -110001000000000000000011000101100000000011000100000000 -000010000000000101000000000101001001000010100011000000 -.logic_tile 9 10 -000000000000010001000010111111000001000000110000000000 -000000000000000000000111110001001101000000100000000100 -111000000000001111100000000101000000000000010000000000 -000000000000000111100010101101001111000000000000100000 -010000000000000011100111001111101000100000000000000100 -010000000000000101100011001001111011110000000000000000 -001000000000001000000010100001111010101100000000000000 -000000000000000111000100000101011110001100000000000000 -000000000000001000000010011001000000000000000010000000 -000000000000001001000111001001101101000000010000000000 -000000000001000000000000000111100000000000000000000001 -000000000000000000000011001011001000000000110001000000 -000000001100001000000000010101100000000001000000000000 -000000000000001001000010010011000000000000000000000001 -110000000000000011100000001000000000000000000100000001 -000000000000100000000011000001000000000010001111000001 -.ramt_tile 10 10 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100110000000000000000000000000000000 -000001000100000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000000011100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001110000000000000000000 +000000000000000000000110000000001001001100111000000000 +000000000000000000000000000000001101110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001101110011000000000000 +000000000000000101000000000000001001001100111000000000 +000000000000000000000000000000001110110011000000000000 +000000000000000101100110100000001000001100111000000000 +000000000000000000000010100000001110110011000000000000 +000000000000001000000000000000001001001100110000000000 +000000000000001111000000000000001111110011000000000000 +000000000000001101000010101101000000000010100000000001 +000000000000000101000000001011101100000001100000000000 +.logic_tile 5 10 +000000000000001101000000000000000001011010010000000000 +000000000000000001100000000000001010100101100000000000 +111000000000100001010110101001000000000001010000000000 +000000000000000001000000000011001000000010010000000001 +110000000000000101000110001011000000000010000000000000 +100000000000000000100000001101101111000000000000000010 +110000000000101001100011101101000001000010010000000000 +000000000001000001000000000011001010000011000000000101 +110000000000100000000011000001100000000010000110000000 +000000000000010000000000001101000000000000000000000110 +000000000000001001100000001111000000000000000110000000 +000000000000000101000000000001000000000001000010000010 +001000000000000001100111001000000000000000000100100000 +000000000000010000000000000011000000000010000000000000 +110000000000000000000000001001100000000010000101000010 +000000000000000000000000001001000000000000000000000011 +.logic_tile 6 10 +000000000000001001100010101101100000000001000000000000 +000000000000000001000010100001000000000000000000000000 +000000000000001001100011110001000000001111000000000000 +000000000000001011010011010000100000110000110000000000 +000000000000000111000110001001001100101100000000000000 +000000000000000001000010100101001000111100000000000000 +000000000000000101000011010101011010101100000000000000 +000000000000001001100110001001011010111100000000000000 +000000000000000111100111110001111010111100010000100000 +000000000000000000000010001101011101111100110000000000 +000000000000000000000000001001000000000000000000000000 +000000000000000000000000001001000000000001000000000000 +000000000100000000000110001011000000000000000000000000 +000000000000010000000100000111100000000001000000000000 +000000000000000001000000000001101110100000000000100000 +000000000000000000000000001101111100000000000000000000 +.logic_tile 7 10 +000010100000011111000111110101111000001001000001000000 +000000000010100111000110001101001000001010000000000000 +000000000100000101100011111011100000000000100000000010 +000000000000000001000111111111101001000000000000000000 +000000000000100000000110000111000000000011000001000000 +000000000000010000000010111111100000000000000000000000 +010000000000000101100111100011011111000011010000000000 +100000000000000011000100001011111100000011110000000000 +000011100000000000000110001101000001000001010001000000 +000001101110100000000110110111101010000010010000000010 +000000000000000001000111010011011101101100000000000000 +000000000000000000100110101011111100111100000000000000 +000000000000001000000110010011111001000011000000000000 +000000000000000111000110011001111000000011010000000010 +000100000000000001100110110001111010000010000000000110 +000100000000000000000011110001111110000000000000000000 +.logic_tile 8 10 +000000000000001011100010111101011000011000000000000000 +000000000000000101100110001101011011010100000000000100 +111000000000001001100111010001100000000000000000000000 +000000000000000101000010001001100000000001000000000000 +110000000000001001100010111001000000000000000000100000 +100000000000000101000110000101100000000001000000000000 +000000000000000111100110001011100000000000000000000010 +000000000000001011100000000001100000000001000000000000 +000000001010000000000000000001011010000010000000000000 +000000000000000000000000001001001010000011000000000100 +000010100000000000000110011000000000000000000101000000 +000000000000000000000011111111000000000010000000000001 +000000000000000000000000001101000000000001110100000000 +000000000000000000000000000001101010000000110000000000 +110000000000000000000000011001000000000001100110000000 +000010000000000000000011111011001001000010101000000000 +.logic_tile 9 10 +000000000000000001100110000001000001000010100000000000 +000000000000000001100111010111101011000001100000000000 +111000001010000011100010010000000000000010000001000000 +000000001000000001000111100111000000000000000000000000 +010000000001000000000110000101100001000010100000000000 +110000001110000011000000001011101101000001100000000100 +110000000000011001100110011001100000000000000000000100 +110000000000101001100010011011000000000001000001000000 +000000000000000000000000010001000001000010100000000001 +000000001011000001000011100001001001000001100000000000 +000010000000000000000011111101100001000010100000000000 +000000000000000000000111011001001000000001100000000001 +000000000000000111000000000101100000000010100000000010 +000000000000001011100000000011001001000001100000000000 +110000000000000000000000000000000000000000000100000000 +000000000110100000000000000101000000000010000000000000 +.ramt_tile 10 10 +000000000110100011000000000000000000000000 +000000010000010001100011110011000000000000 +001000000000001111100000000000000000000000 +001000011110001011100000001011000000000000 +000000000000000111000000000000000000000000 +000000000000000000100000001011000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000 +000001000000001000000111110000000000000000 +000010000000001111000111001001000000000000 +000000000000000000000011101000000000000000 +000000001010000000000100000001000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000101000000000000 +010000000000000000000000001000000000000000 +010000000000000000000000001011000000000000 .logic_tile 11 10 -000000000000000101100000000011100000000000001000000000 -000000000000000000100000000000100000000000000000001000 -000000000000000011000111100011100001000000001000000000 -000000000000000000100100000000101100000000000000000000 -000000000000000000000110000001001000000011110000000000 -000000000000000000000000000000000000111100000010000000 -000000000001000000000000001011000000000001000001000000 -000000000000000000000000001001100000000000000000000000 -000000000000000000000000010001100001000000010000000001 -000000000000000111000011101101001100000000000000000000 -000000000001001101100110110000000000000000000000000000 -000000000000001111100010100000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000011000000000000000000000010 -000001000000000000000000001011000000000000000001000000 -000000100000000000000000001001100000000001000000000000 +000000000000000000000111111111000001000010100000000000 +000000000000000011000010000001101001000001100000100001 +111000000010001001100000010111100001000010100000000001 +000000000000000011000010001001101000000001100000000000 +011000000000000000000111110101000000000000000001000000 +110000000000001011000110000001000000000001000000000100 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010000001000000 +000000001110000000000000000000000000000000000110000000 +000000000000000000000000001001000000000010000000000000 +000000001100100000000000000000000000000000000100000000 +000000000001000000000000001101000000000010000000000000 +000000000001110000000000010000000000000000000100000000 +000000000001110000000010011001000000000010000000000000 +110100000110000000000110000000000000000000000100100000 +000000000000000000000100001101000000000010000000000000 .logic_tile 12 10 -000000000000101000000110001101100000000011000000000000 -000000000001010001000011111101100000000000000000000000 -111001000100000001100110010111001010000010000000100000 -000000000000000000000010001101101010000000000000000000 -010000000000001111100000011101101001111110000000000000 -010000000000000001100010001101111010111100000000000000 -000000000000000001100110010101100000001111000000000000 -000001000000000000000010000000100000110000110000000000 -000000000000000000000110101101101101111011110000000000 -000000000000000000000100001101111010111111110000100000 -000010000010000000000000001001101011111110000101000000 -000000000000010000000000001001101000111100000100000000 -000010100000000000000110100000000000000000000100000000 -000001000000000000000100000001000000000010000100000000 -110000000000000000000000000000000000000000000100000000 -000000000000000000000000001101000000000010000100000000 +000000000000000011000000000001000000000000001000000000 +000000000000000000100000000000000000000000000000001000 +000000000000010000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000001100000000000000000000000001000000000 +000000000000000111000000000000001100000000000000000000 +000000000100000111000000000000001000111100000000000000 +000000000000000000000000000000000000111100000001000100 +000000000000000111000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 .io_tile 13 10 000000000000000000 000100000000000000 000000000000000000 -000000000000000000 +000000000000011000 000000000000000000 000000000000000000 001100000000000000 @@ -2585,8 +2585,8 @@ 000000000000000000 000000000000000000 .io_tile 0 11 -000000000000000000 -000000000000000000 +000000000001100000 +000000000001000000 000000000000000000 000000000000000000 000000000000000000 @@ -2602,165 +2602,166 @@ 000000000000000000 000000000000000000 .logic_tile 1 11 -100000000000000101000111110000000000000000000000000000 -000000000000000000100111110000000000000000000000000000 -111000000000000001000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -010100000000000011100010100101101111010110100101000001 -010000000000000000100110101101011011101101110000000000 -000000000000000101000110000000000000000000000000000000 -000000000000001101100000000000000000000000000000000000 -010000000000000000000000001001011000110010100110000000 -000000000000000000000010000101101101110000000000000000 -000000000000000000000110110001111101000001000110000000 -000000000000001111000010101101001101000011001000000010 -000010000000000000000000010000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -110010100000000000000000001011101010110000010111000000 -000001000000000000000000000001101000010001111000000001 +100000000000001000000110010101100000000001000100000001 +000000000000000011000111011001000000000011000000000000 +111000000000000000000110010000000000000000000000000000 +000000000000000000000111010000000000000000000000000000 +010000000000000000000010000000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000001000000000001000110000000 +000000000000000000000000000001100000000011000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001100000000001000100100000 +000000000000000000000000001001100000000011000000000010 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 11 -000000000000000000000111000001011101010000000001000000 -000000000000000001000100001011101000000000000000000000 -000000000000001001000111110011101011010001000000000000 -000000000000000001000011100011001001001000100000000100 -001000000000100001000000010111000000000001000000000100 -000000000001010000100010101111101000000011000000000000 -000000000000001000000110110001101101000011110010000000 -000000000000000001000011101001011100000011100000000000 -010000000000001001000011101101000000000000000000000000 -000000000110000111100111101101100000000001000000000000 -001000000000000111100111100111100001000000100000100000 -000000000000000101000111111001001010000000000000000000 -000000000000000000000011100011111011111001000000000000 -000000000000000000000011100011111101111010000000000000 -000000000000000111100000001001100000000010000000000000 -000000000000000000000000000001101101000000000000000000 +000000000000000000000000000101100000000000001000000000 +000000001100000000000011100000100000000000000000001000 +000000000000001011100000010000000000000000001000000000 +000000000100000101100011010000001011000000000000000000 +000000000000001000000000010000001000001100111010000000 +000000000000000101000011010000001001110011000000000000 +000000000000000000000000010000001001001100111000000000 +000000000000000000000011000000001000110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001000110011000000000000 +000100000000000000000000000000001001001100110000000000 +000100000000000011000000000000001110110011000000000000 .ramb_tile 3 11 -000000000000001000000011100011000000011000 -000000000000001111000111001001100000001000 -111000000000001001000000000000000000000000 -000000000000001011100000000101000000000000 -010011000000000000000010000101100000101000 -110010000000001011000100000001000000000000 -000000000111001000000000000000000000000000 -000000000000001101000000001001000000000000 -000000000000000101000010100011000000000010 -000000000000000000100100000000000000100001 +000000000110000000000000000000000000000000 +000100010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000001100000000100 -001000000000000000000000000000000000010000 -010000001001000000000000000000000000000000 -010000000000000000000000000000000000000000 .logic_tile 4 11 -000001000000000001100010000101000000000000100000000100 -000000100000001001000110010011001001000000000000000000 -000000000000000011100110001011100000000000000000000000 -000000001000000001000010111101100000000001000000000000 -000000000000001001100011011001000001000000000000000001 -000000000110001101000010101101001000000000010000000000 -110000100000000111100010001001000000000000000010000000 -100011000000000000100111010001000000000011000000000000 -000000000000001111100000010101001101000010000000100000 -000000000000000101100011111101101010000000000000000000 -000001000110101000000000011001101010001000000000000010 -000010000001000011000011111001101001011000000000000000 -000000000000001000000010001111001110000010000000000000 -000000000000000011010010110011101111000000000000000000 -001000000000000000000000011011000000000001000000000000 -000000000000000000000010001111100000000000000000100000 +000000000000100111100000001101100001000000100001000000 +000010000001010000100010101101001001000000000000000000 +000000000010000001100011010001000000000010100000000001 +000000000000000000000111110001101100000001100000000000 +000000000000000000000110011101000001000011000000000000 +000000000000000000000011111101101111000010000000000000 +000000000000000101000011101101100000000000100000000000 +000000000000000101000010100011001011000000000000000000 +000000000000001101100000010001111000111100100000000000 +000000000000000101000010000011101011111100110000000000 +000000000000000011000000001001100001000011000000000000 +000000000000000001000000000011101110000010000000000000 +000000000000001000000000001101100001000000100000000000 +000000000000000101000000001101101001000000000000000000 +000000000000001000010110110011101101111100100000000000 +000000000000000101000010000111011111111100110000000000 .logic_tile 5 11 -000000000000000001000010111101000000000001000000000000 -000000000000001111100011100011100000000000000000000000 -111010100000100001010011100101001110001001000000000010 -000000000011000000000100001001001110001010000000000000 -110000000000001101000010111001001010010010100000000000 -110000001100000001000111101101001000110011110000000000 -000001000000001000000011000000000000000010000000000010 -000010001110000001000011111011000000000000000000000001 -010000001010000000000111001011100000000001010010000000 -100000000000000011000100001111001100000010010000000000 -000000000000000111100000001101000000000000000000000000 -000000001100001001100000001001100000000001000000000000 -000000000000001001000111001101111000101100000000000000 -000000000000000101100000000011101001001100000000100000 -000010100000000000000110001000000000000000000100000000 -000001000000000000000000000101000000000010000000000000 +000000000000001000000110001111100001000000010000000000 +000000000000000001000000000101001011000000000000000000 +111000000000001001000110100011000000000000100000000000 +000100001100000101000010000101001100000000110000000000 +110010100000001101100011010101101000111100100000000000 +100001000000010001000010000001011011111100110000000000 +000000000000001001100110001111000001000011000000000000 +000000000000001011000011101101101100000010000000000000 +110000000000001011000000001001100000000010000100000110 +000000000000001101100000000001000000000000000000000000 +110000000000000001100000000001100000000010000100000000 +000000000000000000000000001101100000000000000000100001 +000000000000000000000111100001100000000010000110000000 +000000000000000000000000000101000000000000000000000010 +110000000000001000000000001001100000000010000100000000 +000000000000000001000000001001100000000000000000100001 .logic_tile 6 11 -000000000000000000000110000000000000001111000000000000 -000000000000000000000010000000001011110000110000000000 -000000000000000001000111001111000000000000010000000000 -000000000000000000100110010111001010000000000000000000 -000000100000000001100110101001100001000001010000000000 -000000000000001101000000001101101101000010010000000000 -000000000000001101100010101101000000000010000000000000 -000000000000000001000000000001001111000011000000000000 -000000000000001111000000010011100001000001010000000000 -000000000000000001000010001111001101000010010000000000 -000000000000100011100000011101001000000100000000000000 -000000000000000000000011110001011111001100000000000000 -000000000000000101100011011001011111000000000010000000 -000000000000000000000010100101111001100000000000000000 -000000000000001000000000011011001100101000000000000000 -000000000000001011000010100101101100100100000010000000 +000000000000000001000110001111011001110000000000000000 +000000000000000000100011100011011000111000000001000000 +111000000000000101000111001001111101101001010000000000 +000000000000000001110110110001111101101010010000000000 +010000000000000001100110000001000000000010000000100000 +010000000000001001000000001101000000000000000010000010 +110010000000001000000011110101001101000011000000000000 +000001001110000001000110000101001010000011010000000010 +000000001110000000000000001111000000000000000000000000 +000000000000001001000010011101100000000001000000000000 +000000000000011000000111000011001110101110000000000000 +000000000000100111000011000101101001011110000000100000 +000000000000000011100011001111100000000001010000000000 +000000000000001111000010001111001111000010010000000000 +000000000000001000000110001000000000000000000100000000 +000000000000000011000000001101000000000010000000000000 .logic_tile 7 11 -000000000000000000000111101011000001000000000000000000 -000000000000000000000010010011001110000000010000000000 -000000000000000001000010001011000000000000000000000000 -000000001000000001010110010001100000000001000001000000 -000001000000001001100010001001100000000000010000000000 -000010000000000001000100000101101010000000110010000000 -110100000000001001100111101001000000000000100000000000 -100000000000001011000000000101101010000000110000000001 -010000000000001101000010010000000000000000000000000000 -000000000000000101000111000000000000000000000000000000 -000000000000000111000000000101000000000000000000000000 -000000000000000111000000001011100000000001000000100000 -000000000000000000000000001011101001000100000000000000 -000000000000000000000010101001011011000000000000000000 -000000000000000101100000000011011000000000000000000000 -000000000000000000100000001111001010100000000000000001 +000000000000010111100000010001100000000000001000000000 +000000000000100000100010100000000000000000000000001000 +111000000000001011100000010101000001000000001000000000 +000000000000001011100010100000101101000000000000000000 +110001000000000000000110010101101000000011110000000000 +010000001100000000010011110000000000111100000000000000 +000000000000001000000111000101100000000010000000000000 +000000000000000001000100000001100000000000000000000000 +000000000001010000000000000101000000000010000000000000 +000000000000100000000011001001100000000000000000000000 +000000001010000000000111101001100000000001000000000000 +000000000000000000000000000101000000000000000000000100 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001001000000000010000010000000 +110000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 .logic_tile 8 11 -000000000000001001000110011011000000000000000001000000 -000000000000000001000011000001100000000001000000000000 -111000000000000001000010011101100001000000000000000001 -000000000000000001000011011011001010000000010000000000 -110001000000000111100000010101001001101100000000000000 -010010000000001101100011001001011100111100000000000010 -010001000000001001000000011001111100100111110010000000 -000000100000000001000011100011101011010111110000000000 -110000000000000000000111010001000000000001000000000100 -110000000000000000000110110001000000000000000000000000 -000000000000001101100111001001000001000000010010000000 -000000000000000001100100001011001001000000000000000000 -110000000000000000000000000111011001010000000000000000 -100010000010000000000011110101101010000000000001000000 -110000000000000000000000001000000000000000000101000000 -000000000000000000000000001101000000000010000000000000 +000000000001010001000011011001000000000010100000000000 +000000000000100000100111000001101100000001100001000000 +111000000000001001000000001101100000000001010000000000 +000000000000100001100010011011101110000010010001000000 +010000000000001001000111001001100000000001010000000000 +010000000000001001100000000111001100000010010001000000 +000000000000000001100110011001100000000000000001000000 +000000000000000000100010011111100000000001000000000000 +000000001000001000000011100101000001000001100100000000 +000000000000001111000000001101001101000010101000000000 +000000000010000001000110100101000001000001100100000000 +000000000000000000100010011011001100000010101000000000 +000000000000001000000000001101100001000001100100000001 +000000000000001111000000001111101101000010101000000000 +010000000000000001100010000101100001000001100100000000 +100000000000000000000110010001001100000010101000000000 .logic_tile 9 11 -000001000000000111000110001011001010001011010000000101 -000010100000000101000000001001111000001011100000000010 -000001000001000111100011110111100000000001000000000000 -000000100000000000000011000101000000000000000000100000 -000010100000000000000000011101101001000111110000000001 -000000000000001111000010000011111010001111110000000000 -000000000000000101000011100101111100011100100000000000 -000000001010000001100010101111111000111100000000100110 -000000001100000000000111000001111010000011110000000000 -000000000000000000000110010000000000111100000000100000 -000001000000000000000011000101101101101100000000000000 -000010100000000011000010010001111101001100000000000000 -000000000000000000000000001101100000000000000000000000 -000000000000000000000010000011100000000001000000000010 -000000000000000001100011000011011111011100000000000010 -000000000000000000000000000001111001111000000000000000 +000000001010000111000000011101011001010100000000000000 +000000000000000011100011111001011010100100000000100000 +111000000000000011000111011011111101010100000000000000 +000000001110000111010111111101011000100100000000100000 +010000000000000111100010001000000000000010000010000000 +010000000000000101100100000001000000000000000000000000 +000000000000010011000000001011000000000010100000000000 +000000000010100101000010010111101000000001100001000000 +000000000000000011000000000011100001000001100100000000 +000000000000000000000011111101001110000010101000000000 +000000000000000111000000000001000001000001100110000000 +000000001100000001100011010011001111000010101000000000 +000000000000000000000000000101100001000001100100100000 +000000000000000000000010010101001110000010101000000000 +010000000000101011100000010001100001000001100100000000 +100000000001010001100011011111101111000010101000000000 .ramb_tile 10 11 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000111000000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -2769,70 +2770,69 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 11 -000000000000000000000011100011000000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000000111000000000101100000000000001000000000 -000000000000001101000010100000001111000000000000000000 -010000000000000000000010010001001000111100001000000000 -110000000000000000000010000000101101111100000000000000 -000000000000001001100000000001101000111100001010000000 -000000000000001011000000000000101111111100000000000000 -000000000000000000000010010000001000111100000000000000 -000000000000000000000110110000000000111100000001000000 -000000000000000000000000001001100000000001000000000000 -000000000000000000000000000001000000000000000000000010 -000000000000000000000000010001001010000011110000000100 -000000000000000000000010110000110000111100000000000000 -110000000000000000000000001000000000000000000101000000 -000000000000000000000000000101000000000010000000000000 -.logic_tile 12 11 -100000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -111001000001000000000000001000000000000000000100100000 -000000001010000000000000001111000000000010000001100000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000011100011100000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +111000000000000011010000001011000000000001010000000000 +000000000000000001110000001101001001000010010000000000 +010000000000000001100000000001000001000010100001000001 +010000000000000000000000000111001011000001100000000000 +010000000000100000000010110000000000000000000000000000 +100000000000000000000110000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000001010000000000011010000000000000000000000000000 +000010000000001011000000000000000000000000000000000000 +000000000000000000000000001001100000000000000000100100 +000000000000000000000000000001000000000001000000000000 +000000000000000011100000000000000000000000000100000000 +000000000000000000100000000101000000000010000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +.logic_tile 12 11 +000000000000000101100110010011100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +111000000000001000000010100011100001000000001000000000 +000000000000000011000000000000101010000000000000000000 +010000000000000000000111100111001001111100001000000000 +110000000000000000000100000000001000111100000000000000 +000100000010001000000010100101101001111100001000000000 +000000000000000011000000000000101010111100000000000000 +000000000000001000000000000000001000111100000000000000 +000000001010001011000000000000000000111100000001000000 +000000000000000111000000000011001000000011110000100000 +000000000000000000000010110000010000111100000001000000 +000010000000001000000000000001101000000011110000000000 +000001000000001011000000000000110000111100000000100000 +110000000000000000000000000000000000000000000100000000 +000000000000010000000000000001000000000010000000000000 .io_tile 13 11 -000000110000000010 +000000000000000010 000100000000000000 000000000000000000 000000000000000001 000000000000010010 -000000000000110000 -001100000000000000 -000000110000000000 +000011110000010000 +001100011000000000 +000000001000000000 000000000000000000 000100000000000000 -000000000000000010 -000000000000010000 +000000000000010010 +000000000000110000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .io_tile 0 12 -000000000001100010 +000000000000000010 000100000000000000 -000000000000000000 +000000000000100000 000000000000000001 000000000000100010 000000000000010000 -000100000001100000 +000100000000000000 000000000000000000 -000000111000000000 -000100001000000000 +000000011000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -2840,209 +2840,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 12 -010000000000001001000111110000000000000000000000000000 -000000000000000111100110000000000000000000000000000000 -000000000000000001100010010000000000011010010000000000 -000000000000000001100011010000001000100101100000000000 -000000000000001001100000000101111001000100000000000000 -000000000000001111000010100101011001000000000000000000 -010000000000001000000010101001011000111111000000000000 -000000000000001011000000000111001001111110000000000000 -000000000000000000000000001001100001000011000000000000 -001000000000000000000000000011001000000001000000000000 -000010000000000000000000001001111100111111000000000000 -000001000000000111000000000001101010010111000000000000 -010000000000000000000000001101000000000000110000000000 -001000000000000000000000001101101001000000000000000000 +110000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000010 +001000000000000000000000000111000000000010000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 .logic_tile 2 12 -110000000001000000000011100111111100001101010100000000 -001000000000101101000011001111111001001100000000000000 -111000000000000000000111011011011110110001010100000000 -000000000000000000000111010011111010110000000000000000 -010000000000001000000111110000000000000000000000000000 -110000000000001111000111110000000000000000000000000000 -000000000000000000000000001011011111110001010100000000 -000000000000000000000000000011011001110000000000000000 -000000000000100000000000000000000000000000000000000000 -001000000001000101010010100000000000000000000000000000 -000000000000000001000111001001111110001101010100000000 -000000000000000101000000000011111001001100000000000010 -000000000000000000000010000111011100001101010100000000 -001000000000001111000000001111011000001100000000000000 -010000000000000000000111001011111110101101010100000000 -000000000000000000000010100011011011001100000000000000 +010000000000000001000000001101000000000000000001000000 +000000000000000000000000001001000000000001000000000000 +000000000000000001000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000001000000000010001000000000001000001000000 +000000000000001111000011101111000000000000000000000000 +000000000000000000000000000011100001000000100000000000 +000000000000000000000000001101101000000000110010000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .ramt_tile 3 12 -000100000000000000000111001001100000010010 -000100011010000000000100001011100000000010 -111000000000000111100110101000000000000000 -000010110000001111100000000001000000000000 -000000000000001000000000000101100000000000 -000000001000000101000000001011100000000101 -001000000000000000000000010000000000000000 -000000001100000000000010100111000000000000 -000000000000000000000000000101000000000000 -000000000000000000000011100000000000010001 000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000 -000000000000000000000000000001000000000000 -000000000000000111000000000000100000000001 -010000000000000000000000000000000000000000 -010000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 12 -000010100001001001000111100101001111110011000000000000 -000001000000100001100011101001011001000000000000000000 -000001000100001001100110010011001101110011000000000000 -010010100000000101000010100111011110000000000000000000 -000000000000001001100011001101111000001100110000000000 -000000000110000101000010010011001011000100100000000000 -000000000000101000000000001001101100010110100001000000 -001000000001010001000010001011011000100110100000000001 -000000000000000111100000000111101100101001010000000000 -001000000000001101000010111111001101100101010001000000 -000000000000001101000010001101001101010110100000000000 -000000100000001001000010111011111100100110100001000000 -000001000000101000000010010001101010100000000001100000 -001010100001011001000011000101111000000000000000000000 -000001000000001101000000000111111101110011000000000000 -000000100000001001100000001001011011000000000000000000 +000000000000001101000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +111000000000000001000000001001000001000010000000000000 +000000000000000101000000000001001100000000010001000000 +110000000000001000000000010000000000000000000000000000 +101000000000001111000010010000000000000000000000000000 +000000000000000000000010100011100000000001000010000000 +000000000000000000000000000001000000000000000000000000 +110000000000000000000000001101000001000000010000000000 +001000000000000000000000001101101000000000000001000000 +000000001000001001100000000000000000000000000100000110 +000000000000000001000000000101000000000010000000100010 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .logic_tile 5 12 -000000000000100000000110001011101110001111110000000000 -001000000001010000000010101111111011000110100000000000 -000000000000001001100110010011100000000000000000000000 -000000000110000001100011101011000000000001000001000000 -000000000000000001100110001111101110001100000000000000 -001000000000000111000010100001101111001000000010000000 -000000000000000001100011000011100000000000010000000001 -000000000000000000100100000011101110000000000000000000 -000010100000101111000111001101001110000011010000000000 -001001000001000001000111101101011101000011000000000000 -000000000000101101100110101001001101100000000000000000 -000010100001011011000010100001101100000000000010000000 -000000100000001000000010111101011001100000000001000000 -001001000000000101000111100101111001000000000000000000 -000000000000000000000110001101000000000011100000000000 -000000000000000000000110111111001001000011000000000000 +000000000000000000000000000101100000000000001000000000 +001000000000000000000000000000100000000000000000001000 +000000000000000101100000000000000000000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000000000000000001000000001000000000 +001000000000000000000010100000001011000000000000000000 +000000000000000000000111010000000000000000001000000000 +000000000000000000000011010000001011000000000000000000 +000000001100000001100000000000000001000000001000000000 +001000000000000000100000000000001100000000000000000000 +000000000000001000000110000000000001000000001000000000 +000000000000001001000100000000001101000000000000000000 +000000000000000000000000000000001000111100000001000000 +001000000000000000000000000000000000111100000000000000 +000000000000000111000000001111100000000000000001000010 +001000000000010000100000000001000000000001000010000000 .logic_tile 6 12 -010010100000001001100110010001100000000000000000000000 -000001000000000001100011110011100000000001000000000000 -000000000000000001000111000101101001000100000000000001 -000000000000000000000110110011111010001100000000000000 -000000000000000000000110010111100000000001000000000000 -001000000000000011000010001101100000000000000000000000 -000000000000001111100110000101100000000000000000000000 -000000001110000001100010110101000000000001000000000000 -010000000000001111100000001001011000100000000000000000 -000000000000000011000000001001011100000000000000000000 -000000000000000000000000000111011101000010000000000000 -000000000000001001000010001101001010000000000000000000 -000000000000000101100000001001100000000000100000000000 -001000000000000000000000001011001000000000000000000000 -000001000000000101100000010111100001000000010000000000 -000010100000000000000010001001001011000000000000000000 +000000000000001111100111011001000000000001000000000000 +000000000000000001110111111101100000000000000000000000 +000000000000001000000111001111101111000000000000000000 +000000000000001111000100000111111010100000000000000000 +000000000000001001000111011111100001000000100000000001 +001000000000000001100111111111101100000000110000000000 +000000000000001000000111000101001110101000110000000001 +000000000000001111000100000001001100000000110000000000 +001000000000000001100000000101100000000000010000000001 +000000100000000000000000000001001001000000000000000000 +000000000000001000000000010101111000000000000000000000 +000000000000000101000011111001101011100000000000000000 +001000000000000101100010000101000001000000000000000000 +001000000000000000100000001001001101000000010000000000 +000000000000001000000110011101000000000000100000000000 +000000000001010101000011111001101011000000000000000000 .logic_tile 7 12 -010001000000001001010011010101100000000000010000000000 -001000000000000101100010001001101100000000000000000100 -000000000000000001000010010101100001000000010000000001 -000000000000000111000010101101001111000000000000000000 -000001000000001101000000010001000000000001000000000000 -001000100000000101000010001011100000000000000000000000 -000000000000000001100110111101111100000010000000000000 -001000000000000000000010101011111000000000000001000000 -110000000001011000000000000111111000001100000000000000 -111000000000000011000011110001101010101100000000000001 -000000100000000000000110011001100000000000000000000000 -000001000000000000000010101001001010000000010000000000 -010000000000001000000000000000000000000000000000000000 -001000000000000111000000000000000000000000000000000000 -000000000000000000000000000001100001000000010000000000 -001000000000000000000010110111101111000000000000000000 +000000000000001011110011100011000000000000001000000000 +001000000000001011000111000000100000000000000000001000 +111000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001011000000000000000000 +010000000010000000000111110011100000000000001000000000 +110000000000000011000111000000101000000000000000000000 +000000000000100000000000000000000000000000001000000000 +000000000000010001000000000000001000000000000000000000 +000000000000000000000110100000001000111100000000000000 +001000000000000000000100000000000000111100000000000000 +000000000000000011000010000011100001000000000000000100 +000000000000000000100111000101101010000000010000000100 +000000000000100000000110100001000000000000000010000000 +001000000001010000000100000001001111000000010000000110 +110000000000000000000000000001000001000011000100000001 +000000000000000000000000001001101100000010100000000000 .logic_tile 8 12 -010000000000001000000010101001100000000000000010000000 -001001000000000001000100001111101011000000010000000000 -000000000000000111100110011111100000000000000000000110 -000000000000000001000011100001100000000001000001000000 -000000000000000001100010100001100000000000000000000000 -000000000000000000000100000011101011000000010000100000 -010000000000001000000010011101011000111100000000100000 -101000000000000001000111100101011001011100000000000000 -000000001101001000000000000000000001011010010000000000 -001000000000001111000000000000001011100101100000000000 -000101000000001011100000001001011001101100000000000000 -000100100000000001000000001101001100111100000001000000 -000000000000000000000000000101100001000000000001000000 -001000000000000000000000000101101110000000010000000000 -000000000000000011100111001011100000000000000000000000 -001000000000000000000100000001000000000001000000000100 +000000000000001111100110000001000000000000001000000000 +001000000000001011100000000000000000000000000000001000 +111000000000000101100000000111100001000000001000000000 +000000000000000001000011110000001101000000000000000000 +110010000000001000000110000111101000000011110000000000 +101001000000000011000000000000100000111100000000000100 +010000000000000000000110000000001110000011110000000000 +100100000000000000000000000000000000111100000000000000 +000000000000000000000000001001001111010000000000000100 +001000000000000000000000001001101100000000000000000000 +000000001110000001100111001101100000000000000000000000 +000000001100001001000100000001100000000001000000000000 +000010100000000001000000001111001110000100000001000000 +001001000000001001100010011001101011000000000000000000 +000000000000000101000000000001011001111000000101000000 +001000000000000000100010110101001100110000000000000001 .logic_tile 9 12 -000000000000001011100000001101000001000011010010100000 -000000000000001011000011111101101000000011110001000010 -000000000001011000010111001001000000000000000000000000 -010000000000000011000010101001000000000001000001000000 -000100000001001111100000011001000000000001000000000000 -000100000000000001100011101001000000000000000000000100 -000000000000001111100010001101101010011100000010000000 -000000000001010011000000000101101011111000000000000000 -000000000000000000000111000000000000000000000000000000 -001000000000001011000100000000000000000000000000000000 -001000000000000000000000000101100000000001000000100110 -000000000000001111000000000101000000000000000000000000 -000000000000000000010000001011000000000011000000000000 -001000001000000000000000000011101100000010000000000100 -000000100000000000000000000001000000000001000011000000 -000000001010000000000000000101100000000000000000000000 +000000000000001001000010001001101011111001110000000000 +000000000000001111000111101101011110111010110000000000 +111000000000000111100111000111100000000000000000100000 +000000000000001001100000001101000000000001000000000000 +110001000000000001100000000111001011111001110000000000 +011000100000000000000011001001011110111010110000000000 +000000000000011011100111101000000000000010000000000000 +000000000000100101000000001011000000000000000001000001 +000000001010101000000000011001101000000100000000000000 +001000000001010001000010110101111000000000000000000000 +000000000000001000000011100011011101101000000000000000 +000000000000000001000000001111001110011000000000000100 +000000100000000000000000010101100001000000010000000000 +001000000000000011000010000101001000000000000000000100 +110000000000001111000000000000000000000000000100000000 +001000000000101011000000000001000000000010000000100000 .ramt_tile 10 12 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000100000000000000000000000000000 -000010100001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000011100000000000000000000000000000000000 -000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 12 -100000000000000111100010000000000000000000000000000000 -000000000000000000100011000000000000000000000000000000 -111000000000001101000000000000000000000010000100000000 -000000000000001111000010101001000000000000000001000000 -010000000000000111100111110000000000000000000100100000 -100000000000000000100111010011000000000010000000000000 -000000000100001111100000001011111010101000010101000000 -001000000000001101100011010101101011111100110001000000 -000010000000011000000000001111011000001100000110000000 -001001000000101011000000000001101010101101010000000000 -000000000000000011100000010001101000101101010110000000 -000100000000000000000011111111111000001100000000000000 -000000000001010000000000010000000000000000000000000000 -001000001100100000000011110000000000000000000000000000 -000000000000000000000000001101000001000001110101000000 -000000000000000000000000000011101011000000110000000000 -.logic_tile 12 12 -100000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -011000000000010000000000000000000000000000000000000000 -010000000000100000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -001000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -001000000000000000000000000000000000000010000001000000 -000000000000000000000000000000000000000000000000000000 +000000000000000011000011000000000000000000000000000000 +001000000000000000100000000000000000000000000000000000 +111000000000100000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000001000000001111000000001111100001000000000001000000 +000000000010001011000000000011001111000000110000100001 +000001000000001000000000000001000001000000010000000000 +001010000000000111000000000011101010000000000000000001 +000000000000000001000000001011100000000000000000000000 +000000000000000000100010100111100000000001000001000000 +000000000000000000000011101001000000000001000010000000 +001000000000000000000100001011000000000000000000000000 +110000000000001101100000001000000000000000000100000000 +000000000000000101000010100101000000000010000000000000 +.logic_tile 12 12 +100000000000000000000110100000000000000000000000000000 +001000000000000111000010110000000000000000000000000000 +111010000000000101100000010101111000101101010100000000 +000000000000011101000010100011001111001100000000100000 +010000000000000000000011100000000000000010000100000000 +000000000000000000000000001101000000000000000000100000 +000000000000000101000000001000000000000010000100000000 +000000000000010000000010101011000000000000000000000000 +000000000000000111100000010011111000000011110100000000 +001000000000000000000011111011001000000011100000000000 +000000000000100101000010100111011000001100000100100000 +000010000000000000100100001001011111101101010000000000 +000000000000000111100000000000000000000000000000000000 +001000000000000000000011010000000000000000000000000000 +000000000000000000000000001111001000110011110100000100 +001000000010000000000000001101011111010010100000000000 .io_tile 13 12 000000000000000010 000000000000000000 @@ -3050,186 +3050,186 @@ 000000000000000001 000000000000000010 000000000000000000 -000101010000000000 -000000001000000000 +000100000000000000 +000000000000000000 000000000000000000 000100000000000000 -000000000000010010 +000000000000110010 000000000000110000 000000000000000000 -000000000000000001 +000000000000011001 000000000000000010 -000000000000000000 +000000110000000000 .io_tile 0 13 000000000000000010 000100000000000000 000000000000000000 000000000000000001 -000010000000010010 -000001010000010000 -000100000000010000 -000000000000000000 -000000000000000000 +000000000000110010 +000000000000110000 000100000000000000 000000000000000000 000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000001010000000000 +000000001000000000 .logic_tile 1 13 -110000000000000000000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000100000000001000010100000000000000000000000000000 -111000000000000000000000001111100001000000110100000010 -110000000000000000000000000001101001000001110000000000 -010000000000000000000000000001100001000010110110000000 -000000000000000000000010101001001011000000110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 13 +100100000000000000000000010000000000000000000000000000 +000100000000000000000011110000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000001000000000000000000100000000 +010000000000000000000000000101000000000010000010000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000001100100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000100000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000111000000000000000000000000000000000000 +001000000000001101100000000000000000000000000000000000 +000000000000000000000000000001100000000001000001000001 +000000000000000111000000000001000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000001000000000000000010000100 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -.logic_tile 2 13 -000000000000000101100000011011001110010110100000000000 -001000000000100011100011010101011101100110100000000010 -111000000000001111100111100011101001110100000001000000 -000000000000000001000100000101011000101100000000000000 -010000000000000001000010000111000000000000000010000000 -000001000000000011100111100111000000000001000000000000 -000000000000000111100011011101001011000010000000000000 -000000000000000000000011111111101011000000000000000000 -000100100000000001000110111001100000000001010000000000 -001100000000000000000010000011001000000010010000000000 -000000000000000101100000000101111111000010000000000000 -000000000000000001000000001011111100000000000010000000 -000000000000001101100000011001101011110001010000000000 -001000000000000101000010100101111000110000000000000000 -110110100000000000000110100000000000000000000100000010 -000100000000000000000011110001000000000010000000100000 -.ramb_tile 3 13 -000010000000100000000000010000000000010010 -000001000001010000000011000000000000000000 -000010000001010000000000000000000000100000 -000001001110100000000000000000000000010000 -010001000000000000000000000000000000010001 -010010000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000001000 -000000000000000000000000000000000000000001 -000000001010000000000000000000000000000000 -000000001110000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000100001 -.logic_tile 4 13 -000000000000000001100110110001101010110100000000000000 -001000000000000001000010100101111100101100000000000000 -000000000000010101000111101111100000000000000000000000 -000000000000100000100011000011000000000001000000000000 -000000000001011001100000000111100000000000000001000000 -000000000000100001000010101001000000000001000000000000 -000000000000000101000000011011000000000000000010000000 -000000000000000000100010101101101000000000010000000010 -000001001110001011100110110001001101000110100000100000 -001010101100001111000011100011111010001111110000000000 -000001000001011011100000001011000000000001010010000000 -000010100000100101100000001001001000000010010000000000 -000000000000000000000000001101011001011100000000000010 -001000000000000000000000000011001001111100000000000000 -000000000000001101100111100001011110110011000000000000 -000000000000001111000100001001011100000000000000000010 -.logic_tile 5 13 -000000000001000111110111110001000000000010100000000000 -000000000000001011000110001101001010000001100000000010 -000001100000000011100110011001000000000001000000000000 -000011000000000011000010001101000000000000000000000000 -000000100000010001100111110101000001000000000000000000 -000001000000101011000111110001101010000000010000000000 -000000100000000101000000010011011000101001010000000000 -000000000001010011100010000001011010100101010000000000 -000010100000001000000000010001111001101110100000000000 -000001000000000011000011111001101001101101000000000000 -000000000000000000000000001011000000000010000000000000 -000000000000000000000000000101100000000000000000000000 -000000000000100000000000011111000000000001000000000000 -001000000000000000000011110001100000000000000000000000 -000000000000100000010000000011000000000001010000000000 -000000000001010000010000001001001010000001100000000000 -.logic_tile 6 13 -000000000001000000000000011111100001000000010000000100 -000000000000000000000010111001101101000000000000000000 -000000000100000001100000000000000000000000000000000000 -000000000000000000000010110000000000000000000000000000 -000000000000000000000010110111100001000000010001000100 -000000000000000000000111111101101101000000000000000001 +000000001110000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000111100000000010000000000000 -000000000000000000000010011101000000000000000000000010 -000000000000000000000000000001100000000000000000000000 -001000000000000000000000001001000000000001000000100010 -000000000000000101100000010000000000000000000000000000 -001000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 13 +000000000001000111100010010001000000000000001000000000 +000000000000000000100110000000000000000000000000001000 +000000000000000000000110000000000000000000001000000000 +000000001000001001000000000000001101000000000000000000 +000000000000000000000010010000001001001100110000000000 +000000000000000000000110000000001111110011000000000000 +000000000000000000000110000001111010100100000000000000 +000000000000000000000000001001011011101000000000100000 +000000000000000011100111000111000000000000000000000000 +001000000000000000000100001111000000000011000000000100 +000000000010000000000000000101001001001010000000000000 +000000000000000000000000000011111001000110000001000000 +000000000000000000000111000000000000000000000000000000 +001000000000001101000100000000000000000000000000000000 +000000000000000000000111000000000000001111000000000000 +001000000000000000000100000000001000110000110000000000 +.logic_tile 6 13 +000000000000000000000000001011100000000000000000000000 +000000000000000000000000000101000000000001000000100000 +111000000000000001100110001011100000000000000000000000 +000000000000000000100010010001100000000001000000000000 +110000000000000000000010101001100000000000000000000000 +100000001100000000000100000101100000000001000000000100 +000000000000000001100110000000000000000000000000000000 +000000000000001101100010010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +001000000000000000000100000000000000000000000000000000 +000000000000000001100000011111100001000001010100000000 +000000000000000000000010000101001011000010010000000000 +000000000000000000000000000111100001000001010100000000 +001000000000000000000000001101101001000010010000000000 +110000000000000001100000010101100001000001010100000000 +001000000000000000000010001011101011000010010000000000 .logic_tile 7 13 -000000000000000000000111010101100000000000000001000000 -000000000000000000000110101001000000000001000001000000 -000011000000000101000000000001100000000000000000000000 -000010100000001101100010111101100000000001000000000001 -000010000001010001100000010001100001000000010000000000 -000001000000100001000010100101001011000000000000000000 -000100000000000101000010100111100000000001000000000000 -001000000000011101100100001001100000000000000000000010 -000000000000001000000010101111000001000000010000000000 -000000000000001111000010101011101000000000000000000000 -000000000010000000000000000011100000000000000000000001 -000000000001000000000010010101100000000001000000000011 -000010100000000000000000010111101100000010000000000000 -000001000000000000000010001011011100000000000000000000 -000000000000000000000110100101100000000000000000000001 -000000001000000101000000001101000000000001000000000000 +000000000000000000000000011001100000000011000000000000 +000000000000000000000010001101000000000000000000000000 +111000000000000001100000010101100000001111000000000000 +000000000000000000000010000000100000110000110000000000 +010000000000001001000000011001101111111011110000000000 +010000000000000001100010011101001001111111110000000000 +000000000000001000000110001011001010000010000000000000 +000000000000000001000000000101001010000000000000000010 +000000000000001001100000001001101101111110000000000000 +001000000000000101000000001101001001111100000000000000 +000000000010000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000100000000 +000000000000001000000000001001101011111110000100000000 +001000000000000101000000001101011001111100000100000000 +110000000000000000000000001000000000000000000100000100 +001000000000000000000000000101000000000010000100000000 .logic_tile 8 13 -000010100000000101100000001111000000000000100000000000 -000000000000001011000000001101101101000000000000000000 -000000000000001000000010111001100000000000000000000000 -000000000000000001000010001001101101000000010000000000 -000000100000000001100011011101111100000010000000000000 -000000000000000000000010000001111011000000000000000000 -000000000000101000000010110011000000000000100000000000 -000000000001010101000010000001101011000000000000000000 -000000000000001011100010100011000000000000000000000000 -001000000000000001100111010001100000000001000000000100 -000000000001000000000111001011000001000000010000000000 -000000000000000000000000000101001110000000000011000000 -000000000000000000000000000111000000000000000000000100 -001000000000000000000010110001100000000001000000000000 -000000000000001000000000000101000001000000000001000000 -001000000000000001000000000011001010000000010000000000 -.logic_tile 9 13 -000000000000000111000000001001100000000000000000000000 -000000000000000000100000001001000000000001000001100000 -000000000000000001000111000011101101000100000000000001 -000000000010000000000110100001001001100000000000000000 -000000000000000111000000000000000000000000000000000000 +000000000000000000000000000001100000000011000000000000 +001000000000000000000011010101000000000000000000000000 +000000001100000011100000000000000000000000000000000000 000000000000000000100000000000000000000000000000000000 -000000000000000000000000000011101011000100000000000001 -001000000000000000000000000111001001100000000000000000 -110000101010000000000000000000000000000000000000000000 -101000000000001011000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 +000000000110000011000000000101100001000000010000000000 +000000000000000000100000000111001010000000000000000100 +000000000000000111100000000011000000000010000000000000 +000000000000000101100010101111000000000000000000000000 +000000001100001000000000000011100000000000000000000010 +001000000000001101000000001001100000000001000000000000 +000000000000000000010111000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000001011000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000101100000000101100001000000010000000000 +001000000000000000000011100111101010000000000001000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +.logic_tile 9 13 +000000000000000000000011101101100001000000010000000001 +001000000000000000000011111111101000000000000001000001 +000000000000010001100111100011000000000010000000000000 +000000000000100001000000001011000000000000000000000000 +000000000000000000000011100001100000000010000001000001 +000000000000000111000011101101100000000000000000000001 +010000000000000001100111110101100000000000010011000000 +100000000000000000000010001101001010000000000000000000 +000000000000001000000111101001011101000000000010000000 +000000000000001011000000001011111011100100000000000001 +000000000001010000000110000101100000000001000000000000 +000000000000100000000011101001100000000000000000000000 +000000000000000000000000001001100000000000000000000000 +001000000000000000000000001011000000000001000000000000 +000000000000000000000110000001000000000000000000000000 +000000000000000000000000000101100000000001000000000000 .ramb_tile 10 13 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 @@ -3245,42 +3245,42 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 13 -100000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000001000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100100000 -001000000000000000000000000001000000000010000000000000 +000000000000000000000110000111100000000000000000000001 +001000000000001011000011010011101010000000010000000000 +111000000000000101000000001101100000000000000000000000 +000000000000000000000000000001001101000000010000000000 +110000000000000111000000000111101000000011110000000000 +010000000000000000000000000011111111000011100000000000 +000000000001000001100000001111101000100000000000000000 +000000000000000000000000000001111111110000000000000000 +000000000000011111100111110000000000000000000000000000 +001100000000100101100111110000000000000000000000000000 +000000000000000001000010010011100000000011000010000000 +001000000000000000100010100011101110000010000000000000 +000000000000001000000110101111011100100000000000000000 +001000000000000101000111111111101000000000000000000000 +110000000000100000000010011000000000000000000100100000 +000000000000000000000010101011000000000010001100000100 +.logic_tile 12 13 +000000000000000011100010101001000000000000010000000000 +000000000000000000000000001101001011000000000000000000 +000000000000000000000111010000000000000000000000000000 +000000000000010000000010000000000000000000000000000000 +000000000001010011100010100101100000000000000000100000 +000000000000100000000000001111100000000001000000000000 +000000000000000000000110110000000000000000000000000000 +000000000010000000000010000000000000000000000000000000 +000000000000000000000000001001100000000001000000000000 +000100000000000000000000001001100000000000000000100000 +000001000000000000000000000000000000000000000000000000 +001000100000000000000000000000000000000000000000000000 +000000000000000000000111001001000000000011010000100000 +001000000000000000000100001101101010000011110000100000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -.logic_tile 12 13 -000000000000000011100011110001000000000000001000000000 -000000000000000000000010000000100000000000000000001000 -000000000000000001000000000000000000000000001000000000 -000000000000000000000000000000001100000000000000000000 -000000000000000000000011100000001000001100111000000100 -000000000000000000000000000000001101110011000001000000 -000000000000000000000000000000001000001100110000000100 -001000000000000000000000000000001101110011000000000000 -110000000000000011100111011001100000000000000000000010 -100000000000000000000011111011000000000001000000000000 -000000000000000000000000010101001000010000000000000010 -001000000000000000000011010001111100001000000000000000 -000000000000000011100000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -000000000000000000000000000001001110000100100000000010 -000000000000000000000000000001101001000000000000000000 .io_tile 13 13 000000000000000000 000000000000000000 @@ -3299,12 +3299,12 @@ 000000000000000000 000000000000000000 .io_tile 0 14 -000001110000000010 -000100001000000000 -000010000000000000 -000001010000000001 -000000000000000010 -000000000000110000 +000001011000000010 +000100000000000000 +000000000000000000 +000000000000000001 +000000000000010010 +000011010000010000 001100000000000000 000000000000000000 000000000000000000 @@ -3312,213 +3312,213 @@ 000000000000000010 000000000000010000 000000000000000000 -000000000000000001 +000000000000011001 000000000000000010 000000000000000000 .logic_tile 1 14 -100000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 +100000000001000000000000010000000000000000000101000000 +000000000000000000000011001011000000000010000000000000 111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000001000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100100010 -000000000000000000000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -.logic_tile 2 14 -000000000000001001000010100011000000000000001000000000 -000000000000000111100000000000000000000000000000001000 -000000001000000000000111000111000000000000001000000000 -000000000000000000000100000000001000000000000000000000 -000100000000000000000110000001101000111100001000000000 -000100000000000000000011000000101101111100000000000000 -000000000000001000000010100101101000000011110000000000 -000000000000000001000100000000100000111100000000000000 -000000000000000011100000000001101110000011110000000000 -000000000010000000100010010000110000111100000000000000 -000000000000010011000000000001001011000010000001000000 -000000000000101001000011011101011010000000000000000001 -000001000000000011000000011011101001001111110000000000 -000010100000000000000010001011011100101111110000000010 -000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -.ramt_tile 3 14 -001001000000100000000111000000000000000000 -000000010000000000000100001011000000000000 -111000000000000011000000000000000000000000 -000000010000000000100000000111000000000000 -000000000000000000000110101000000000000000 -000001000000010000000100000111000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000001001000000000000 -000000000000000000000000000000000000000000 -000000000000000000000011101101000000000000 -000000000000001000000000001000000000000000 -000000000000000011000010000001000000000000 -000001000000000000000000001000000000000000 -000000000000000111000000001011000000000000 -110000000000000011100000000000000000000000 -010000000000000000100000000000000000000000 -.logic_tile 4 14 -000000000000000000000000000101000000001111000000000000 -000000000000001101000011000000000000110000110001000000 -111000000000001001000111111011000000000001000001000000 -000000000000001111000010000001001011000011000000000000 -010000001100001000000000011011011100001100000000000000 -010000000000001111000010000111001000000100000000000000 -000000000000001111100000011101100000000011000001000001 -000000000000000001000011101001000000000000000000000000 -010000000000000111100110001111100001000000010000000000 -100000000000001011000100001011101111000000000000000000 -000000000001001001000111010011100001000000100010000000 -000000000000001001100111001011001010000000000000000010 -000000000000000111100000001011100000000000100000000000 -000000000000001011000000000001101110000000000000000000 -110000000000000111000110011001111000110001010100000000 -000000000000000000000110011101101110100001010010000000 -.logic_tile 5 14 -000000000000000111100111011000000000000010000000000000 -000100000000000000100011101001000000000000000000000100 -000000000000000000000000011101001000001100000000000001 -000000000000000000000011000101011001001000000000000000 -000000001100000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +100000000000000111100000000001011110000111110100000000 +000000000000000111100010000001001101000011110000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000011001011000000000000000000000100 -000000000000000000000000010011100000000000000000000001 -000100000000000000000011010001100000000001000000100000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -.logic_tile 6 14 -000000000000001111100000000101000000000000001000000000 -000000000000000101100000000000100000000000000000001000 -000000000010001011100110000000000000000000001000000000 -000000000000001101100000000000001000000000000000000000 -000000000000001001000000010000001000001100110000100000 -000000000000000111000011000000001000110011000000000000 -000000000000001011100010101111011010100000000000000000 -000000000000100001100110000101111100000000000000000000 -000000000000000001000000001101111101100000000000000000 -000000000000000000100000000001101010000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000010000011011001000100000000000000 -000000000000000000000100001111011011000000000000000000 -000000000000000001000000000101011111000010000000000000 -000000000000000000100000000101001000000000000000000000 -.logic_tile 7 14 -000000000000000000000000000111000000000000001000000000 -000000001000000000000000000000100000000000000000001000 -000000000001000011100000000000000001000000001000000000 -000000000000000000100000000000001110000000000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001111110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000000 -000000100000000000000110100000001000001100111000000000 -000000000000000000000010010000001101110011000000000000 -000000000000000000000000010000001000001100111000000000 -000000000000000000000010100000001110110011000000000000 -000010000000001000000000010000001001001100111000000000 -000001000000000101000010100000001101110011000000000000 -000000000000001000000110100000001001001100111000000000 -000000000000000101000000000000001000110011000000000010 -.logic_tile 8 14 -100000000000000000000000001000000000000000000101000000 -000000000000000000000000001111000000000010000001000000 -111000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -110000000000000000000000001000000000000000000100000000 -100000000000000000000000001001000000000010000001000000 -000000000000000000000010101000000000000000000100000000 -000000000000000101000110100101000000000010000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000010000001101000000000010000000000000 +000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000001100000000000000000000000000100000000 -000000000000000000100000000111000000000010000010000000 -110000000000000000000110001000000000000000000100000100 -000000000000000000000100001101000000000010000000000000 -.logic_tile 9 14 -100000000001001011000111000000000000000000000000000000 -000000000000001011100000000000000000000000000000000000 -111000000000001000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 -000000000000000000000000010101101001010100000100000001 -000000001110000000000011011011011101100100000000000010 -000010100000000000000000010000000000000000000000000000 -000001000000000000000010110000000000000000000000000000 -000000000000000000000000000001000001000010000100100010 -000000000000000000000000000001001000000011000000000000 -110000000010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.ramt_tile 10 14 +000000000000001101000000000000000000000000000000000000 +.ramt_tile 3 14 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001001000000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -.logic_tile 11 14 -100000000000000001000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000000000000000000000000011000000001111000000000000 +000000000000000000000000000000000000110000110000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000110000000000000000000000100000010 -000000000000000000000100001011000000000010000000000000 -110000000000000111100111100000000000000000000100000000 -000000000000000000100100000101000000000010000000000100 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000100000 -000000000000000000000110100000000000000000000110000000 -000000000000000000000100001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +100000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000001001000000000000000000000000000000000000 +000000000000000000000000001111011001001100000000000000 +000001000000000000000011101101101100101100000001000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000001000000000000000000100000000 -010000000000000000000000001001000000000010000010000000 -.logic_tile 12 14 -100000000000000000000000001000000000000000000100000010 -000000000000000000000000000101000000000010000000000000 -111010100000000000000011100000000000000000000000000000 +.logic_tile 5 14 +001000000000000000000000001101100000000000000000000000 +000000000000000000000000001111000000000001000000000000 +111000000000000011000110010001100000000000000000000000 +000000000000000000100010001111100000000001000000000000 +110000000000000000000000001001100000000000000000000000 +100000000000000000000000001111100000000001000000000000 +000000000010001011000110010111100000000000000000000000 +000000000000000001100010001111000000000001000000000000 +001000000000000000000000010101100001000001010100000000 +000000000000000000000010101011001010000010010000000000 +000000000000000101100110101111100001000001010100000000 +000000000000000101000000000011001000000010010000000000 +000000000000000000000000001101100001000001010100000000 +000000000000000000000011110011101010000010010000000000 +110000000000000001100011101101100001000001010100000000 +000000000000000000000100001011101000000010010000000000 +.logic_tile 6 14 +000000000000001101000110100011000000000000001000000000 +000000000000000101000000000000000000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001101000000000000000000 +000000000000000000000000010000001000001100111000000000 +000000000000000000000010100000001000110011000000000000 +000000000000000011100000000000001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000001101100110100000001001001100111000000000 +000000000000000101000000000000001010110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001100110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001011110011000000000000 +.logic_tile 7 14 +000000000000000000000010110101100000000000000000000000 +000000000000000000000111001001000000000001000000000000 +111000000000100111000010111101100000000000000000000000 +000000000000000000110110100001000000000001000000000000 +110000000000100001100010110000000000011010010000000000 +100000000000010000000110000000001010100101100000000000 +000000000000001001100110001101100000000000000000000000 +000000000000000001000000000001100000000001000000000000 +000000000000000000000000001101100000000001010100000000 +000000000000000000000000001001001011000010010000000000 +000100000010000000000000000101100000000001010100000000 +000000000000000000000000001101001001000010010000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000001000000000000000000100100000 -000000000000000000000000001111000000000010000000000000 -000000000000000101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000001101111011001100000100000000 +000000000000000000000000001001011000000101000000000000 +.logic_tile 8 14 +000000100000000001000010100000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000011000000001101100000000011000000000000 +000000000000000000000000000001101000000010000000000100 +000000001110000000000010100101000001000000000001000000 +000000000000000011000000001101001110000000010000000000 +000000000000000000000111000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000010 -000000000000000000000000001001000000000010000000000000 +000000000110000000000000000001000000000001000000000000 +000000000000000000000000000001100000000000000000000100 +000000000000000001000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +.logic_tile 9 14 +000000000000001011100010111101000001000000100000000000 +000001000000000001000011000101001000000000110000000000 +000000000000001101100000001011000000000000010000000000 +000000000000001111100011100001001000000000000000000000 +000010000000000001100111110011111010000011010001000000 +000001000000001001000110101001011111000011110000000000 +000001000000001011100000010101000001000000010010000000 +000010000000000111000010001011001101000000110000000000 +000000000000000111100111000011000000000001000000100010 +000000000010000001100100001101000000000000000000000000 +000000000000000001100111001101111000101100000000000000 +000000000000000000000100000001001010001100000000000000 +000000000010000000000111000011001011000011100000000000 +000000000000000000000100000001111110000011000000000000 +000000000000000111000000001001100000000000000001000010 +000000000000000000000000000101101001000000010000000000 +.ramt_tile 10 14 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +100000000000000111100000000111001011000011000100000100 +000000000000001011100011001001011101000011010000100000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000101000011000101000000000000110100100000 +000000000000000101000110101011001000000001110001000000 +000000000000000000000000001101000001000001110100000000 +000000000000001011000010100011101011000011110000000000 +000000000000000000000000010111100000000001110110000000 +000000000000000111000011100101001110000000110000100000 +000000000000000101100111111000000000000000000100100000 +000000000000000000000111111011000000000010000000000000 +000000000000001111100000000001011011101101010100000000 +000000000000000101100000000011001111001100000010000010 +000000000000001000000110100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000100001100000000111000000000000110000000000 +000010100000011111010011111011001000000000100000000000 +000000000000000000000000001101000000000001000001000000 +000000000000000000000000001101100000000000000000000000 +000000000000001001100110100000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000101100011000000000000000000000000000000 -110000000000000000100000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000010111000000010111000000000000000000100000 +000000001110101111000011010101001101000000010000000000 +000000000010000000000000000001000000000000100000000000 +000010000000000000000000000111101000000000110000000000 +000000000000001000000000000000000000000000000000000000 +000000001110000111000000000000000000000000000000000000 +000000000000000000000000000011001000101101000000000010 +000010000000000000000000000011011010011101000000000000 .io_tile 13 14 000000000000000000 000000000000000000 @@ -3554,12 +3554,6 @@ 000000000000000000 000000000000000000 .logic_tile 1 15 -100000000000000000000000000000000000000000000000000000 -000000000000000000000010010000000000000000000000000000 -111000000000000000000000001000000000000000000100000100 -000000000000000000000000000111000000000010000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3569,143 +3563,149 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 15 -100000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -111000000000000101000111101001100000000001000100100000 -000000000000000101000110010001000000000011001000000000 -010000000000000000000010101011100000000001000100000000 -010000000000000000000000001001000000000011001000100001 -000000000000000111100000001000000000000000000100000100 -000000000000000000100000001001000000000010000000000001 +100000001100000000000000010000000000000000000000000000 +000000000000000000010011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000001000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 +000000001110001000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000010 +000000000000000000000000000011000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000001101000000000001000100000000 -110000000000000000000000000001100000000011001000000000 .ramb_tile 3 15 000000000000000000000000000000000000000000 -000000011110000000000000000000000000000000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000001010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 15 -000000000000001000000110001001101001000000000000000000 -000000000000000001000000001011111100100000000000000000 -111000000000000111010110001000000000000010000000000001 -000000000000001101000010100011000000000000000000000010 -010000000000001000000111100000000000000010000000000000 -110000000000000001000110110011000000000000000000100000 -000000000000000111000000001001001101001100000110000000 -000000000000000000000010100001011011000100000000100001 -000000000000001000000000001101011011111100110100000000 -000000000000000101000010010101011000111100100000100100 -000000000000001111000000010000000000000000000000000000 -000000000000000001000010000000000000000000000000000000 -000000000000000000000000001101001011001100000100000011 -000000000000000000000000000101011001000100000000000000 -110000000000000000000000001011100001000000100100000000 -000000000000000000000000001101001001000001000010000010 +000000000000000000000000000101100000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000001100000000000000001000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000111100000000001000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000001000000110010000000001000000001000000000 +000000000000001011000010000000001011000000000000000000 +000000000000000000000000000000001000111100000010000000 +000000000000000000000000000000000000111100000000000000 +000100000000000000000010100101000000000000000000000000 +000100000000000000000000001111100000000011000000000010 +000000000000000000000010110000000000000010000000000000 +000000000000000000000010101111000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001011000000000000000000000010 .logic_tile 5 15 -100000000000000011100000001001001110000111110100000000 -000000000000000000100000000001001101000011110000000000 -111000000000000000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000000000000111100000000000000000000000000000 -000000000000001001000100000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 15 -100000000000000000000000000000000000000000000101100000 -000000000000000000000000000101000000000010000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000111000000001000000000000000000100000000 -100000000000000000000000001011000000000010000000000000 -000000000000000000000000000000000000000000000000000000 +100000000000000000000000010000000000000000000100000000 +000000000000000000000011011101000000000010001000000000 +111000000000000101100010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000010100000000000000000000100000000 +010000000000000000000100000011000000000010001000100000 +000000000000010011000000001000000000000010000110000000 +000000000000100000100000000001000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -110000000000000000000000001000000000000000000100000000 -000000000000000101000000000111000000000010000000000000 +000000001010000000000000000000000000000000000000000000 +010000000000000000000000001000000000000000000100000100 +110000000000000000000000001001000000000010000000000000 +.logic_tile 6 15 +000000000000000000000111110000001000001100111000000000 +000000000000000000000111110000001101110011000000010000 +000000100000000000000000000000001000001100111000000000 +000000001000000000000000000000001110110011000000000000 +000000000000000000000000000000001000001100111001000000 +000000000000000000000000000000001001110011000000000000 +000000000000001000000000000000001000001100111001000000 +000000000000001011000000000000001100110011000000000000 +000000000000000101100000010000001000001100111000000000 +000000000000000000000010100000001110110011000000000000 +000010100000000000000000000000001001001100111000000000 +000001000000000000000000000000001010110011000000000010 +000000000000001001100110100000001000001100110000000000 +000000000000000101100000000000001111110011000000000000 +000000000000000000000000000000000000000010000000100010 +000000000000000000000000001001000000000000000000000000 .logic_tile 7 15 -000000100000000000000000010000001000001100111000000100 -000000000000000000000010100000001101110011000000010000 -000000000000000000000110100000001001001100111000000100 -000000000000000000000000000000001110110011000000000000 -000000000000000000000000010000001000001100111000000100 -000000000000000000000010100000001100110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001111110011000000000000 -000000000000000000000000010000001000001100111000000000 -000000000000001011000011010000001001110011000000000000 -000000000000000101000000000000001001001100111000000000 -000000000000000000000010100000001001110011000000000000 -000000000000000000000000000000001001001100110000000000 -000000000000000000000010100000001101110011000000000000 -000000000000000000000000001011011100000010000000000000 -000000000000001011000000000011111011000000000000100000 +000000000000001011000110001101100000000000000000000000 +000000000000000101100000001101100000000001000000000000 +111000000000000000000111011101100000000000000000000000 +000000000000000000000010101001000000000001000000000000 +110000000000000101100110001101100000000000000000000000 +100000000000000000000000001101000000000001000000000000 +000000000000001001100111011111100000000000000000100010 +000000000000000001000010001001100000000001000000000010 +000000001100000000000000000101100000000001010100000000 +000000000000000000000000000001001011000010010000000000 +000000000000000000000000000101100000000001010100000000 +000000000000000000000000000001001001000010010000000000 +000000000000000000000000000101100000000001010100000000 +000000000000000000000000000101101011000010010000000000 +110000100000000000000110000001100000000001000100000000 +000000000000000000000000001001100000000011000000000000 .logic_tile 8 15 -000000000000001001000111000101100000000000001000000000 -000000000000000011000100000000000000000000000000001000 -000000000000000101100000000001000000000000001000000000 -000000000000000101100000000000000000000000000000000000 -001000000000000000000000000001000001000000001000000000 -000000000000000000000010100000001010000000000000000000 -000000000000000101100111000101100001000000001000000000 -000000000000000111100000000000101000000000000000000000 -000000000000000000000000000001000001000000001000000000 -000000000000000000000000000000101010000000000000000000 -000000000000000000000000000111100000000000001000000000 -000000000000000000000000000000000000000000000000000000 -000001000000000000000000000000001000111100000000000000 -000010100000000000000000000000000000111100000000000100 -000000000000000011000000000001100000000000000000000010 -000000000000000000000000001101000000000011000000000000 -.logic_tile 9 15 -100000000000000000000000011101100000000001000110100000 -000000000000000000000011000001000000000011000000000001 -111000000000000000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000010001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +110000000000000101000000010000000000000000000000000000 +100000000000001011000011110000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000000000001100000000000000010000000 +100000000000000000000000000101000000000001000001000000 +000000000000000000000000000101100000000000000000000000 +000000000000000000000000001101100000000001000001000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +110000000000000000000000000101100000000001010100000000 +000000000110000000000000000101101001000010010000000000 +.logic_tile 9 15 +000000000000000000000000010000000000000000000000000000 +000000000000000111000010000000000000000000000000000000 +000000000000001000000111111001100000000000010001000000 +000000000000000001000011111011101100000000000000000000 +000000000000000000000000011001100000000000000000000000 +000000000000000111000010000001100000000001000000000000 +000000000000000000000000000001100000000000010000000000 +000000000000000101010000001101101100000000000000000000 +000100000000000001000000000101100000001111000000000000 +000100000000000000000000000000000000110000110000100001 +000011000000001000000110001001000000000000010000000000 +000011100000001111000000001011001100000000000010000000 +000000000001000000000000000101000001000000010001000000 +000000000000000000000000001001001100000000000000000000 +000000000000000000000000001001100000000001000000000010 +000000000000000000000000001001000000000000000000000000 .ramb_tile 10 15 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 @@ -3721,34 +3721,32 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000001000000000000000000011000000000000000000100000010 +000000100000000000000011101001000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 .logic_tile 12 15 -000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +100000000000000000000111100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +111000000000100000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3757,6 +3755,8 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000101000000 +000000000000000000000000001001000000000010000000000100 .io_tile 13 15 000000000000000000 000000000000000000 @@ -3771,7 +3771,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000000001100000 000000000000000000 000000000000000000 .io_tile 0 16 @@ -3792,42 +3792,42 @@ 000000000000000000 000000000000000000 .logic_tile 1 16 -100000000000000000000000010000000000000000000000000000 -000000000000000000000011110000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000001100001001100110000000000 +000000000000000001000000000000001011110011000000000000 000000000000000001000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000011000000000010000000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 2 16 -000000000000001000000000000101000000000000100100000000 -000000000000000001000000001101001000000000111001000001 -111000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000010000000000000000000000000000000 -000000000000001011000100000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000000010000000000000000000000000000 +010000000000000000000010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000000101000000000001000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000100000000000011100110010001100001000000001000000000 +000100000000000000000010000000001001000000000000000000 +111000000000000001000110010000001001001100111000000000 +000000000000000000000010100000001100110011000000000000 +000000000000000011100000000000001001001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000001100110010000001001001100110000000000 +000000000000000000000010100000001111110011000000000000 +010000000000000000000000001001111011100000000000000000 +010000000000000000000000001011111010000000000000000001 +000000000000000001100000010111000000000000000100000000 +000000000000000000000010000001000000000001000000000000 +000000000000000001100000000001000000000000000100000000 +000000000000000000000000000101100000000001000000000000 +000000000000000000000000010001000000000000000100000000 +000000000000000000000010000001100000000001000000000000 .ramt_tile 3 16 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3843,49 +3843,15 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 16 -000000000000000000000110010001100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -000000000000000011100010100000000001000000001000000000 -000000000000000101100010100000001001000000000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000010010000001001110011000000000000 -000000000000001001100000000000001000001100110000000001 -000000000000000001000000000000001011110011000000000000 -000000000000010000000000000000000001011010010000000000 -000000000000100000000000000000001000100101100000000000 -000000000010000000000000001001000000000010000000000000 -000000000000000000000000000101101001000000000000000000 -000000000000000000000000001001100001000000110000100010 -000000000000000000000000000001101010000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 5 16 -000000000000001011100000000011100000000000001000000000 -000000000000001011000000000000000000000000000000001000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000001000000000000000000000000000001000000000 -000000000000000011000000000000001000000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000000000000000000001000111100000000000000 -000000000000000000000000000000000000111100000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -.logic_tile 6 16 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 100000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000010000000000000 +000000000000000000000000001000000000000010000010000000 000000000000000000000000000001000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3893,51 +3859,85 @@ 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -.logic_tile 7 16 -000001000000001000000110000101100000000000000000000000 -000010100000000011000000000001100000000001000000000000 -000000000000000101000010010001101101000010000000000000 -000000000000000000000010001001101110000000000000000010 -000000000000001000000010100000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000010000000101000000000000000000000000 -000000000000000101000010100101100000000001000000000000 -000000000000001000010000010001100000000000000000000000 -000000000000000001000011000001000000000001000000000000 +.logic_tile 5 16 +000000000000000111000010110101000000000000001000000000 +000000000000000101100011110000100000000000000000001000 +000000000000000000000110100101000001000000001000000000 +000000000000000000000100000000101100000000000000000000 +000000000000000011100010100011001001111100001000000000 +000000000000000000100010100000101100111100000000000000 +000000000000001000000010110001001000000011110000000000 +000000000000000001000010000000000000111100000000000000 +000000000000000000000111010101100000000000000000000000 +000000000000000111000110000001100000000001000010000000 +000000000000000001000000000001001101001100000010000100 +000000000000001001100000000001101010001000000000000000 +000000000000000000000000000001011001000100000000000000 +000000000000000000000000000101111011000000000000000000 +000000000000000000000000000101101100000011110000000000 +000000000000000000000000000000110000111100000000000000 +.logic_tile 6 16 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +110000000000000000000000001111100000000001000100000000 +110000000000000000000000001111100000000011001010000000 +000000000000000000000000001000000000000000000101000000 +000000000000000000000000001011000000000010000000000000 +000000000000000000000110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000101100000000000000000000000 -100001000000000000000000000001000000000001000000000000 -000000000000001000000000001011000000000010000000000000 -000000000000000001000000001001100000000011000000000000 -.logic_tile 8 16 -100000000000001000000000000000000000000000000000000000 +000000000000001101100110100000000000000000000000000000 000000000000000101000000000000000000000000000000000000 -111000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -110000000000000000000110100000000000000000000110000000 -100000000000000000000000001101000000000010000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000011101011000000000001000100000000 +000000000000000000000000001111100000000011001000000010 +110000000000000011000011111011100000000001000110000000 +110000000000000000000110100011000000000011001000000000 +.logic_tile 7 16 +000000000000000111100110110001000000000000001000000000 +000000000000000000100010100000000000000000000000001000 +000000000000000000000110010101000001000000001000000000 +000000000000000000000010000000001101000000000000000000 +000000000000000011000110010011001001111100001000000000 +000000000000000000100010000000101111111100000000000000 +000000000000001011100000000101101000000011110000000000 +000000000000000001100000000000000000111100000000000000 +000000000000000000000111100011001010000011110000000000 +000000000000000000000100000000110000111100000000000000 +000000000000000000000010001001101011000010000000000000 +000000000000000000000100001001111010000000000001000000 +000000000000000000000000000001000000001111000000000000 +000000000000000000000000000000000000110000110000000000 +000000000000000000000010000001000000000011000000000000 +000000000000000000000100001001100000000000000000000000 +.logic_tile 8 16 +100000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +111000000000000101100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001100000000010000101000000 +000000000000000000000000000111001001000011000001000001 +000000000000001000000010000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000100000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -110000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101111100010100000100000001 +000000000000000000000000001101011100100100000000100000 +110000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 .logic_tile 9 16 -100000000000000000000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000100000000 -010000000000000000000000000011000000000010000000100000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000100000100 +000000000000100000000000001011000000000010000000000010 +000000000000000000000011100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001111000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 @@ -3947,7 +3947,7 @@ .ramt_tile 10 16 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3962,39 +3962,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 16 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000001000000000001000000000000000000100000000 +000000000000000011000000000111000000000010000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000100000000000000000000011000000000010000000000000 +010000000000001000000000001000000000000000000100000000 +010010000000001101000000000011000000000010000000000100 .logic_tile 12 16 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010000001000000 +000000000000000000000011011000000000000000000100000000 +000000000000000000000010111011000000000010000000000000 +000000000000001101100000000000000000000000000100000000 +000000000000001101100000000111000000000010000000000000 +010000000000001000000000000000000000000000000100000000 +010000000000001101000000000111000000000010000000000100 .io_tile 13 16 000000000000000000 000000000000000000 @@ -4102,27 +4102,27 @@ 000000000000000000 000000000000000000 000000000000000000 +000001111000000100 000000000000000100 -000000000000001100 000100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000010010000000000 000000000000000000 000000000000000000 -.io_tile 7 17 000000000000000000 000000000000000000 +.io_tile 7 17 +000001111000000000 +000000000000000000 000000000000000000 000000000000000000 -000000000000001100 000000000000000100 -000100011000000000 -000000001000000000 +000000000000000000 +000100000000000000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -4149,74 +4149,74 @@ 000000000000000000 000000000000000000 .io_tile 9 17 -000001011000000010 +000000000000000010 000100000000000000 000000000000000000 000000000000000001 -000000000000000001 +000000000001000001 000000000001000000 001100000000000000 000000000000000000 -000000000000000000 -000100000000000000 -000001110001000010 +000010000000000000 +000111110000000000 +000001011001000010 000000000011000000 000000000000000000 000000000000000001 000000000000000001 000000000000000000 .io_tile 10 17 -000000000000000010 +000001010000000010 000100000000000000 -000010000000000000 -000001010000000001 -000000000011000001 +000000000000000000 +000000000000000001 +000000000000000001 000000000001000000 -001100000000000000 +001100000000010000 000000000000000000 000000000000000000 000100000000000000 -000000000000000010 +000001011001000010 000000000011000000 000000000000000000 -000001110000000001 +000000000000000001 000000000000000001 000000000000000000 .io_tile 11 17 -000000111000000010 +000000000000000010 000100000000000000 -000000000000100000 -000000000000000001 +000000000000000000 000000000000000001 -000000000001000000 +000000000011000001 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000011000010 000000000001000000 -000000110000000000 -000000000000000001 +000001010001100000 000000000000000001 -000000000000000000 +000010000000000001 +000010010000000000 .io_tile 12 17 000000000000000010 000100000000000000 -000000110000000000 -000000000000000001 +000000000000000000 000000000000000001 +000000000011000001 000000000011000000 -001100000001100000 +001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000011000010 -000000000001000000 -000001011000000000 -000000001000000001 +000000011001000010 +000000001011000000 +000000000001100000 000000000000000001 -000000000000000000 -.ram_data 3 7 +000010000000000001 +000010010000000000 +.ram_data 10 9 0003000000040000000a00000003000000020000003f000100000000001e0000 0000000000000000000000010000000100010004009f00000006000000050000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4233,7 +4233,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 1 +.ram_data 3 7 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4250,7 +4250,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 10 1 +.ram_data 3 1 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4267,7 +4267,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 11 +.ram_data 10 3 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4284,7 +4284,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 13 +.ram_data 10 5 0062005d004900450060005a0054004f00520000004a004d0057005800480050 0063005e004b004e0061005b005500560000005c004c0044005f005900530051 0029002b0035001e00140004001d000000e200000000004600470000000000e6 @@ -4301,7 +4301,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 9 +.ram_data 10 7 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4319,837 +4319,767 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 .sym 1 KEYBOARD.IS_RAM_INIT$2 -.sym 2 $abc$25847$n135$2 -.sym 3 $abc$25847$n141$2 -.sym 4 I2C.FLT_SCL.RESET$2 -.sym 5 $abc$25847$n21$2 -.sym 6 $abc$25847$n396$2 +.sym 2 I2C.FLT_SCL.RESET$2 +.sym 3 $abc$26600$n342$2 +.sym 4 $abc$26600$n604$2 +.sym 5 $abc$26600$n21$2 +.sym 6 $abc$26600$n617$2 .sym 7 CLK$2$2 -.sym 8 $abc$25847$n384$2 -.sym 42 $abc$25847$n1154 -.sym 49 $abc$25847$n1828 -.sym 50 $abc$25847$n1830 -.sym 51 $abc$25847$n1832 -.sym 52 $abc$25847$n1834 -.sym 53 $abc$25847$n1836 -.sym 54 $abc$25847$n1838 -.sym 178 $abc$25847$n1169_1 -.sym 181 $abc$25847$n1166_1 -.sym 182 $abc$25847$n1163 -.sym 296 I2C.received_byte[0] -.sym 406 $abc$25847$n1560 -.sym 407 $abc$25847$n1562 -.sym 408 $abc$25847$n1564 -.sym 409 I2C.FLT_SCL.RESET -.sym 410 rststate[3] -.sym 411 rststate[1] -.sym 412 rststate[2] -.sym 526 $abc$25847$n1951 -.sym 636 $abc$25847$n729 -.sym 637 $abc$25847$n727 -.sym 639 $abc$25847$n724 -.sym 750 I2C.received_byte[3] +.sym 8 $abc$26600$n336$2 +.sym 44 KEYBOARD.is_pressed +.sym 47 $abc$26600$n948 +.sym 48 $abc$26600$n1125 +.sym 51 $abc$26600$n809 +.sym 52 $abc$26600$n802 +.sym 53 $abc$26600$n824 +.sym 54 $abc$26600$n41 +.sym 178 KEYBOARD.report_wr_en +.sym 292 KEYBOARD.row_time[12] +.sym 293 KEYBOARD.row_time[0] +.sym 294 KEYBOARD.row_time[14] +.sym 296 KEYBOARD.row_time[13] +.sym 297 KEYBOARD.row_time[11] +.sym 298 KEYBOARD.row_time[1] +.sym 411 KEYBOARD.isr_internal +.sym 521 KEYBOARD.isr +.sym 636 $abc$26600$n604 +.sym 637 $abc$26600$n1196 +.sym 639 $abc$26600$n905 +.sym 748 $abc$26600$n1895 +.sym 749 $abc$26600$n1896 +.sym 750 $abc$26600$n1897 +.sym 751 $abc$26600$n1898 +.sym 752 $abc$26600$n1899 +.sym 753 $abc$26600$n1900 .sym 830 CLK$2 .sym 836 CLK$2 -.sym 862 $abc$25847$n1537 -.sym 863 $abc$25847$n1540 -.sym 864 $abc$25847$n526 -.sym 944 I2C.FLT_SCL.RESET -.sym 976 $abc$25847$n971 -.sym 977 $abc$25847$n972 -.sym 978 I2C_OUT_DESC_MASK[5] -.sym 980 I2C_OUT_DESC_MASK[2] -.sym 981 I2C_OUT_DESC_MASK[4] -.sym 1090 KEYBOARD.report_adress_rd[1] -.sym 1092 KEYBOARD.report_adress_rd[3] -.sym 1093 KEYBOARD.report_adress_rd[2] -.sym 1095 KEYBOARD.report_adress_rd[0] -.sym 1203 $abc$25847$n968 -.sym 1204 $abc$25847$n1126 -.sym 1205 $abc$25847$n1249_1 -.sym 1206 $abc$25847$n1114 -.sym 1207 $abc$25847$n1122 -.sym 1208 $abc$25847$n1125 +.sym 860 $abc$26600$n853 +.sym 861 $abc$26600$n1212 +.sym 862 $abc$26600$n845 +.sym 863 $abc$26600$n1206 +.sym 864 $abc$26600$n1215 +.sym 865 $abc$26600$n844 +.sym 866 $abc$26600$n848 +.sym 867 $abc$26600$n2019 +.sym 944 $abc$26600$n604 +.sym 981 $abc$26600$n2051 +.sym 1088 KEYBOARD.tmr_to_ram[5] +.sym 1092 KEYBOARD.tmr_to_ram[6] +.sym 1094 KEYBOARD.tmr_to_ram[3] +.sym 1208 I2C.received_byte[6] .sym 1289 COM_TX$2 -.sym 1318 KEYBOARD.report_data_wr[1] -.sym 1319 KEYBOARD.report_data_wr[3] +.sym 1317 COM_TX$2 .sym 1403 I2C.is_read -.sym 1434 I2C.received_byte[6] +.sym 1430 I2C.i2c_start_latency .sym 1517 $true$2 .sym 1522 COM_DCD$2 -.sym 1545 I2C.received_byte[4] -.sym 1663 I2C.received_byte[7] +.sym 1659 $abc$26600$n1636 +.sym 1664 rststate[0] .sym 1851 $false .sym 1853 KEYBOARD.ROWS_EN[13] .sym 1856 $false .sym 1858 KEYBOARD.ROWS_EN[14] -.sym 1884 I2C.received_byte[2] -.sym 2020 $abc$25847$n1828 -.sym 2021 KEYBOARD.tmr_to_ram[2] -.sym 2022 $abc$25847$n1147 -.sym 2023 $false -.sym 2064 KEYBOARD.tmr_to_ram[6] -.sym 2066 KEYBOARD.tmr_to_ram[1] -.sym 2069 KEYBOARD.tmr_to_ram[2] -.sym 2070 KEYBOARD.tmr_to_ram[4] -.sym 2147 $true -.sym 2184 KEYBOARD.tmr_to_ram[0]$2 -.sym 2185 $false -.sym 2186 KEYBOARD.tmr_to_ram[0] +.sym 1883 KEYBOARD.COLUMN_SHADOW[2] +.sym 1884 KEYBOARD.COLUMN_SHADOW[1] +.sym 2032 $abc$26600$n1822 +.sym 2033 $false +.sym 2034 $false +.sym 2035 $false +.sym 2048 $abc$26600$n607 +.sym 2049 CLK$2$2 +.sym 2050 $false +.sym 2069 $abc$26600$n2053 +.sym 2070 $abc$26600$n1308_1 +.sym 2185 $abc$26600$n731 +.sym 2186 $abc$26600$n1758 .sym 2187 $false .sym 2188 $false -.sym 2190 $auto$alumacc.cc:474:replace_alu$6790.C[2] -.sym 2192 $false -.sym 2193 KEYBOARD.tmr_to_ram[1] -.sym 2196 $auto$alumacc.cc:474:replace_alu$6790.C[3] -.sym 2197 $false -.sym 2198 $false -.sym 2199 KEYBOARD.tmr_to_ram[2] -.sym 2200 $auto$alumacc.cc:474:replace_alu$6790.C[2] -.sym 2202 $auto$alumacc.cc:474:replace_alu$6790.C[4] -.sym 2203 $false -.sym 2204 $false -.sym 2205 KEYBOARD.tmr_to_ram[3] -.sym 2206 $auto$alumacc.cc:474:replace_alu$6790.C[3] -.sym 2208 $auto$alumacc.cc:474:replace_alu$6790.C[5] -.sym 2209 $false -.sym 2210 $false -.sym 2211 KEYBOARD.tmr_to_ram[4] -.sym 2212 $auto$alumacc.cc:474:replace_alu$6790.C[4] -.sym 2214 $auto$alumacc.cc:474:replace_alu$6790.C[6] -.sym 2215 $false -.sym 2216 $false -.sym 2217 KEYBOARD.tmr_to_ram[5] -.sym 2218 $auto$alumacc.cc:474:replace_alu$6790.C[5] -.sym 2220 $auto$alumacc.cc:474:replace_alu$6790.C[7] -.sym 2221 $false -.sym 2222 $false -.sym 2223 KEYBOARD.tmr_to_ram[6] -.sym 2224 $auto$alumacc.cc:474:replace_alu$6790.C[6] -.sym 2227 $false -.sym 2228 $false -.sym 2229 KEYBOARD.tmr_to_ram[7] -.sym 2230 $auto$alumacc.cc:474:replace_alu$6790.C[7] -.sym 2234 $abc$25847$n1146 -.sym 2235 $abc$25847$n1926 -.sym 2236 $abc$25847$n1824 -.sym 2237 $abc$25847$n1162 -.sym 2238 $abc$25847$n706 -.sym 2239 $abc$25847$n1168_1 -.sym 2240 $abc$25847$n1157 -.sym 2241 $abc$25847$n1916 -.sym 2326 $abc$25847$n1838 -.sym 2327 KEYBOARD.tmr_to_ram[7] -.sym 2328 $abc$25847$n1147 -.sym 2329 $false -.sym 2344 $abc$25847$n1836 -.sym 2345 KEYBOARD.tmr_to_ram[6] -.sym 2346 $abc$25847$n1147 -.sym 2347 $false -.sym 2350 $abc$25847$n1834 -.sym 2351 KEYBOARD.tmr_to_ram[5] -.sym 2352 $abc$25847$n1147 -.sym 2353 $false -.sym 2369 KEYBOARD.tmr_to_ram[7] -.sym 2372 KEYBOARD.tmr_to_ram[3] -.sym 2373 KEYBOARD.tmr_to_ram[0] -.sym 2374 KEYBOARD.tmr_to_ram[5] -.sym 2485 I2C.FLT_SDA.out +.sym 2191 KEYBOARD.last_adr[0] +.sym 2192 KEYBOARD.last_adr[1] +.sym 2193 $false +.sym 2194 $false +.sym 2209 $abc$26600$n2053 +.sym 2210 $abc$26600$n802 +.sym 2211 $false +.sym 2212 $false +.sym 2215 $abc$26600$n944 +.sym 2216 $abc$26600$n945 +.sym 2217 $abc$26600$n947 +.sym 2218 $abc$26600$n948 +.sym 2221 KEYBOARD.IS_RAM_INIT$2 +.sym 2222 KEYBOARD.report_wr_en +.sym 2223 $false +.sym 2224 $false +.sym 2227 $abc$26600$n731 +.sym 2228 $abc$26600$n1744 +.sym 2229 $false +.sym 2230 $false +.sym 2234 KEYBOARD.row_time[6] +.sym 2235 KEYBOARD.row_time[7] +.sym 2236 KEYBOARD.row_time[5] +.sym 2237 KEYBOARD.row_time[2] +.sym 2239 KEYBOARD.row_time[4] +.sym 2241 KEYBOARD.row_time[3] +.sym 2326 $abc$26600$n812_1 +.sym 2327 $abc$26600$n1188 +.sym 2328 $abc$26600$n824 +.sym 2329 $abc$26600$n1737 +.sym 2366 $abc$26600$n484 +.sym 2367 CLK$2$2 +.sym 2368 $abc$26600$n21$2 +.sym 2369 $abc$26600$n962 +.sym 2370 $abc$26600$n801 +.sym 2371 $abc$26600$n98 +.sym 2372 $abc$26600$n735 +.sym 2373 $abc$26600$n733_1 +.sym 2374 $abc$26600$n734 +.sym 2375 $abc$26600$n484 +.sym 2376 $abc$26600$n940 +.sym 2461 $abc$26600$n945 +.sym 2462 $false +.sym 2463 $false +.sym 2464 $false +.sym 2467 $abc$26600$n41 +.sym 2468 $false +.sym 2469 $false +.sym 2470 $false +.sym 2473 $abc$26600$n948 +.sym 2474 $false +.sym 2475 $false +.sym 2476 $false +.sym 2485 $abc$26600$n947 .sym 2486 $false .sym 2487 $false .sym 2488 $false -.sym 2501 $abc$25847$n434 +.sym 2491 $abc$26600$n944 +.sym 2492 $false +.sym 2493 $false +.sym 2494 $false +.sym 2497 $abc$26600$n98 +.sym 2498 $false +.sym 2499 $false +.sym 2500 $false +.sym 2501 $abc$26600$n604$2 .sym 2502 CLK$2$2 -.sym 2503 $false -.sym 2504 $abc$25847$n1069 -.sym 2506 $abc$25847$n1558 -.sym 2508 rststate[0] -.sym 2552 $false -.sym 2589 $auto$alumacc.cc:474:replace_alu$6760.C[1] -.sym 2591 $abc$25847$n21$2 -.sym 2592 rststate[0] -.sym 2595 $auto$alumacc.cc:474:replace_alu$6760.C[2] -.sym 2596 $false -.sym 2597 $false -.sym 2598 rststate[1] -.sym 2599 $auto$alumacc.cc:474:replace_alu$6760.C[1] -.sym 2601 $auto$alumacc.cc:474:replace_alu$6760.C[3] -.sym 2602 $false -.sym 2603 $false -.sym 2604 rststate[2] -.sym 2605 $auto$alumacc.cc:474:replace_alu$6760.C[2] -.sym 2608 $false -.sym 2609 $false -.sym 2610 rststate[3] -.sym 2611 $auto$alumacc.cc:474:replace_alu$6760.C[3] -.sym 2614 rststate[3] -.sym 2615 rststate[2] -.sym 2616 rststate[1] -.sym 2617 rststate[0] -.sym 2620 $abc$25847$n1068 -.sym 2621 $abc$25847$n1564 -.sym 2622 $false -.sym 2623 $false -.sym 2626 $abc$25847$n1068 -.sym 2627 $abc$25847$n1560 -.sym 2628 $false -.sym 2629 $false -.sym 2632 $abc$25847$n1068 -.sym 2633 $abc$25847$n1562 -.sym 2634 $false -.sym 2635 $false -.sym 2636 $true +.sym 2503 $abc$26600$n21$2 +.sym 2504 $abc$26600$n873 +.sym 2505 $abc$26600$n944 +.sym 2506 $abc$26600$n947 +.sym 2507 $abc$26600$n731 +.sym 2508 $abc$26600$n945 +.sym 2509 $abc$26600$n960 +.sym 2510 $abc$26600$n732 +.sym 2511 $abc$26600$n961_1 +.sym 2626 $abc$26600$n1193 +.sym 2627 KEYBOARD.report_wr_en +.sym 2628 $abc$26600$n905 +.sym 2629 $abc$26600$n809 +.sym 2636 $abc$26600$n627 .sym 2637 CLK$2$2 -.sym 2638 $false -.sym 2646 $abc$25847$n641 -.sym 2687 $true -.sym 2724 $abc$25847$n732$2 -.sym 2725 $false -.sym 2726 $abc$25847$n732 -.sym 2727 $false -.sym 2728 $false -.sym 2730 $auto$alumacc.cc:474:replace_alu$6714.C[3] -.sym 2732 $true$2 -.sym 2733 $abc$25847$n730 -.sym 2736 $auto$alumacc.cc:474:replace_alu$6714.C[4] +.sym 2638 $abc$26600$n21$2 +.sym 2639 $abc$26600$n927_1 +.sym 2640 $abc$26600$n877 +.sym 2641 $abc$26600$n44 +.sym 2642 $abc$26600$n1343_1 +.sym 2643 $abc$26600$n611 +.sym 2644 $abc$26600$n1324 +.sym 2645 $abc$26600$n872_1 +.sym 2646 $abc$26600$n811 +.sym 2737 KEYBOARD.isr_internal .sym 2738 $false -.sym 2739 $abc$25847$n729 -.sym 2742 $auto$alumacc.cc:474:replace_alu$6714.C[5] -.sym 2744 $false -.sym 2745 $abc$25847$n727 -.sym 2748 $auto$alumacc.cc:474:replace_alu$6714.C[6] -.sym 2750 $false -.sym 2751 $abc$25847$n726 -.sym 2754 $auto$alumacc.cc:474:replace_alu$6714.C[7] -.sym 2756 $false -.sym 2757 $abc$25847$n724 -.sym 2760 $abc$25847$n1951$2 -.sym 2762 $false -.sym 2763 $abc$25847$n723 -.sym 2770 $abc$25847$n1951$2 -.sym 2776 $abc$25847$n730 -.sym 2779 $abc$25847$n723 -.sym 2878 I2C.received_byte[3] -.sym 2879 $false +.sym 2739 $false +.sym 2740 $false +.sym 2771 $abc$26600$n632 +.sym 2772 CLK$2$2 +.sym 2773 $abc$26600$n21$2 +.sym 2776 KEYBOARD.tmr_wr_en +.sym 2878 $abc$26600$n818 +.sym 2879 I2C.FLT_SCL.RESET$2 .sym 2880 $false .sym 2881 $false -.sym 2884 I2C.received_byte[4] -.sym 2885 $false +.sym 2884 $abc$26600$n939_1 +.sym 2885 $abc$26600$n2051 .sym 2886 $false .sym 2887 $false -.sym 2896 I2C.received_byte[6] -.sym 2897 $false +.sym 2896 $abc$26600$n812_1 +.sym 2897 KEYBOARD.isr_internal .sym 2898 $false .sym 2899 $false -.sym 2909 UART.tx_bit_counter[0] -.sym 2910 UART.tx_bit_counter[1] -.sym 2911 UART.tx_bit_counter[2] -.sym 2914 UART.tx_bit_counter[3] -.sym 3019 I2C.FLT_SDA.out +.sym 2909 $abc$26600$n1209 +.sym 2910 $abc$26600$n939_1 +.sym 2911 $abc$26600$n1195 +.sym 2912 $abc$26600$n941_1 +.sym 2913 $abc$26600$n1203 +.sym 2914 $abc$26600$n942 +.sym 2915 $abc$26600$n1893 +.sym 2916 $abc$26600$n1218 +.sym 2957 $true +.sym 2994 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0]$2 +.sym 2995 $false +.sym 2996 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] +.sym 2997 $false +.sym 2998 $false +.sym 3000 $auto$alumacc.cc:474:replace_alu$7401.C[2] +.sym 3002 $false +.sym 3003 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] +.sym 3006 $auto$alumacc.cc:474:replace_alu$7401.C[3] +.sym 3007 $false +.sym 3008 $false +.sym 3009 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] +.sym 3010 $auto$alumacc.cc:474:replace_alu$7401.C[2] +.sym 3012 $auto$alumacc.cc:474:replace_alu$7401.C[4] +.sym 3013 $false +.sym 3014 $false +.sym 3015 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] +.sym 3016 $auto$alumacc.cc:474:replace_alu$7401.C[3] +.sym 3018 $auto$alumacc.cc:474:replace_alu$7401.C[5] +.sym 3019 $false .sym 3020 $false -.sym 3021 $false -.sym 3022 $false -.sym 3041 $abc$25847$n462 -.sym 3042 CLK$2$2 -.sym 3043 $false -.sym 3044 $2\ring_wr[3:0][3] -.sym 3045 $abc$25847$n695 -.sym 3046 $abc$25847$n434 -.sym 3047 $abc$25847$n1908 -.sym 3048 $abc$25847$n694 -.sym 3049 I2C_HID_DESC.CLK -.sym 3050 ring_rd[2] -.sym 3051 ring_wr[3] -.sym 3092 $true -.sym 3129 ring_wr[0]$2 -.sym 3130 $false -.sym 3131 ring_wr[0] +.sym 3021 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] +.sym 3022 $auto$alumacc.cc:474:replace_alu$7401.C[4] +.sym 3024 $auto$alumacc.cc:474:replace_alu$7401.C[6] +.sym 3025 $false +.sym 3026 $false +.sym 3027 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] +.sym 3028 $auto$alumacc.cc:474:replace_alu$7401.C[5] +.sym 3030 $auto$alumacc.cc:474:replace_alu$7401.C[7] +.sym 3031 $false +.sym 3032 $false +.sym 3033 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] +.sym 3034 $auto$alumacc.cc:474:replace_alu$7401.C[6] +.sym 3037 $false +.sym 3038 $false +.sym 3039 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] +.sym 3040 $auto$alumacc.cc:474:replace_alu$7401.C[7] +.sym 3044 KEYBOARD.tmr_to_ram[7] +.sym 3045 KEYBOARD.tmr_to_ram[1] +.sym 3047 KEYBOARD.tmr_to_ram[4] +.sym 3049 KEYBOARD.tmr_to_ram[2] +.sym 3051 KEYBOARD.tmr_to_ram[0] +.sym 3130 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] +.sym 3131 $false .sym 3132 $false .sym 3133 $false -.sym 3135 $auto$alumacc.cc:474:replace_alu$6763.C[2] -.sym 3137 $false -.sym 3138 ring_wr[1] -.sym 3141 $auto$alumacc.cc:474:replace_alu$6763.C[3] -.sym 3142 $false +.sym 3136 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] +.sym 3137 $abc$26600$n1898 +.sym 3138 $abc$26600$n1196 +.sym 3139 $abc$26600$n926 +.sym 3142 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] .sym 3143 $false -.sym 3144 ring_wr[2] -.sym 3145 $auto$alumacc.cc:474:replace_alu$6763.C[2] -.sym 3147 $auto$alumacc.cc:474:replace_alu$6763.C[4] -.sym 3148 $false -.sym 3149 $false -.sym 3150 ring_wr[3] -.sym 3151 $auto$alumacc.cc:474:replace_alu$6763.C[3] -.sym 3154 $false -.sym 3155 $false -.sym 3156 $false -.sym 3157 $auto$alumacc.cc:474:replace_alu$6763.C[4] -.sym 3179 $abc$25847$n693_1 -.sym 3180 $abc$25847$n956 -.sym 3181 $abc$25847$n469 -.sym 3182 $abc$25847$n696 -.sym 3183 $abc$25847$n957_1 -.sym 3184 $abc$25847$n949 -.sym 3185 $abc$25847$n945 -.sym 3186 I2C_OUT_DESC_MASK[1] +.sym 3144 $false +.sym 3145 $false +.sym 3148 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] +.sym 3149 $abc$26600$n1896 +.sym 3150 $abc$26600$n1196 +.sym 3151 $abc$26600$n926 +.sym 3154 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] +.sym 3155 $abc$26600$n1899 +.sym 3156 $abc$26600$n1196 +.sym 3157 $abc$26600$n926 +.sym 3160 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] +.sym 3161 $false +.sym 3162 $false +.sym 3163 $false +.sym 3166 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] +.sym 3167 $false +.sym 3168 $false +.sym 3169 $false +.sym 3172 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] +.sym 3173 $false +.sym 3174 $false +.sym 3175 $false +.sym 3179 $abc$26600$n847 +.sym 3180 $abc$26600$n1219 +.sym 3181 $abc$26600$n1201 +.sym 3182 $abc$26600$n1216 +.sym 3183 $abc$26600$n1213 +.sym 3184 $abc$26600$n1104 +.sym 3185 $abc$26600$n1210 +.sym 3186 $abc$26600$n1207 .sym 3227 $true -.sym 3264 KEYBOARD.report_adress_rd[0]$2 +.sym 3264 $abc$26600$n853$2 .sym 3265 $false -.sym 3266 KEYBOARD.report_adress_rd[0] +.sym 3266 $abc$26600$n853 .sym 3267 $false .sym 3268 $false -.sym 3270 $auto$alumacc.cc:474:replace_alu$6778.C[2] -.sym 3272 $false -.sym 3273 KEYBOARD.report_adress_rd[1] -.sym 3276 $auto$alumacc.cc:474:replace_alu$6778.C[3] -.sym 3277 $false +.sym 3270 $auto$alumacc.cc:474:replace_alu$7320.C[3] +.sym 3272 $true$2 +.sym 3273 $abc$26600$n2019 +.sym 3276 $auto$alumacc.cc:474:replace_alu$7320.C[4] .sym 3278 $false -.sym 3279 KEYBOARD.report_adress_rd[2] -.sym 3280 $auto$alumacc.cc:474:replace_alu$6778.C[2] -.sym 3283 $false +.sym 3279 $abc$26600$n850 +.sym 3282 $auto$alumacc.cc:474:replace_alu$7320.C[5] .sym 3284 $false -.sym 3285 KEYBOARD.report_adress_rd[3] -.sym 3286 $auto$alumacc.cc:474:replace_alu$6778.C[3] -.sym 3289 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 3285 $abc$26600$n848 +.sym 3288 $auto$alumacc.cc:474:replace_alu$7320.C[6] .sym 3290 $false -.sym 3291 $false -.sym 3292 $false -.sym 3301 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 3291 $abc$26600$n847 +.sym 3294 $auto$alumacc.cc:474:replace_alu$7320.C[7] +.sym 3296 $false +.sym 3297 $abc$26600$n845 +.sym 3300 $abc$26600$n2051$2 .sym 3302 $false -.sym 3303 $false -.sym 3304 $false -.sym 3307 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 3308 $false -.sym 3309 $false -.sym 3310 $false -.sym 3311 $abc$25847$n157 -.sym 3312 CLK$2$2 -.sym 3313 $abc$25847$n21$2 -.sym 3314 $abc$25847$n790 -.sym 3315 $abc$25847$n1256_1 -.sym 3316 $abc$25847$n1147 -.sym 3317 $abc$25847$n798 -.sym 3318 $abc$25847$n793 -.sym 3319 $abc$25847$n799 -.sym 3320 $abc$25847$n1247 -.sym 3321 $abc$25847$n1120 -.sym 3412 $abc$25847$n1249_1 -.sym 3413 $abc$25847$n1114 -.sym 3414 KEYBOARD.report_adress_rd[0] -.sym 3415 KEYBOARD.report_adress_rd[1] -.sym 3424 $abc$25847$n1122 -.sym 3425 $abc$25847$n774 -.sym 3426 $abc$25847$n972 -.sym 3427 KEYBOARD.IS_RAM_INIT$2 -.sym 3430 $abc$25847$n971 -.sym 3431 $abc$25847$n1114 -.sym 3432 $abc$25847$n1120 -.sym 3433 $abc$25847$n820 -.sym 3442 KEYBOARD.IS_RAM_INIT$2 -.sym 3443 $abc$25847$n1247 -.sym 3444 $abc$25847$n968 -.sym 3445 KEYBOARD.isr_internal -.sym 3446 $abc$25847$n367 +.sym 3303 $abc$26600$n844 +.sym 3310 $abc$26600$n2051$2 +.sym 3316 $abc$26600$n1954 +.sym 3317 $abc$26600$n1955 +.sym 3318 $abc$26600$n1956 +.sym 3319 $abc$26600$n1957 +.sym 3320 $abc$26600$n1958 +.sym 3321 $abc$26600$n1959 +.sym 3400 $abc$26600$n1212 +.sym 3401 $abc$26600$n1213 +.sym 3402 $false +.sym 3403 $false +.sym 3424 $abc$26600$n1215 +.sym 3425 $abc$26600$n1216 +.sym 3426 $false +.sym 3427 $false +.sym 3436 $abc$26600$n1206 +.sym 3437 $abc$26600$n1207 +.sym 3438 $false +.sym 3439 $false +.sym 3446 $abc$26600$n475 .sym 3447 CLK$2$2 -.sym 3448 $abc$25847$n21$2 -.sym 3449 KEYBOARD.report_data_wr[6] -.sym 3450 KEYBOARD.report_data_wr[2] -.sym 3452 KEYBOARD.report_data_wr[0] -.sym 3454 KEYBOARD.report_data_wr[7] -.sym 3455 KEYBOARD.report_data_wr[5] -.sym 3456 KEYBOARD.report_data_wr[4] -.sym 3541 $false -.sym 3542 $false -.sym 3543 KEYBOARD.report_adress_rd[0] -.sym 3544 $false -.sym 3547 $abc$25847$n968 -.sym 3548 $abc$25847$n971 -.sym 3549 $abc$25847$n972 -.sym 3550 KEYBOARD.IS_RAM_INIT$2 -.sym 3553 KEYBOARD.isr_internal -.sym 3554 wr_cnt[1] -.sym 3555 $abc$25847$n774 -.sym 3556 KEYBOARD.IS_RAM_INIT$2 -.sym 3559 KEYBOARD.isr_internal -.sym 3560 $abc$25847$n799 -.sym 3561 KEYBOARD.IS_RAM_INIT$2 -.sym 3562 $false -.sym 3565 $abc$25847$n799 -.sym 3566 $abc$25847$n972 -.sym 3567 wr_cnt[3] -.sym 3568 KEYBOARD.isr_internal -.sym 3571 KEYBOARD.report_adress_rd[0] -.sym 3572 KEYBOARD.report_adress_rd[1] -.sym 3573 $abc$25847$n1126 +.sym 3448 KEYBOARD.IS_RAM_INIT$2 +.sym 3449 $abc$26600$n678 +.sym 3451 $abc$26600$n748_1 +.sym 3452 $abc$26600$n337 +.sym 3571 I2C.FLT_SDA.out +.sym 3572 $false +.sym 3573 $false .sym 3574 $false -.sym 3584 $abc$25847$n846_1 -.sym 3585 $abc$25847$n1257_1 -.sym 3586 $abc$25847$n836 -.sym 3587 $abc$25847$n732_1 -.sym 3588 $abc$25847$n849 -.sym 3589 $abc$25847$n786_1 -.sym 3590 $abc$25847$n1124 -.sym 3591 last_isr -.sym 3682 KEYBOARD.IS_RAM_INIT$2 -.sym 3683 $abc$25847$n1124 -.sym 3684 $abc$25847$n1125 -.sym 3685 $false -.sym 3688 $abc$25847$n1128 -.sym 3689 KEYBOARD.IS_RAM_INIT$2 -.sym 3690 $abc$25847$n1125 -.sym 3691 $false -.sym 3716 $abc$25847$n318 -.sym 3717 CLK$2$2 -.sym 3718 $false -.sym 3721 $auto$alumacc.cc:474:replace_alu$6796.C[2] -.sym 3722 $abc$25847$n887 -.sym 3723 $abc$25847$n886 -.sym 3724 $abc$25847$n726_1 -.sym 3725 $abc$25847$n129 -.sym 3829 I2C.FLT_SDA.out -.sym 3830 $false -.sym 3831 $false -.sym 3832 $false -.sym 3851 $abc$25847$n485 +.sym 3581 $abc$26600$n274 +.sym 3582 CLK$2$2 +.sym 3583 $false +.sym 3586 I2C.received_byte[2] +.sym 3676 $abc$26600$n12 +.sym 3677 $false +.sym 3678 $false +.sym 3679 $false +.sym 3719 $abc$26600$n12 +.sym 3805 $abc$26600$n1134 +.sym 3806 $false +.sym 3807 $false +.sym 3808 $false +.sym 3851 $abc$26600$n302 .sym 3852 CLK$2$2 .sym 3853 $false -.sym 3855 UART.tx_clk_counter[2] -.sym 3856 UART.tx_clk_counter[0] -.sym 3857 UART.tx_clk_counter[1] -.sym 3861 UART.tx_clk_counter[3] -.sym 3946 I2C.FLT_SDA.out -.sym 3947 $false -.sym 3948 $false -.sym 3949 $false -.sym 3986 $abc$25847$n469 -.sym 3987 CLK$2$2 -.sym 3988 $false -.sym 3989 IS_RAM_INIT -.sym 4105 I2C.FLT_SDA.out -.sym 4106 $false -.sym 4107 $false -.sym 4108 $false -.sym 4121 $abc$25847$n492 +.sym 3860 I2C.received_byte[5] +.sym 3990 $abc$26600$n1638 +.sym 3991 $abc$26600$n1640 +.sym 3992 $abc$26600$n1642 +.sym 3993 I2C.FLT_SCL.RESET +.sym 3994 rststate[1] +.sym 3995 rststate[3] +.sym 3996 rststate[2] +.sym 4081 $false +.sym 4082 $abc$26600$n21$2 +.sym 4083 rststate[0] +.sym 4084 $false +.sym 4111 $abc$26600$n1104 +.sym 4112 $abc$26600$n1636 +.sym 4113 $false +.sym 4114 $false +.sym 4121 $true .sym 4122 CLK$2$2 .sym 4123 $false .sym 4212 $false .sym 4214 KEYBOARD.ROWS_EN[15] -.sym 4237 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] -.sym 4239 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] -.sym 4241 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] -.sym 4243 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] -.sym 4342 I2C.FLT_SDA.out -.sym 4343 $false +.sym 4237 KEYBOARD.RAM.r_data[0] +.sym 4239 KEYBOARD.RAM.r_data[1] +.sym 4241 KEYBOARD.RAM.r_data[2] +.sym 4243 KEYBOARD.RAM.r_data[3] +.sym 4336 KEYBOARD.IS_RAM_INIT$2 +.sym 4337 KBD_COLUMNS[2]$2 +.sym 4338 $false +.sym 4339 $false +.sym 4342 KEYBOARD.IS_RAM_INIT$2 +.sym 4343 KBD_COLUMNS[1]$2 .sym 4344 $false .sym 4345 $false -.sym 4358 $abc$25847$n452 +.sym 4358 $abc$26600$n611 .sym 4359 CLK$2$2 -.sym 4360 $false -.sym 4365 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] -.sym 4367 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] -.sym 4369 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] -.sym 4371 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] -.sym 4481 $abc$25847$n1166_1 -.sym 4482 $abc$25847$n1165 -.sym 4483 $abc$25847$n774 -.sym 4484 $false -.sym 4493 $abc$25847$n1150 -.sym 4494 $abc$25847$n1149 -.sym 4495 $abc$25847$n774 -.sym 4496 $false -.sym 4511 $abc$25847$n1154 -.sym 4512 $abc$25847$n1152 -.sym 4513 $abc$25847$n774 -.sym 4514 $false -.sym 4517 $abc$25847$n1160 -.sym 4518 $abc$25847$n1159 -.sym 4519 $abc$25847$n774 -.sym 4520 $false -.sym 4521 $abc$25847$n267 -.sym 4522 CLK$2$2 -.sym 4523 KEYBOARD.IS_RAM_INIT$2 -.sym 4598 $abc$25847$n1824 -.sym 4599 KEYBOARD.tmr_to_ram[0] -.sym 4600 $abc$25847$n1147 +.sym 4360 $abc$26600$n21$2 +.sym 4365 KEYBOARD.RAM.r_data[4] +.sym 4367 KEYBOARD.RAM.r_data[5] +.sym 4369 KEYBOARD.RAM.r_data[6] +.sym 4371 KEYBOARD.RAM.r_data[7] +.sym 4437 $true +.sym 4474 $abc$26600$n44$2 +.sym 4475 $false +.sym 4476 $abc$26600$n44 +.sym 4477 $false +.sym 4478 $false +.sym 4480 $auto$alumacc.cc:474:replace_alu$7331.C[4] +.sym 4482 $abc$26600$n939 +.sym 4483 $false +.sym 4486 $auto$alumacc.cc:474:replace_alu$7331.C[5] +.sym 4488 $abc$26600$n940 +.sym 4489 $true$2 +.sym 4492 $auto$alumacc.cc:474:replace_alu$7331.C[6] +.sym 4494 $abc$26600$n941 +.sym 4495 $true$2 +.sym 4498 $auto$alumacc.cc:474:replace_alu$7331.C[7] +.sym 4500 $abc$26600$n54 +.sym 4501 $true$2 +.sym 4504 $abc$26600$n2053$2 +.sym 4506 $abc$26600$n55 +.sym 4507 $false +.sym 4514 $abc$26600$n2053$2 +.sym 4517 KEYBOARD.COLUMN_SHADOW[3] +.sym 4518 KEYBOARD.COLUMN_SHADOW[2] +.sym 4519 $abc$26600$n1754 +.sym 4520 $abc$26600$n1752 +.sym 4598 $abc$26600$n54 +.sym 4599 $false +.sym 4600 $false .sym 4601 $false -.sym 4604 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] +.sym 4604 $abc$26600$n55 .sym 4605 $false .sym 4606 $false .sym 4607 $false -.sym 4610 $false +.sym 4610 $abc$26600$n941 .sym 4611 $false -.sym 4612 KEYBOARD.tmr_to_ram[0] +.sym 4612 $false .sym 4613 $false -.sym 4616 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] -.sym 4617 $abc$25847$n1792 -.sym 4618 $abc$25847$n1153 -.sym 4619 $abc$25847$n1755 -.sym 4622 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] -.sym 4623 $false -.sym 4624 $false -.sym 4625 $false -.sym 4628 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] -.sym 4629 $abc$25847$n1796 -.sym 4630 $abc$25847$n1153 -.sym 4631 $abc$25847$n1755 -.sym 4634 $abc$25847$n1830 -.sym 4635 KEYBOARD.tmr_to_ram[3] -.sym 4636 $abc$25847$n1147 -.sym 4637 $false -.sym 4640 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] +.sym 4616 $abc$26600$n44 +.sym 4617 $false +.sym 4618 $false +.sym 4619 $false +.sym 4628 $abc$26600$n940 +.sym 4629 $false +.sym 4630 $false +.sym 4631 $false +.sym 4640 $abc$26600$n939 .sym 4641 $false .sym 4642 $false .sym 4643 $false -.sym 4721 $abc$25847$n1169_1 -.sym 4722 $abc$25847$n1168_1 -.sym 4723 $abc$25847$n774 -.sym 4724 $false -.sym 4739 $abc$25847$n1157 -.sym 4740 $abc$25847$n1156 -.sym 4741 $abc$25847$n774 -.sym 4742 $false -.sym 4745 $abc$25847$n1145 -.sym 4746 $abc$25847$n1755 -.sym 4747 $abc$25847$n1146 -.sym 4748 $abc$25847$n774 -.sym 4751 $abc$25847$n1163 -.sym 4752 $abc$25847$n1162 -.sym 4753 $abc$25847$n774 -.sym 4754 $false -.sym 4767 $abc$25847$n267 -.sym 4768 CLK$2$2 -.sym 4769 KEYBOARD.IS_RAM_INIT$2 -.sym 4844 $abc$25847$n715 -.sym 4845 $abc$25847$n149 -.sym 4846 $false +.sym 4644 $abc$26600$n604$2 +.sym 4645 CLK$2$2 +.sym 4646 $abc$26600$n21$2 +.sym 4721 KEYBOARD.row_time[5] +.sym 4722 KEYBOARD.row_time[6] +.sym 4723 KEYBOARD.row_time[7] +.sym 4724 KEYBOARD.row_time[9] +.sym 4727 $abc$26600$n802 +.sym 4728 $abc$26600$n940 +.sym 4729 $abc$26600$n808 +.sym 4730 $false +.sym 4733 KEYBOARD.row_time[0] +.sym 4734 KEYBOARD.row_time[1] +.sym 4735 $false +.sym 4736 $false +.sym 4739 KEYBOARD.row_time[4] +.sym 4740 KEYBOARD.row_time[8] +.sym 4741 KEYBOARD.row_time[12] +.sym 4742 KEYBOARD.row_time[14] +.sym 4745 KEYBOARD.row_time[5] +.sym 4746 KEYBOARD.row_time[6] +.sym 4747 KEYBOARD.row_time[7] +.sym 4748 KEYBOARD.row_time[9] +.sym 4751 KEYBOARD.row_time[0] +.sym 4752 KEYBOARD.row_time[1] +.sym 4753 KEYBOARD.row_time[2] +.sym 4754 KEYBOARD.row_time[3] +.sym 4757 $abc$26600$n798 +.sym 4758 KEYBOARD.isr_internal +.sym 4759 $abc$26600$n605 +.sym 4760 $abc$26600$n336$2 +.sym 4763 $abc$26600$n731 +.sym 4764 $abc$26600$n1748 +.sym 4765 $false +.sym 4766 $false +.sym 4844 $abc$26600$n44 +.sym 4845 $abc$26600$n54 +.sym 4846 $abc$26600$n874_1 .sym 4847 $false -.sym 4856 $false -.sym 4857 $abc$25847$n21$2 -.sym 4858 rststate[0] +.sym 4850 $abc$26600$n731 +.sym 4851 $abc$26600$n1755 +.sym 4852 $false +.sym 4853 $false +.sym 4856 $abc$26600$n731 +.sym 4857 $abc$26600$n1757 +.sym 4858 $false .sym 4859 $false -.sym 4868 $abc$25847$n1068 -.sym 4869 $abc$25847$n1558 +.sym 4862 $abc$26600$n732 +.sym 4863 $abc$26600$n734 +.sym 4864 $abc$26600$n735 +.sym 4865 $false +.sym 4868 $abc$26600$n731 +.sym 4869 $abc$26600$n1756 .sym 4870 $false .sym 4871 $false -.sym 4890 $true -.sym 4891 CLK$2$2 -.sym 4892 $false -.sym 4929 $true -.sym 4966 $abc$25847$n732$3 -.sym 4967 $false -.sym 4968 $abc$25847$n732 +.sym 4874 $abc$26600$n961_1 +.sym 4875 $abc$26600$n734 +.sym 4876 $abc$26600$n735 +.sym 4877 $false +.sym 4880 $abc$26600$n733_1 +.sym 4881 KEYBOARD.row_time[10] +.sym 4882 KEYBOARD.row_time[11] +.sym 4883 KEYBOARD.row_time[13] +.sym 4886 KEYBOARD.row_time[10] +.sym 4887 KEYBOARD.row_time[11] +.sym 4888 KEYBOARD.row_time[13] +.sym 4889 $abc$26600$n962 +.sym 4967 $abc$26600$n55 +.sym 4968 $abc$26600$n872_1 .sym 4969 $false .sym 4970 $false -.sym 4972 $auto$alumacc.cc:474:replace_alu$6735.C[3] -.sym 4974 $false -.sym 4975 $abc$25847$n730 -.sym 4978 $auto$alumacc.cc:474:replace_alu$6735.C[4] -.sym 4980 $false -.sym 4981 $abc$25847$n729 -.sym 4984 $auto$alumacc.cc:474:replace_alu$6735.C[5] -.sym 4986 $false -.sym 4987 $abc$25847$n727 -.sym 4990 $auto$alumacc.cc:474:replace_alu$6735.C[6] -.sym 4992 $false -.sym 4993 $abc$25847$n726 -.sym 4996 $auto$alumacc.cc:474:replace_alu$6735.C[7] -.sym 4998 $false -.sym 4999 $abc$25847$n724 -.sym 5002 $abc$25847$n1955 -.sym 5004 $false -.sym 5005 $abc$25847$n723 -.sym 5009 $abc$25847$n616 -.sym 5010 $abc$25847$n621 -.sym 5011 $abc$25847$n625 -.sym 5012 $abc$25847$n1955 -.sym 5016 I2C_HID_DESC.VAL[0] -.sym 5017 I2C_HID_DESC.VAL[1] -.sym 5018 I2C_HID_DESC.VAL[2] -.sym 5019 I2C_HID_DESC.VAL[3] -.sym 5020 I2C_HID_DESC.VAL[4] -.sym 5021 I2C_HID_DESC.VAL[5] -.sym 5022 I2C_HID_DESC.VAL[6] -.sym 5023 I2C_HID_DESC.VAL[7] -.sym 5102 I2C.received_byte[2] -.sym 5103 $false -.sym 5104 $false +.sym 4973 $abc$26600$n98 +.sym 4974 $abc$26600$n41 +.sym 4975 $false +.sym 4976 $false +.sym 4979 $abc$26600$n731 +.sym 4980 $abc$26600$n1746 +.sym 4981 $false +.sym 4982 $false +.sym 4985 $abc$26600$n1222 +.sym 4986 $abc$26600$n926 +.sym 4987 $abc$26600$n1322 +.sym 4988 $abc$26600$n1324 +.sym 4991 $abc$26600$n731 +.sym 4992 KEYBOARD.IS_RAM_INIT$2 +.sym 4993 IS_RAM_INIT +.sym 4994 I2C.FLT_SCL.RESET$2 +.sym 4997 $abc$26600$n1746 +.sym 4998 $abc$26600$n55 +.sym 4999 $abc$26600$n98 +.sym 5000 $abc$26600$n1744 +.sym 5003 $abc$26600$n873 +.sym 5004 $abc$26600$n877 +.sym 5005 $false +.sym 5006 $false +.sym 5009 $abc$26600$n41 +.sym 5010 $abc$26600$n98 +.sym 5011 $false +.sym 5012 $false +.sym 5016 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] +.sym 5018 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] +.sym 5020 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] +.sym 5022 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] +.sym 5102 KEYBOARD.IS_RAM_INIT$2 +.sym 5103 $abc$26600$n800 +.sym 5104 $abc$26600$n1737 .sym 5105 $false -.sym 5120 I2C.received_byte[7] -.sym 5121 $false -.sym 5122 $false -.sym 5123 $false -.sym 5213 $abc$25847$n1908 -.sym 5214 $false -.sym 5215 $false -.sym 5216 $false -.sym 5219 $abc$25847$n1907 -.sym 5220 $false -.sym 5221 $false +.sym 5136 $abc$26600$n479 +.sym 5137 CLK$2$2 +.sym 5138 $false +.sym 5139 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] +.sym 5141 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] +.sym 5143 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] +.sym 5145 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] +.sym 5213 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] +.sym 5214 $abc$26600$n1897 +.sym 5215 $abc$26600$n1196 +.sym 5216 $abc$26600$n926 +.sym 5219 $abc$26600$n942 +.sym 5220 $abc$26600$n941_1 +.sym 5221 $abc$26600$n940_1 .sym 5222 $false -.sym 5225 $abc$25847$n1928 -.sym 5226 $false -.sym 5227 $false -.sym 5228 $false -.sym 5243 $abc$25847$n1924 -.sym 5244 $false -.sym 5245 $false -.sym 5246 $false -.sym 5259 $abc$25847$n116 -.sym 5260 CLK$2$2 -.sym 5261 $abc$25847$n17 -.sym 5262 REPORT_DATA.r_data[0] -.sym 5264 REPORT_DATA.r_data[1] -.sym 5266 REPORT_DATA.r_data[2] -.sym 5268 REPORT_DATA.r_data[3] -.sym 5336 ring_wr[3] -.sym 5337 $abc$25847$n1540 -.sym 5338 $abc$25847$n693_1 +.sym 5225 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] +.sym 5226 $abc$26600$n1893 +.sym 5227 $abc$26600$n1196 +.sym 5228 $abc$26600$n926 +.sym 5231 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] +.sym 5232 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] +.sym 5233 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] +.sym 5234 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] +.sym 5237 $abc$26600$n940_1 +.sym 5238 $abc$26600$n2051 +.sym 5239 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] +.sym 5240 $abc$26600$n926 +.sym 5243 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] +.sym 5244 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] +.sym 5245 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] +.sym 5246 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] +.sym 5249 $false +.sym 5250 $false +.sym 5251 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] +.sym 5252 $false +.sym 5255 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] +.sym 5256 $abc$26600$n1900 +.sym 5257 $abc$26600$n1196 +.sym 5258 $abc$26600$n926 +.sym 5336 $abc$26600$n1218 +.sym 5337 $abc$26600$n1219 +.sym 5338 $false .sym 5339 $false -.sym 5342 ring_rd[0] -.sym 5343 $abc$25847$n1531 -.sym 5344 ring_rd[3] -.sym 5345 $abc$25847$n1540 -.sym 5348 $abc$25847$n908 -.sym 5349 $abc$25847$n912 -.sym 5350 $abc$25847$n904 -.sym 5351 $false -.sym 5354 $false -.sym 5355 UART.tx_bit_counter[0] +.sym 5342 $abc$26600$n1200 +.sym 5343 $abc$26600$n809 +.sym 5344 $abc$26600$n1201 +.sym 5345 KEYBOARD.tmr_to_ram[1] +.sym 5354 $abc$26600$n1209 +.sym 5355 $abc$26600$n1210 .sym 5356 $false .sym 5357 $false -.sym 5360 $abc$25847$n526 -.sym 5361 ring_rd[2] -.sym 5362 $abc$25847$n1537 -.sym 5363 $abc$25847$n695 -.sym 5366 CLK$2$2 -.sym 5367 $false -.sym 5368 $false -.sym 5369 $false -.sym 5372 $2\ring_rd[3:0][2] -.sym 5373 $false -.sym 5374 $false -.sym 5375 $false -.sym 5378 $2\ring_wr[3:0][3] -.sym 5379 $false +.sym 5366 $abc$26600$n1895 +.sym 5367 $abc$26600$n1196 +.sym 5368 $abc$26600$n1203 +.sym 5369 $abc$26600$n1204 +.sym 5378 $abc$26600$n1195 +.sym 5379 $abc$26600$n1197 .sym 5380 $false .sym 5381 $false -.sym 5382 $abc$25847$n135$2 +.sym 5382 $abc$26600$n475 .sym 5383 CLK$2$2 -.sym 5384 $abc$25847$n21$2 -.sym 5385 REPORT_DATA.r_data[4] -.sym 5387 REPORT_DATA.r_data[5] -.sym 5389 REPORT_DATA.r_data[6] -.sym 5391 REPORT_DATA.r_data[7] -.sym 5459 $abc$25847$n696 -.sym 5460 $abc$25847$n694 -.sym 5461 KEYBOARD.isr -.sym 5462 last_isr -.sym 5465 REPORT_DATA.r_data[1] -.sym 5466 I2C_HID_DESC.VAL[1] -.sym 5467 I2C_OUT_DESC_MASK[1] -.sym 5468 $false -.sym 5471 $abc$25847$n908 -.sym 5472 $abc$25847$n920_1 -.sym 5473 $abc$25847$n904 +.sym 5384 KEYBOARD.IS_RAM_INIT$2 +.sym 5459 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] +.sym 5460 $false +.sym 5461 $false +.sym 5462 $false +.sym 5465 $abc$26600$n1959 +.sym 5466 KEYBOARD.tmr_to_ram[7] +.sym 5467 $abc$26600$n809 +.sym 5468 $abc$26600$n1198 +.sym 5471 $abc$26600$n1198 +.sym 5472 KEYBOARD.tmr_to_ram[0] +.sym 5473 $false .sym 5474 $false -.sym 5477 ring_rd[1] -.sym 5478 ring_wr[0] -.sym 5479 ring_wr[1] -.sym 5480 $false -.sym 5483 REPORT_DATA.r_data[5] -.sym 5484 I2C_HID_DESC.VAL[5] -.sym 5485 I2C_OUT_DESC_MASK[5] -.sym 5486 $false -.sym 5489 REPORT_DATA.r_data[4] -.sym 5490 I2C_HID_DESC.VAL[4] -.sym 5491 I2C_OUT_DESC_MASK[4] +.sym 5477 $abc$26600$n1958 +.sym 5478 KEYBOARD.tmr_to_ram[6] +.sym 5479 $abc$26600$n809 +.sym 5480 $abc$26600$n1198 +.sym 5483 $abc$26600$n1957 +.sym 5484 KEYBOARD.tmr_to_ram[5] +.sym 5485 $abc$26600$n809 +.sym 5486 $abc$26600$n1198 +.sym 5489 $abc$26600$n790 +.sym 5490 $abc$26600$n1105 +.sym 5491 $false .sym 5492 $false -.sym 5495 REPORT_DATA.r_data[2] -.sym 5496 I2C_HID_DESC.VAL[2] -.sym 5497 I2C_OUT_DESC_MASK[2] -.sym 5498 $false -.sym 5501 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 5502 $false -.sym 5503 $false -.sym 5504 $false -.sym 5505 $abc$25847$n157 -.sym 5506 CLK$2$2 -.sym 5507 $abc$25847$n21$2 -.sym 5508 KEYBOARD.REPORT.r_data[0] -.sym 5510 KEYBOARD.REPORT.r_data[1] -.sym 5512 KEYBOARD.REPORT.r_data[2] -.sym 5514 KEYBOARD.REPORT.r_data[3] -.sym 5582 $abc$25847$n791 -.sym 5583 KEYBOARD.report_adress_rd[2] -.sym 5584 $abc$25847$n793 -.sym 5585 KEYBOARD.report_adress_rd[3] -.sym 5588 KEYBOARD.REPORT.r_data[5] -.sym 5589 KEYBOARD.REPORT.r_data[6] -.sym 5590 $abc$25847$n851 -.sym 5591 $abc$25847$n846_1 -.sym 5594 KEYBOARD.report_wr_en -.sym 5595 $abc$25847$n791 -.sym 5596 KEYBOARD.is_pressed -.sym 5597 $false -.sym 5600 KEYBOARD.report_adress_rd[2] -.sym 5601 $abc$25847$n793 -.sym 5602 KEYBOARD.report_adress_rd[3] -.sym 5603 $abc$25847$n791 -.sym 5606 KEYBOARD.report_adress_rd[0] -.sym 5607 KEYBOARD.report_adress_rd[1] -.sym 5608 $false -.sym 5609 $false -.sym 5612 KEYBOARD.report_adress_rd[2] -.sym 5613 KEYBOARD.report_adress_rd[3] -.sym 5614 $abc$25847$n793 -.sym 5615 $false -.sym 5618 wr_cnt[0] -.sym 5619 $abc$25847$n799 -.sym 5620 $abc$25847$n774 -.sym 5621 KEYBOARD.isr_internal -.sym 5624 KEYBOARD.IS_RAM_INIT$2 -.sym 5625 KEYBOARD.isr_internal -.sym 5626 wr_cnt[2] -.sym 5627 $false -.sym 5631 KEYBOARD.REPORT.r_data[4] -.sym 5633 KEYBOARD.REPORT.r_data[5] -.sym 5635 KEYBOARD.REPORT.r_data[6] -.sym 5637 KEYBOARD.REPORT.r_data[7] -.sym 5705 KEYBOARD.is_pressed -.sym 5706 KEYBOARD.kbd_code_hid[6] -.sym 5707 $abc$25847$n851 -.sym 5708 $abc$25847$n791 -.sym 5711 KEYBOARD.is_pressed -.sym 5712 KEYBOARD.kbd_code_hid[2] -.sym 5713 $abc$25847$n837 -.sym 5714 $abc$25847$n791 -.sym 5723 KEYBOARD.is_pressed -.sym 5724 KEYBOARD.kbd_code_hid[0] -.sym 5725 $abc$25847$n840_1 -.sym 5726 $abc$25847$n791 -.sym 5735 KEYBOARD.is_pressed -.sym 5736 KEYBOARD.kbd_code_hid[7] -.sym 5737 $abc$25847$n1176_1 -.sym 5738 $abc$25847$n791 -.sym 5741 KEYBOARD.is_pressed -.sym 5742 KEYBOARD.kbd_code_hid[5] -.sym 5743 $abc$25847$n846_1 -.sym 5744 $abc$25847$n791 -.sym 5747 KEYBOARD.is_pressed -.sym 5748 KEYBOARD.kbd_code_hid[4] -.sym 5749 $abc$25847$n855 -.sym 5750 $abc$25847$n791 -.sym 5751 $abc$25847$n318 -.sym 5752 CLK$2$2 -.sym 5753 KEYBOARD.IS_RAM_INIT$2 -.sym 5754 KEYBOARD.kbd_code_hid[0] -.sym 5755 KEYBOARD.kbd_code_hid[1] -.sym 5756 KEYBOARD.kbd_code_hid[2] -.sym 5757 KEYBOARD.kbd_code_hid[3] -.sym 5758 KEYBOARD.kbd_code_hid[4] -.sym 5759 KEYBOARD.kbd_code_hid[5] -.sym 5760 KEYBOARD.kbd_code_hid[6] -.sym 5761 KEYBOARD.kbd_code_hid[7] -.sym 5828 KEYBOARD.is_pressed -.sym 5829 KEYBOARD.REPORT.r_data[5] -.sym 5830 $abc$25847$n836 -.sym 5831 KEYBOARD.kbd_code_hid[2] -.sym 5834 $abc$25847$n850 -.sym 5835 KEYBOARD.REPORT.r_data[1] -.sym 5836 KEYBOARD.is_pressed -.sym 5837 $abc$25847$n1256_1 -.sym 5840 KEYBOARD.kbd_code_hid[1] -.sym 5841 KEYBOARD.kbd_code_hid[0] +.sym 5495 $abc$26600$n1956 +.sym 5496 KEYBOARD.tmr_to_ram[4] +.sym 5497 $abc$26600$n809 +.sym 5498 $abc$26600$n1198 +.sym 5501 $abc$26600$n1955 +.sym 5502 KEYBOARD.tmr_to_ram[3] +.sym 5503 $abc$26600$n809 +.sym 5504 $abc$26600$n1198 +.sym 5544 $true +.sym 5581 KEYBOARD.tmr_to_ram[0]$2 +.sym 5582 $false +.sym 5583 KEYBOARD.tmr_to_ram[0] +.sym 5584 $false +.sym 5585 $false +.sym 5587 $auto$alumacc.cc:474:replace_alu$7404.C[2] +.sym 5589 $false +.sym 5590 KEYBOARD.tmr_to_ram[1] +.sym 5593 $auto$alumacc.cc:474:replace_alu$7404.C[3] +.sym 5594 $false +.sym 5595 $false +.sym 5596 KEYBOARD.tmr_to_ram[2] +.sym 5597 $auto$alumacc.cc:474:replace_alu$7404.C[2] +.sym 5599 $auto$alumacc.cc:474:replace_alu$7404.C[4] +.sym 5600 $false +.sym 5601 $false +.sym 5602 KEYBOARD.tmr_to_ram[3] +.sym 5603 $auto$alumacc.cc:474:replace_alu$7404.C[3] +.sym 5605 $auto$alumacc.cc:474:replace_alu$7404.C[5] +.sym 5606 $false +.sym 5607 $false +.sym 5608 KEYBOARD.tmr_to_ram[4] +.sym 5609 $auto$alumacc.cc:474:replace_alu$7404.C[4] +.sym 5611 $auto$alumacc.cc:474:replace_alu$7404.C[6] +.sym 5612 $false +.sym 5613 $false +.sym 5614 KEYBOARD.tmr_to_ram[5] +.sym 5615 $auto$alumacc.cc:474:replace_alu$7404.C[5] +.sym 5617 $auto$alumacc.cc:474:replace_alu$7404.C[7] +.sym 5618 $false +.sym 5619 $false +.sym 5620 KEYBOARD.tmr_to_ram[6] +.sym 5621 $auto$alumacc.cc:474:replace_alu$7404.C[6] +.sym 5624 $false +.sym 5625 $false +.sym 5626 KEYBOARD.tmr_to_ram[7] +.sym 5627 $auto$alumacc.cc:474:replace_alu$7404.C[7] +.sym 5705 $abc$26600$n2021 +.sym 5706 $abc$26600$n655_1 +.sym 5707 $false +.sym 5708 $false +.sym 5717 $abc$26600$n1134 +.sym 5718 I2C.is_read +.sym 5719 $false +.sym 5720 $false +.sym 5723 $abc$26600$n784 +.sym 5724 $abc$26600$n783 +.sym 5725 $abc$26600$n336$2 +.sym 5726 $false +.sym 5840 I2C.FLT_SDA.out +.sym 5841 $false .sym 5842 $false .sym 5843 $false -.sym 5846 wr_cnt[0] -.sym 5847 wr_cnt[1] -.sym 5848 wr_cnt[2] -.sym 5849 wr_cnt[3] -.sym 5852 KEYBOARD.REPORT.r_data[1] -.sym 5853 KEYBOARD.is_pressed -.sym 5854 $abc$25847$n850 -.sym 5855 $false -.sym 5858 KEYBOARD.kbd_code_hid[3] -.sym 5859 KEYBOARD.kbd_code_hid[4] -.sym 5860 KEYBOARD.kbd_code_hid[5] -.sym 5861 KEYBOARD.kbd_code_hid[7] -.sym 5864 KEYBOARD.is_pressed -.sym 5865 KEYBOARD.kbd_code_hid[1] -.sym 5866 $abc$25847$n849 -.sym 5867 $abc$25847$n791 -.sym 5870 KEYBOARD.isr -.sym 5871 $false -.sym 5872 $false -.sym 5873 $false -.sym 5874 $abc$25847$n135$2 +.sym 5874 $abc$26600$n246 .sym 5875 CLK$2$2 -.sym 5876 $abc$25847$n21$2 -.sym 5913 $true -.sym 5950 UART.tx_clk_counter[0]$2 -.sym 5951 $false -.sym 5952 UART.tx_clk_counter[0] -.sym 5953 $false -.sym 5954 $false -.sym 5956 $auto$alumacc.cc:474:replace_alu$6796.C[2]$2 -.sym 5958 UART.tx_clk_counter[1] -.sym 5959 $true$2 -.sym 5962 $auto$alumacc.cc:474:replace_alu$6796.C[3] -.sym 5964 UART.tx_clk_counter[2] -.sym 5965 $true$2 -.sym 5966 $auto$alumacc.cc:474:replace_alu$6796.C[2]$2 -.sym 5969 $false -.sym 5970 UART.tx_clk_counter[3] -.sym 5971 $false -.sym 5972 $auto$alumacc.cc:474:replace_alu$6796.C[3] -.sym 5975 $false -.sym 5976 UART.tx_clk_counter[2] -.sym 5977 $false -.sym 5978 $auto$alumacc.cc:474:replace_alu$6796.C[2] -.sym 5981 $abc$25847$n1169 -.sym 5982 $abc$25847$n883 -.sym 5983 $abc$25847$n886 -.sym 5984 $abc$25847$n887 -.sym 5987 KEYBOARD.isr -.sym 5988 last_isr -.sym 5989 $abc$25847$n149 -.sym 5990 $abc$25847$n732_1 -.sym 6080 $abc$25847$n726_1 -.sym 6081 $abc$25847$n886 -.sym 6082 $false -.sym 6083 $false -.sym 6086 $abc$25847$n726_1 -.sym 6087 $abc$25847$n883 -.sym 6088 $false -.sym 6089 $false -.sym 6092 $abc$25847$n1169 -.sym 6093 $false -.sym 6094 $false -.sym 6095 $false -.sym 6116 $abc$25847$n726_1 -.sym 6117 $abc$25847$n887 -.sym 6118 $false -.sym 6119 $false -.sym 6120 $abc$25847$n115 +.sym 5876 $false +.sym 5951 $abc$26600$n1254 +.sym 5952 $abc$26600$n589 +.sym 5953 $abc$26600$n584 +.sym 5954 UART.tx_activity +.sym 5997 $abc$26600$n317 +.sym 5998 CLK$2$2 +.sym 5999 $false +.sym 6110 I2C.FLT_SDA.out +.sym 6111 $false +.sym 6112 $false +.sym 6113 $false +.sym 6120 $abc$26600$n267 .sym 6121 CLK$2$2 -.sym 6122 $abc$25847$n17 -.sym 6197 report_wr_en -.sym 6198 $abc$25847$n736 -.sym 6199 IS_RAM_INIT -.sym 6200 $false +.sym 6122 $false +.sym 6159 $false +.sym 6196 $auto$alumacc.cc:474:replace_alu$7377.C[1] +.sym 6198 $abc$26600$n21$2 +.sym 6199 rststate[0] +.sym 6202 $auto$alumacc.cc:474:replace_alu$7377.C[2] +.sym 6203 $false +.sym 6204 $false +.sym 6205 rststate[1] +.sym 6206 $auto$alumacc.cc:474:replace_alu$7377.C[1] +.sym 6208 $auto$alumacc.cc:474:replace_alu$7377.C[3] +.sym 6209 $false +.sym 6210 $false +.sym 6211 rststate[2] +.sym 6212 $auto$alumacc.cc:474:replace_alu$7377.C[2] +.sym 6215 $false +.sym 6216 $false +.sym 6217 rststate[3] +.sym 6218 $auto$alumacc.cc:474:replace_alu$7377.C[3] +.sym 6221 rststate[3] +.sym 6222 rststate[2] +.sym 6223 rststate[1] +.sym 6224 rststate[0] +.sym 6227 $abc$26600$n1104 +.sym 6228 $abc$26600$n1638 +.sym 6229 $false +.sym 6230 $false +.sym 6233 $abc$26600$n1104 +.sym 6234 $abc$26600$n1642 +.sym 6235 $false +.sym 6236 $false +.sym 6239 $abc$26600$n1104 +.sym 6240 $abc$26600$n1640 +.sym 6241 $false +.sym 6242 $false .sym 6243 $true .sym 6244 CLK$2$2 -.sym 6245 $abc$25847$n21$2 -.sym 6348 KEYBOARD.tmr_wr_en +.sym 6245 $false +.sym 6346 $abc$26600$n935_1 +.sym 6347 $abc$26600$n1228 +.sym 6348 $abc$26600$n1322 +.sym 6349 $abc$26600$n1321 +.sym 6350 $abc$26600$n1320 +.sym 6351 $abc$26600$n934_1 +.sym 6352 $abc$26600$n886_1 +.sym 6353 $abc$26600$n936 .sym 6418 KBD_COLUMNS[0]$2 .sym 6420 KBD_COLUMNS[1]$2 -.sym 6422 $abc$25847$n1165 -.sym 6423 $abc$25847$n1149 -.sym 6424 $abc$25847$n891 -.sym 6425 $abc$25847$n890 -.sym 6426 $abc$25847$n1160 -.sym 6427 $abc$25847$n1159 -.sym 6428 $abc$25847$n889_1 -.sym 6429 $abc$25847$n1152 +.sym 6424 $abc$26600$n132 +.sym 6425 $abc$26600$n133 +.sym 6426 $abc$26600$n135 +.sym 6427 $abc$26600$n136 +.sym 6428 $abc$26600$n138 +.sym 6429 $abc$26600$n1692 .sym 6430 $undef .sym 6431 $undef .sym 6432 $undef @@ -5158,34 +5088,36 @@ .sym 6435 $undef .sym 6436 $undef .sym 6437 $undef -.sym 6438 KEYBOARD.row_time[8] -.sym 6439 KEYBOARD.row_time[9] +.sym 6438 KEYBOARD.last_adr[0] +.sym 6439 KEYBOARD.last_adr[1] .sym 6440 $false -.sym 6441 KEYBOARD.row_time[10] -.sym 6442 KEYBOARD.row_counter[0] -.sym 6443 KEYBOARD.row_counter[1] -.sym 6444 KEYBOARD.row_counter[2] -.sym 6445 KEYBOARD.row_counter[3] -.sym 6446 $false -.sym 6447 $false +.sym 6441 KEYBOARD.last_adr[2] +.sym 6442 KEYBOARD.last_adr[3] +.sym 6443 KEYBOARD.last_adr[4] +.sym 6444 KEYBOARD.last_adr[5] +.sym 6445 KEYBOARD.last_adr[6] +.sym 6446 KEYBOARD.last_adr[7] +.sym 6447 KEYBOARD.last_adr[8] .sym 6448 $false .sym 6449 CLK$2$2 -.sym 6450 KEYBOARD.tmr_wr_en -.sym 6451 KEYBOARD.tmr_to_ram[0] +.sym 6450 KEYBOARD.last_wr +.sym 6451 KEYBOARD.COLUMN_SHADOW[0] .sym 6452 $undef -.sym 6453 KEYBOARD.tmr_to_ram[1] +.sym 6453 KEYBOARD.COLUMN_SHADOW[1] .sym 6454 $undef -.sym 6455 KEYBOARD.tmr_to_ram[2] +.sym 6455 KEYBOARD.COLUMN_SHADOW[2] .sym 6456 $undef -.sym 6457 KEYBOARD.tmr_to_ram[3] +.sym 6457 KEYBOARD.COLUMN_SHADOW[3] .sym 6458 $undef .sym 6459 $true$2 -.sym 6562 $abc$25847$n1786 -.sym 6563 $abc$25847$n1788 -.sym 6564 $abc$25847$n1790 -.sym 6565 $abc$25847$n1792 -.sym 6566 $abc$25847$n1794 -.sym 6567 $abc$25847$n1796 +.sym 6560 $abc$26600$n144 +.sym 6561 $abc$26600$n1226 +.sym 6562 $abc$26600$n881 +.sym 6563 $abc$26600$n887 +.sym 6564 $abc$26600$n1227 +.sym 6565 $abc$26600$n1183 +.sym 6566 $abc$26600$n939 +.sym 6567 $abc$26600$n1222 .sym 6568 $undef .sym 6569 $undef .sym 6570 $undef @@ -5194,4082 +5126,4434 @@ .sym 6573 $undef .sym 6574 $undef .sym 6575 $undef -.sym 6576 KEYBOARD.row_time[8] -.sym 6577 KEYBOARD.row_time[9] +.sym 6576 KEYBOARD.last_adr[0] +.sym 6577 KEYBOARD.last_adr[1] .sym 6578 $false -.sym 6579 KEYBOARD.row_time[10] -.sym 6580 KEYBOARD.row_counter[0] -.sym 6581 KEYBOARD.row_counter[1] -.sym 6582 KEYBOARD.row_counter[2] -.sym 6583 KEYBOARD.row_counter[3] -.sym 6584 $false -.sym 6585 $false +.sym 6579 KEYBOARD.last_adr[2] +.sym 6580 KEYBOARD.last_adr[3] +.sym 6581 KEYBOARD.last_adr[4] +.sym 6582 KEYBOARD.last_adr[5] +.sym 6583 KEYBOARD.last_adr[6] +.sym 6584 KEYBOARD.last_adr[7] +.sym 6585 KEYBOARD.last_adr[8] .sym 6586 $false .sym 6587 CLK$2$2 .sym 6588 $true .sym 6589 $true$2 -.sym 6590 KEYBOARD.tmr_to_ram[5] +.sym 6590 KEYBOARD.COLUMN_SHADOW[5] .sym 6591 $undef -.sym 6592 KEYBOARD.tmr_to_ram[6] +.sym 6592 KEYBOARD.COLUMN_SHADOW[6] .sym 6593 $undef -.sym 6594 KEYBOARD.tmr_to_ram[7] +.sym 6594 KEYBOARD.COLUMN_SHADOW[7] .sym 6595 $undef -.sym 6596 KEYBOARD.tmr_to_ram[4] +.sym 6596 KEYBOARD.COLUMN_SHADOW[4] .sym 6597 $undef -.sym 6662 $abc$25847$n1150 -.sym 6663 $abc$25847$n1156 -.sym 6664 $abc$25847$n1153 -.sym 6668 $abc$25847$n1782 -.sym 6669 $abc$25847$n1145 -.sym 6766 $abc$25847$n1335 -.sym 6770 $abc$25847$n715 -.sym 6771 $abc$25847$n726 -.sym 6870 KEYBOARD.report_wr_en -.sym 6969 $abc$25847$n1244 -.sym 6971 $abc$25847$n621 -.sym 6972 $abc$25847$n1217 -.sym 6973 $abc$25847$n606_1 -.sym 6974 $abc$25847$n616 -.sym 7071 $abc$25847$n613 -.sym 7072 $abc$25847$n1139 -.sym 7074 $abc$25847$n1907 -.sym 7075 $abc$25847$n728 -.sym 7076 $abc$25847$n729_1 -.sym 7086 $false -.sym 7087 $false +.sym 6664 $abc$26600$n1746 +.sym 6665 $abc$26600$n1747 +.sym 6666 $abc$26600$n1748 +.sym 6667 $abc$26600$n1749 +.sym 6668 $abc$26600$n1750 +.sym 6669 $abc$26600$n1751 +.sym 6764 $abc$26600$n1752 +.sym 6765 $abc$26600$n1753 +.sym 6766 $abc$26600$n1754 +.sym 6767 $abc$26600$n1755 +.sym 6768 $abc$26600$n1756 +.sym 6769 $abc$26600$n1757 +.sym 6770 $abc$26600$n1758 +.sym 6771 $abc$26600$n874_1 +.sym 6866 $abc$26600$n1182 +.sym 6867 $abc$26600$n810 +.sym 6868 $abc$26600$n1744 +.sym 6869 $abc$26600$n948_1 +.sym 6870 $abc$26600$n799 +.sym 6871 $abc$26600$n1181 +.sym 6872 $abc$26600$n798 +.sym 6873 $abc$26600$n800 +.sym 6972 KEYBOARD.is_ghost +.sym 7070 KEYBOARD.last_wr +.sym 7078 $undef +.sym 7079 $undef +.sym 7080 $undef +.sym 7081 $undef +.sym 7082 $undef +.sym 7083 $undef +.sym 7084 $undef +.sym 7085 $undef +.sym 7086 KEYBOARD.row_time[8] +.sym 7087 KEYBOARD.row_time[9] .sym 7088 $false -.sym 7089 $false -.sym 7090 $false -.sym 7091 $false -.sym 7092 $false -.sym 7093 $false +.sym 7089 KEYBOARD.row_time[10] +.sym 7090 KEYBOARD.row_counter[0] +.sym 7091 KEYBOARD.row_counter[1] +.sym 7092 KEYBOARD.row_counter[2] +.sym 7093 KEYBOARD.row_counter[3] .sym 7094 $false .sym 7095 $false .sym 7096 $false -.sym 7097 $false -.sym 7098 $false$2 -.sym 7099 $false -.sym 7100 $false -.sym 7101 $false -.sym 7102 $false -.sym 7103 $false -.sym 7104 $false -.sym 7105 $false -.sym 7106 $false -.sym 7107 $false -.sym 7172 $abc$25847$n953_1 -.sym 7173 $abc$25847$n452 -.sym 7175 $abc$25847$n157 -.sym 7176 $abc$25847$n462 -.sym 7177 $2\ring_wr[3:0][2] -.sym 7178 $false$2 -.sym 7179 I2C_OUT_DESC_MASK[3] -.sym 7188 I2C_COUNTER[0] -.sym 7189 I2C_COUNTER[1] +.sym 7097 CLK$2$2 +.sym 7098 KEYBOARD.tmr_wr_en +.sym 7099 KEYBOARD.tmr_to_ram[0] +.sym 7100 $undef +.sym 7101 KEYBOARD.tmr_to_ram[1] +.sym 7102 $undef +.sym 7103 KEYBOARD.tmr_to_ram[2] +.sym 7104 $undef +.sym 7105 KEYBOARD.tmr_to_ram[3] +.sym 7106 $undef +.sym 7107 $true$2 +.sym 7172 $abc$26600$n1952 +.sym 7173 $abc$26600$n1204 +.sym 7174 $abc$26600$n1197 +.sym 7178 $abc$26600$n1200 +.sym 7179 $abc$26600$n850 +.sym 7180 $undef +.sym 7181 $undef +.sym 7182 $undef +.sym 7183 $undef +.sym 7184 $undef +.sym 7185 $undef +.sym 7186 $undef +.sym 7187 $undef +.sym 7188 KEYBOARD.row_time[8] +.sym 7189 KEYBOARD.row_time[9] .sym 7190 $false -.sym 7191 I2C_COUNTER[2] -.sym 7192 I2C_COUNTER[3] -.sym 7193 I2C_COUNTER[4] -.sym 7194 I2C_COUNTER[5] -.sym 7195 I2C_COUNTER[6] -.sym 7196 I2C_HID_DESC.DESC_TYPE +.sym 7191 KEYBOARD.row_time[10] +.sym 7192 KEYBOARD.row_counter[0] +.sym 7193 KEYBOARD.row_counter[1] +.sym 7194 KEYBOARD.row_counter[2] +.sym 7195 KEYBOARD.row_counter[3] +.sym 7196 $false .sym 7197 $false .sym 7198 $false -.sym 7199 I2C_HID_DESC.CLK +.sym 7199 CLK$2$2 .sym 7200 $true .sym 7201 $true$2 -.sym 7202 $false -.sym 7203 $false -.sym 7204 $false -.sym 7205 $false -.sym 7206 $false -.sym 7207 $false -.sym 7208 $false -.sym 7209 $false -.sym 7274 $abc$25847$n492 -.sym 7275 $abc$25847$n946_1 -.sym 7276 $abc$25847$n485 -.sym 7277 $abc$25847$n954 -.sym 7278 $abc$25847$n950_1 -.sym 7279 I2C_OUT_DESC_MASK[0] -.sym 7280 I2C_OUT_DESC_MASK[6] -.sym 7281 I2C_OUT_DESC_MASK[7] -.sym 7282 $undef -.sym 7283 $undef -.sym 7284 $undef -.sym 7285 $undef -.sym 7286 $undef -.sym 7287 $undef -.sym 7288 $undef -.sym 7289 $undef -.sym 7290 wr_cnt[0] -.sym 7291 wr_cnt[1] -.sym 7292 $false -.sym 7293 wr_cnt[2] -.sym 7294 wr_cnt[3] -.sym 7295 ring_wr[0] -.sym 7296 ring_wr[1] -.sym 7297 ring_wr[2] -.sym 7298 ring_wr[3] -.sym 7299 $false -.sym 7300 $false -.sym 7301 I2C_HID_DESC.CLK -.sym 7302 report_wr_en -.sym 7303 KEYBOARD.REPORT.r_data[0] -.sym 7304 $undef -.sym 7305 KEYBOARD.REPORT.r_data[1] -.sym 7306 $undef -.sym 7307 KEYBOARD.REPORT.r_data[2] -.sym 7308 $undef -.sym 7309 KEYBOARD.REPORT.r_data[3] -.sym 7310 $undef -.sym 7311 $true$2 -.sym 7376 $abc$25847$n284 -.sym 7377 $abc$25847$n797 -.sym 7378 $abc$25847$n796 -.sym 7379 $abc$25847$n586_1 -.sym 7380 $abc$25847$n871_1 -.sym 7381 $abc$25847$n1138 -.sym 7382 $abc$25847$n870 -.sym 7383 $abc$25847$n869 -.sym 7384 $undef -.sym 7385 $undef -.sym 7386 $undef -.sym 7387 $undef -.sym 7388 $undef -.sym 7389 $undef -.sym 7390 $undef -.sym 7391 $undef -.sym 7392 I2C_COUNTER[0] -.sym 7393 I2C_COUNTER[1] -.sym 7394 $false -.sym 7395 I2C_COUNTER[2] -.sym 7396 I2C_COUNTER[3] -.sym 7397 ring_rd[0] -.sym 7398 ring_rd[1] -.sym 7399 ring_rd[2] -.sym 7400 ring_rd[3] -.sym 7401 $false -.sym 7402 $false -.sym 7403 I2C_HID_DESC.CLK -.sym 7404 $true -.sym 7405 $true$2 -.sym 7406 KEYBOARD.REPORT.r_data[5] -.sym 7407 $undef -.sym 7408 KEYBOARD.REPORT.r_data[6] -.sym 7409 $undef -.sym 7410 KEYBOARD.REPORT.r_data[7] -.sym 7411 $undef -.sym 7412 KEYBOARD.REPORT.r_data[4] -.sym 7413 $undef -.sym 7478 $abc$25847$n806 -.sym 7479 $abc$25847$n804 -.sym 7480 $abc$25847$n1254_1 -.sym 7481 $abc$25847$n851 -.sym 7482 $abc$25847$n837 -.sym 7483 $abc$25847$n855 -.sym 7484 $abc$25847$n803 -.sym 7485 $abc$25847$n805_1 -.sym 7486 $undef -.sym 7487 $undef -.sym 7488 $undef -.sym 7489 $undef -.sym 7490 $undef -.sym 7491 $undef -.sym 7492 $undef -.sym 7493 $undef -.sym 7494 KEYBOARD.report_adress_rd[0] -.sym 7495 KEYBOARD.report_adress_rd[1] -.sym 7496 $false -.sym 7497 KEYBOARD.report_adress_rd[2] -.sym 7498 KEYBOARD.report_adress_rd[3] -.sym 7499 $false -.sym 7500 $false -.sym 7501 $false -.sym 7502 $false -.sym 7503 $false -.sym 7504 $false -.sym 7505 CLK$2$2 -.sym 7506 KEYBOARD.report_wr_en -.sym 7507 KEYBOARD.report_data_wr[0] -.sym 7508 $undef -.sym 7509 KEYBOARD.report_data_wr[1] -.sym 7510 $undef -.sym 7511 KEYBOARD.report_data_wr[2] -.sym 7512 $undef -.sym 7513 KEYBOARD.report_data_wr[3] -.sym 7514 $undef -.sym 7515 $true$2 -.sym 7580 $abc$25847$n1259_1 -.sym 7581 $abc$25847$n784 -.sym 7582 $abc$25847$n850 -.sym 7583 $abc$25847$n783 -.sym 7584 $abc$25847$n1128 -.sym 7585 $abc$25847$n840_1 -.sym 7586 $abc$25847$n1262_1 -.sym 7587 $abc$25847$n807 -.sym 7588 $undef -.sym 7589 $undef -.sym 7590 $undef -.sym 7591 $undef -.sym 7592 $undef -.sym 7593 $undef -.sym 7594 $undef -.sym 7595 $undef -.sym 7596 KEYBOARD.report_adress_rd[0] -.sym 7597 KEYBOARD.report_adress_rd[1] -.sym 7598 $false -.sym 7599 KEYBOARD.report_adress_rd[2] -.sym 7600 KEYBOARD.report_adress_rd[3] -.sym 7601 $false -.sym 7602 $false -.sym 7603 $false -.sym 7604 $false -.sym 7605 $false -.sym 7606 $false -.sym 7607 CLK$2$2 -.sym 7608 $true -.sym 7609 $true$2 -.sym 7610 KEYBOARD.report_data_wr[5] -.sym 7611 $undef -.sym 7612 KEYBOARD.report_data_wr[6] -.sym 7613 $undef -.sym 7614 KEYBOARD.report_data_wr[7] -.sym 7615 $undef -.sym 7616 KEYBOARD.report_data_wr[4] -.sym 7617 $undef -.sym 7682 $abc$25847$n883 -.sym 7683 $abc$25847$n131 -.sym 7684 $abc$25847$n734 -.sym 7685 $abc$25847$n1169 -.sym 7686 $abc$25847$n792 -.sym 7687 $abc$25847$n791 -.sym 7688 $abc$25847$n1061_1 -.sym 7689 report_wr_en -.sym 7698 $false -.sym 7699 $false -.sym 7700 $false -.sym 7701 $false -.sym 7702 $false -.sym 7703 $false -.sym 7704 $false -.sym 7705 $false -.sym 7706 $false -.sym 7707 $false -.sym 7708 $false -.sym 7709 $false -.sym 7710 $false$2 -.sym 7711 $false -.sym 7712 $false -.sym 7713 $false -.sym 7714 $false -.sym 7715 $false -.sym 7716 $false -.sym 7717 $false -.sym 7718 $false -.sym 7719 $false -.sym 7784 $abc$25847$n735 -.sym 7785 $abc$25847$n17 -.sym 7786 COM_TX$2 -.sym 7787 wr_cnt[3] -.sym 7788 wr_cnt[0] -.sym 7790 wr_cnt[2] -.sym 7791 wr_cnt[1] -.sym 7800 KEYBOARD.row_time[8] -.sym 7801 KEYBOARD.row_time[9] -.sym 7802 $false -.sym 7803 KEYBOARD.row_time[10] -.sym 7804 KEYBOARD.row_counter[0] -.sym 7805 KEYBOARD.row_counter[1] -.sym 7806 KEYBOARD.row_counter[2] -.sym 7807 KEYBOARD.row_counter[3] -.sym 7808 $false -.sym 7809 $false -.sym 7810 $false -.sym 7811 CLK$2$2 -.sym 7812 $true -.sym 7813 $true$2 -.sym 7814 $false -.sym 7815 $false -.sym 7816 $false -.sym 7817 $false -.sym 7818 $false -.sym 7819 $false -.sym 7820 $false -.sym 7821 $false -.sym 7888 $abc$25847$n900 -.sym 7889 $abc$25847$n901 -.sym 7890 $abc$25847$n897 -.sym 7891 $abc$25847$n737 -.sym 7892 $abc$25847$n736 -.sym 8119 $abc$25847$n1921 -.sym 8120 $abc$25847$n1923 -.sym 8123 I2C_COUNTER[6] -.sym 8125 I2C_COUNTER[4] -.sym 8205 KEYBOARD.IS_RAM_INIT$2 -.sym 8206 $abc$25847$n761 -.sym 8207 $abc$25847$n1659 -.sym 8208 $false -.sym 8239 $abc$25847$n284 -.sym 8240 CLK$2$2 -.sym 8241 $false +.sym 7202 KEYBOARD.tmr_to_ram[5] +.sym 7203 $undef +.sym 7204 KEYBOARD.tmr_to_ram[6] +.sym 7205 $undef +.sym 7206 KEYBOARD.tmr_to_ram[7] +.sym 7207 $undef +.sym 7208 KEYBOARD.tmr_to_ram[4] +.sym 7209 $undef +.sym 7276 $abc$26600$n1485 +.sym 7277 $abc$26600$n1487 +.sym 7278 $abc$26600$n1489 +.sym 7279 $abc$26600$n1491 +.sym 7280 $abc$26600$n1493 +.sym 7281 $abc$26600$n2022 +.sym 7376 $abc$26600$n660 +.sym 7377 $abc$26600$n2021 +.sym 7378 $abc$26600$n658_1 +.sym 7379 $abc$26600$n663 +.sym 7380 $abc$26600$n2024 +.sym 7381 $abc$26600$n664 +.sym 7382 $abc$26600$n657 +.sym 7383 $abc$26600$n2025 +.sym 7479 $abc$26600$n784 +.sym 7481 $abc$26600$n650 +.sym 7482 $abc$26600$n655_1 +.sym 7483 last_wr +.sym 7581 I2C_TRANS +.sym 7582 $abc$26600$n2029 +.sym 7682 $abc$26600$n2011 +.sym 7688 $abc$26600$n302 +.sym 7788 $abc$26600$n1254 +.sym 7789 $abc$26600$n2010 +.sym 7790 $abc$26600$n2028 +.sym 7791 $abc$26600$n2031 +.sym 7890 $abc$26600$n21 +.sym 8118 $abc$26600$n879 +.sym 8119 $abc$26600$n1307_1 +.sym 8120 $abc$26600$n1309_1 +.sym 8121 $abc$26600$n1225 +.sym 8122 $abc$26600$n1224 +.sym 8123 $abc$26600$n882 +.sym 8124 $abc$26600$n1223 +.sym 8125 $abc$26600$n1822 +.sym 8193 $abc$26600$n1754 +.sym 8194 $abc$26600$n1752 +.sym 8195 $abc$26600$n881 +.sym 8196 $false +.sym 8199 KEYBOARD.COLUMN_SHADOW[1] +.sym 8200 $abc$26600$n1754 +.sym 8201 KEYBOARD.COLUMN_SHADOW[5] +.sym 8202 $abc$26600$n887 +.sym 8205 $abc$26600$n883 +.sym 8206 $abc$26600$n1321 +.sym 8207 $abc$26600$n936 +.sym 8208 $abc$26600$n934_1 +.sym 8211 KEYBOARD.RAM.r_data[0] +.sym 8212 KEYBOARD.RAM.r_data[4] +.sym 8213 $abc$26600$n881 +.sym 8214 $abc$26600$n1320 +.sym 8217 KEYBOARD.RAM.r_data[2] +.sym 8218 KEYBOARD.RAM.r_data[6] +.sym 8219 $abc$26600$n881 +.sym 8220 $abc$26600$n884 +.sym 8223 KEYBOARD.RAM.r_data[3] +.sym 8224 $abc$26600$n935_1 +.sym 8225 $abc$26600$n880 +.sym 8226 KEYBOARD.RAM.r_data[7] +.sym 8229 KEYBOARD.COLUMN_SHADOW[1] +.sym 8230 KEYBOARD.COLUMN_SHADOW[5] +.sym 8231 $abc$26600$n884 +.sym 8232 $abc$26600$n887 +.sym 8235 KEYBOARD.RAM.r_data[1] +.sym 8236 KEYBOARD.RAM.r_data[5] +.sym 8237 $abc$26600$n884 +.sym 8238 $abc$26600$n887 .sym 8242 KBD_COLUMNS[2]$2 .sym 8244 KBD_COLUMNS[3]$2 -.sym 8248 $abc$25847$n1407 -.sym 8249 $abc$25847$n1409 -.sym 8250 $abc$25847$n1411 -.sym 8251 $abc$25847$n1413 -.sym 8252 $abc$25847$n1415 -.sym 8253 $abc$25847$n1919 -.sym 8356 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] -.sym 8357 $abc$25847$n1794 -.sym 8358 $abc$25847$n1153 -.sym 8359 $abc$25847$n1755 -.sym 8362 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] -.sym 8363 $abc$25847$n1948 -.sym 8364 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] -.sym 8365 $abc$25847$n1755 -.sym 8368 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] -.sym 8369 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] -.sym 8370 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] -.sym 8371 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] -.sym 8374 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] -.sym 8375 $abc$25847$n891 -.sym 8376 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] -.sym 8377 $false -.sym 8380 $abc$25847$n1832 -.sym 8381 KEYBOARD.tmr_to_ram[4] -.sym 8382 $abc$25847$n1147 -.sym 8383 $false -.sym 8386 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] -.sym 8387 $abc$25847$n1790 -.sym 8388 $abc$25847$n1153 -.sym 8389 $abc$25847$n1755 -.sym 8392 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] -.sym 8393 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] -.sym 8394 $abc$25847$n890 -.sym 8395 $false -.sym 8398 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] -.sym 8399 $abc$25847$n1786 -.sym 8400 $abc$25847$n1153 -.sym 8401 $abc$25847$n1755 -.sym 8405 $abc$25847$n1917 -.sym 8406 $abc$25847$n711 -.sym 8408 $abc$25847$n708 -.sym 8410 $abc$25847$n702 -.sym 8411 $abc$25847$n712 -.sym 8441 $true -.sym 8478 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0]$2 +.sym 8246 KEYBOARD.last_adr[5] +.sym 8247 KEYBOARD.last_adr[2] +.sym 8248 KEYBOARD.row_time[8] +.sym 8249 KEYBOARD.last_adr[7] +.sym 8250 KEYBOARD.last_adr[8] +.sym 8252 KEYBOARD.row_time[10] +.sym 8318 $true +.sym 8355 KEYBOARD.last_adr[0]$2 +.sym 8356 $false +.sym 8357 KEYBOARD.last_adr[0] +.sym 8358 $false +.sym 8359 $false +.sym 8361 $auto$alumacc.cc:474:replace_alu$7410.C[2] +.sym 8363 $false +.sym 8364 KEYBOARD.last_adr[1] +.sym 8367 $auto$alumacc.cc:474:replace_alu$7410.C[3] +.sym 8368 $false +.sym 8369 $false +.sym 8370 KEYBOARD.last_adr[2] +.sym 8371 $auto$alumacc.cc:474:replace_alu$7410.C[2] +.sym 8373 $auto$alumacc.cc:474:replace_alu$7410.C[4] +.sym 8374 $false +.sym 8375 $false +.sym 8376 KEYBOARD.last_adr[3] +.sym 8377 $auto$alumacc.cc:474:replace_alu$7410.C[3] +.sym 8379 $auto$alumacc.cc:474:replace_alu$7410.C[5] +.sym 8380 $false +.sym 8381 $false +.sym 8382 KEYBOARD.last_adr[4] +.sym 8383 $auto$alumacc.cc:474:replace_alu$7410.C[4] +.sym 8385 $auto$alumacc.cc:474:replace_alu$7410.C[6] +.sym 8386 $false +.sym 8387 $false +.sym 8388 KEYBOARD.last_adr[5] +.sym 8389 $auto$alumacc.cc:474:replace_alu$7410.C[5] +.sym 8391 $auto$alumacc.cc:474:replace_alu$7410.C[7] +.sym 8392 $false +.sym 8393 $false +.sym 8394 KEYBOARD.last_adr[6] +.sym 8395 $auto$alumacc.cc:474:replace_alu$7410.C[6] +.sym 8397 $auto$alumacc.cc:474:replace_alu$7410.C[8] +.sym 8398 $false +.sym 8399 $false +.sym 8400 KEYBOARD.last_adr[7] +.sym 8401 $auto$alumacc.cc:474:replace_alu$7410.C[7] +.sym 8405 $abc$26600$n891 +.sym 8406 $abc$26600$n884 +.sym 8407 $abc$26600$n1114 +.sym 8408 $abc$26600$n883 +.sym 8409 $abc$26600$n1130 +.sym 8410 $abc$26600$n1148_1 +.sym 8411 $abc$26600$n1142_1 +.sym 8412 $abc$26600$n880 .sym 8479 $false -.sym 8480 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] -.sym 8481 $false -.sym 8482 $false -.sym 8484 $auto$alumacc.cc:474:replace_alu$6787.C[2] -.sym 8486 $false -.sym 8487 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] -.sym 8490 $auto$alumacc.cc:474:replace_alu$6787.C[3] -.sym 8491 $false -.sym 8492 $false -.sym 8493 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] -.sym 8494 $auto$alumacc.cc:474:replace_alu$6787.C[2] -.sym 8496 $auto$alumacc.cc:474:replace_alu$6787.C[4] -.sym 8497 $false -.sym 8498 $false -.sym 8499 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] -.sym 8500 $auto$alumacc.cc:474:replace_alu$6787.C[3] -.sym 8502 $auto$alumacc.cc:474:replace_alu$6787.C[5] -.sym 8503 $false -.sym 8504 $false -.sym 8505 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] -.sym 8506 $auto$alumacc.cc:474:replace_alu$6787.C[4] -.sym 8508 $auto$alumacc.cc:474:replace_alu$6787.C[6] -.sym 8509 $false -.sym 8510 $false -.sym 8511 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] -.sym 8512 $auto$alumacc.cc:474:replace_alu$6787.C[5] -.sym 8514 $auto$alumacc.cc:474:replace_alu$6787.C[7] -.sym 8515 $false -.sym 8516 $false -.sym 8517 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] -.sym 8518 $auto$alumacc.cc:474:replace_alu$6787.C[6] -.sym 8521 $false -.sym 8522 $false -.sym 8523 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] -.sym 8524 $auto$alumacc.cc:474:replace_alu$6787.C[7] -.sym 8528 $abc$25847$n654 -.sym 8529 $abc$25847$n655 -.sym 8531 $abc$25847$n656 -.sym 8535 I2C_COUNTER[2] -.sym 8602 $abc$25847$n1147 -.sym 8603 KEYBOARD.tmr_to_ram[0] -.sym 8604 KEYBOARD.tmr_to_ram[1] +.sym 8480 $false +.sym 8481 KEYBOARD.last_adr[8] +.sym 8482 $auto$alumacc.cc:474:replace_alu$7410.C[8] +.sym 8485 $abc$26600$n883 +.sym 8486 $abc$26600$n881 +.sym 8487 $abc$26600$n884 +.sym 8488 KEYBOARD.COLUMN_SHADOW[4] +.sym 8491 $abc$26600$n731 +.sym 8492 $abc$26600$n1753 +.sym 8493 $false +.sym 8494 $false +.sym 8497 $abc$26600$n731 +.sym 8498 $abc$26600$n1753 +.sym 8499 $abc$26600$n1752 +.sym 8500 $false +.sym 8503 $abc$26600$n1752 +.sym 8504 $abc$26600$n1754 +.sym 8505 $abc$26600$n881 +.sym 8506 KEYBOARD.COLUMN_SHADOW[2] +.sym 8509 $abc$26600$n880 +.sym 8510 $abc$26600$n2053 +.sym 8511 $false +.sym 8512 $false +.sym 8515 $abc$26600$n731 +.sym 8516 $abc$26600$n1747 +.sym 8517 $false +.sym 8518 $false +.sym 8521 $abc$26600$n1226 +.sym 8522 $abc$26600$n1227 +.sym 8523 $abc$26600$n1223 +.sym 8524 $abc$26600$n1228 +.sym 8528 $abc$26600$n1122 +.sym 8529 $abc$26600$n1135_1 +.sym 8530 $abc$26600$n55 +.sym 8531 $abc$26600$n1115_1 +.sym 8532 $abc$26600$n1139_1 +.sym 8533 $abc$26600$n941 +.sym 8534 $abc$26600$n808 +.sym 8535 $abc$26600$n54 +.sym 8564 $true +.sym 8601 KEYBOARD.row_time[0]$2 +.sym 8602 $false +.sym 8603 KEYBOARD.row_time[0] +.sym 8604 $false .sym 8605 $false -.sym 8608 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] -.sym 8609 $abc$25847$n1788 -.sym 8610 $abc$25847$n1153 -.sym 8611 $abc$25847$n1755 -.sym 8614 $abc$25847$n889_1 -.sym 8615 $abc$25847$n1948 -.sym 8616 $false -.sym 8617 $false +.sym 8607 $auto$alumacc.cc:474:replace_alu$7398.C[2] +.sym 8609 $false +.sym 8610 KEYBOARD.row_time[1] +.sym 8613 $auto$alumacc.cc:474:replace_alu$7398.C[3] +.sym 8614 $false +.sym 8615 $false +.sym 8616 KEYBOARD.row_time[2] +.sym 8617 $auto$alumacc.cc:474:replace_alu$7398.C[2] +.sym 8619 $auto$alumacc.cc:474:replace_alu$7398.C[4] +.sym 8620 $false +.sym 8621 $false +.sym 8622 KEYBOARD.row_time[3] +.sym 8623 $auto$alumacc.cc:474:replace_alu$7398.C[3] +.sym 8625 $auto$alumacc.cc:474:replace_alu$7398.C[5] +.sym 8626 $false +.sym 8627 $false +.sym 8628 KEYBOARD.row_time[4] +.sym 8629 $auto$alumacc.cc:474:replace_alu$7398.C[4] +.sym 8631 $auto$alumacc.cc:474:replace_alu$7398.C[6] +.sym 8632 $false +.sym 8633 $false +.sym 8634 KEYBOARD.row_time[5] +.sym 8635 $auto$alumacc.cc:474:replace_alu$7398.C[5] +.sym 8637 $auto$alumacc.cc:474:replace_alu$7398.C[7] .sym 8638 $false .sym 8639 $false -.sym 8640 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] -.sym 8641 $false -.sym 8644 $abc$25847$n889_1 -.sym 8645 $abc$25847$n1782 -.sym 8646 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] -.sym 8647 $abc$25847$n1948 -.sym 8651 $abc$25847$n746 -.sym 8652 $abc$25847$n1068 -.sym 8653 $22\i2c_input_data_type[3:0][1] -.sym 8654 $22\i2c_input_data_type[3:0][2] -.sym 8655 $abc$25847$n632 -.sym 8658 i2c_input_data_type[3] -.sym 8687 $true -.sym 8724 $22\i2c_input_data_type[3:0][0]$2 +.sym 8640 KEYBOARD.row_time[6] +.sym 8641 $auto$alumacc.cc:474:replace_alu$7398.C[6] +.sym 8643 $auto$alumacc.cc:474:replace_alu$7398.C[8] +.sym 8644 $false +.sym 8645 $false +.sym 8646 KEYBOARD.row_time[7] +.sym 8647 $auto$alumacc.cc:474:replace_alu$7398.C[7] +.sym 8651 $abc$26600$n1198 +.sym 8652 $abc$26600$n617 +.sym 8653 $abc$26600$n938 +.sym 8654 $abc$26600$n607 +.sym 8655 $abc$26600$n943 +.sym 8656 $abc$26600$n627 +.sym 8657 $abc$26600$n937 +.sym 8658 $abc$26600$n892 +.sym 8687 $auto$alumacc.cc:474:replace_alu$7398.C[8] +.sym 8724 $auto$alumacc.cc:474:replace_alu$7398.C[9] .sym 8725 $false -.sym 8726 $22\i2c_input_data_type[3:0][0] -.sym 8727 $false -.sym 8728 $false -.sym 8730 $auto$alumacc.cc:474:replace_alu$6766.C[2] -.sym 8732 $22\i2c_input_data_type[3:0][1] -.sym 8733 $true$2 +.sym 8726 $false +.sym 8727 KEYBOARD.row_time[8] +.sym 8728 $auto$alumacc.cc:474:replace_alu$7398.C[8] +.sym 8730 $auto$alumacc.cc:474:replace_alu$7398.C[10] +.sym 8731 $false +.sym 8732 $false +.sym 8733 KEYBOARD.row_time[9] +.sym 8734 $auto$alumacc.cc:474:replace_alu$7398.C[9] +.sym 8736 $auto$alumacc.cc:474:replace_alu$7398.C[11] .sym 8737 $false -.sym 8738 $22\i2c_input_data_type[3:0][2] -.sym 8739 $false -.sym 8740 $auto$alumacc.cc:474:replace_alu$6766.C[2] -.sym 8761 I2C.is_read -.sym 8762 $abc$25847$n685 -.sym 8763 $false -.sym 8764 $false -.sym 8767 I2C.received_byte[5] -.sym 8768 $false -.sym 8769 $false +.sym 8738 $false +.sym 8739 KEYBOARD.row_time[10] +.sym 8740 $auto$alumacc.cc:474:replace_alu$7398.C[10] +.sym 8742 $auto$alumacc.cc:474:replace_alu$7398.C[12] +.sym 8743 $false +.sym 8744 $false +.sym 8745 KEYBOARD.row_time[11] +.sym 8746 $auto$alumacc.cc:474:replace_alu$7398.C[11] +.sym 8748 $auto$alumacc.cc:474:replace_alu$7398.C[13] +.sym 8749 $false +.sym 8750 $false +.sym 8751 KEYBOARD.row_time[12] +.sym 8752 $auto$alumacc.cc:474:replace_alu$7398.C[12] +.sym 8754 $auto$alumacc.cc:474:replace_alu$7398.C[14] +.sym 8755 $false +.sym 8756 $false +.sym 8757 KEYBOARD.row_time[13] +.sym 8758 $auto$alumacc.cc:474:replace_alu$7398.C[13] +.sym 8761 $false +.sym 8762 $false +.sym 8763 KEYBOARD.row_time[14] +.sym 8764 $auto$alumacc.cc:474:replace_alu$7398.C[14] +.sym 8767 $abc$26600$n940 +.sym 8768 $abc$26600$n941 +.sym 8769 $abc$26600$n939 .sym 8770 $false -.sym 8774 $abc$25847$n716 -.sym 8775 $abc$25847$n631 -.sym 8776 $abc$25847$n1219_1 -.sym 8777 $abc$25847$n625 -.sym 8778 $abc$25847$n1220_1 -.sym 8779 $abc$25847$n624 -.sym 8780 $abc$25847$n732 -.sym 8781 $abc$25847$n623 -.sym 8872 $abc$25847$n1138 -.sym 8873 $abc$25847$n1262_1 -.sym 8874 $abc$25847$n1139 -.sym 8875 $abc$25847$n1659 -.sym 8894 $abc$25847$n290 -.sym 8895 CLK$2$2 -.sym 8896 $abc$25847$n21$2 -.sym 8897 $abc$25847$n1246 -.sym 8898 $abc$25847$n618 -.sym 8899 $abc$25847$n614 -.sym 8900 $abc$25847$n610 -.sym 8901 $abc$25847$n1218 -.sym 8902 $abc$25847$n1245_1 -.sym 8903 $abc$25847$n622 -.sym 8904 $abc$25847$n620 -.sym 8977 I2C_COUNTER[0] -.sym 8978 I2C_COUNTER[1] -.sym 8979 I2C_COUNTER[3] -.sym 8980 $abc$25847$n606_1 -.sym 8989 I2C.received_byte[2] -.sym 8990 I2C.received_byte[3] -.sym 8991 $false -.sym 8992 $false -.sym 8995 I2C_COUNTER[3] -.sym 8996 I2C_COUNTER[0] -.sym 8997 $abc$25847$n606_1 -.sym 8998 $false -.sym 9001 I2C_COUNTER[2] -.sym 9002 I2C_COUNTER[4] -.sym 9003 I2C_COUNTER[5] -.sym 9004 I2C_COUNTER[6] -.sym 9007 I2C.received_byte[4] -.sym 9008 I2C.received_byte[7] -.sym 9009 I2C.received_byte[5] -.sym 9010 I2C.received_byte[6] -.sym 9022 $auto$alumacc.cc:474:replace_alu$6799.C[2] -.sym 9023 $abc$25847$n1924 -.sym 9024 $abc$25847$n611 -.sym 9025 $abc$25847$n617 -.sym 9026 $abc$25847$n612 -.sym 9027 $abc$25847$n1928 -.sym 9100 I2C_COUNTER[3] -.sym 9101 I2C_COUNTER[2] -.sym 9102 $false -.sym 9103 $false -.sym 9106 $abc$25847$n783 -.sym 9107 KEYBOARD.report_wr_en -.sym 9108 KEYBOARD.IS_RAM_INIT$2 -.sym 9109 $false -.sym 9118 UART.tx_bit_counter[0] -.sym 9119 UART.tx_bit_counter[1] -.sym 9120 $false -.sym 9121 $false -.sym 9124 UART.tx_bit_counter[3] -.sym 9125 $abc$25847$n729_1 -.sym 9126 $false -.sym 9127 $false -.sym 9130 UART.tx_bit_counter[0] -.sym 9131 UART.tx_bit_counter[1] -.sym 9132 UART.tx_bit_counter[2] -.sym 9133 UART.tx_activity -.sym 9143 $2\ring_wr[3:0][1] -.sym 9144 $abc$25847$n1531 -.sym 9145 $2\ring_wr[3:0][0] -.sym 9146 $abc$25847$n691 -.sym 9147 ring_rd[0] -.sym 9148 ring_wr[2] -.sym 9149 ring_wr[1] -.sym 9150 ring_wr[0] -.sym 9217 REPORT_DATA.r_data[3] -.sym 9218 I2C_HID_DESC.VAL[3] -.sym 9219 I2C_OUT_DESC_MASK[3] +.sym 8774 $abc$26600$n947_1 +.sym 8775 $abc$26600$n924 +.sym 8776 $abc$26600$n929 +.sym 8777 $abc$26600$n957 +.sym 8778 $abc$26600$n945_1 +.sym 8779 $abc$26600$n946 +.sym 8780 $abc$26600$n928_1 +.sym 8781 $abc$26600$n925 +.sym 8848 $abc$26600$n960 +.sym 8849 KEYBOARD.last_wr +.sym 8850 $abc$26600$n800 +.sym 8851 $abc$26600$n1183 +.sym 8854 $abc$26600$n801 +.sym 8855 $abc$26600$n811 +.sym 8856 $abc$26600$n1746 +.sym 8857 $false +.sym 8860 $false +.sym 8861 $false +.sym 8862 KEYBOARD.row_time[0] +.sym 8863 $false +.sym 8866 $abc$26600$n800 +.sym 8867 $abc$26600$n810 +.sym 8868 $abc$26600$n605 +.sym 8869 $false +.sym 8872 $abc$26600$n800 +.sym 8873 $abc$26600$n809 +.sym 8874 $false +.sym 8875 $false +.sym 8878 $abc$26600$n810 +.sym 8879 $abc$26600$n799 +.sym 8880 $abc$26600$n1182 +.sym 8881 KEYBOARD.IS_RAM_INIT$2 +.sym 8884 $abc$26600$n810 +.sym 8885 $abc$26600$n799 +.sym 8886 $false +.sym 8887 $false +.sym 8890 $abc$26600$n1744 +.sym 8891 $abc$26600$n1746 +.sym 8892 $abc$26600$n801 +.sym 8893 $abc$26600$n98 +.sym 8897 $abc$26600$n940_1 +.sym 8901 $abc$26600$n926 +.sym 8995 $abc$26600$n1342_1 +.sym 8996 $abc$26600$n1343_1 +.sym 8997 KEYBOARD.is_ghost +.sym 8998 $abc$26600$n731 +.sym 9017 $abc$26600$n605 +.sym 9018 CLK$2$2 +.sym 9019 $false +.sym 9094 $abc$26600$n1181 +.sym 9095 $abc$26600$n1737 +.sym 9096 $false +.sym 9097 $false +.sym 9140 $abc$26600$n350 +.sym 9141 CLK$2$2 +.sym 9142 $false +.sym 9145 $abc$26600$n653_1 +.sym 9146 $abc$26600$n350 +.sym 9217 $false +.sym 9218 $false +.sym 9219 KEYBOARD.tmr_to_ram[0] .sym 9220 $false -.sym 9223 $abc$25847$n916_1 -.sym 9224 $abc$25847$n912 -.sym 9225 $abc$25847$n904 -.sym 9226 $false -.sym 9235 IS_RAM_INIT -.sym 9236 $abc$25847$n685 -.sym 9237 I2C.FLT_SCL.RESET$2 -.sym 9238 $false -.sym 9241 $abc$25847$n918 -.sym 9242 $abc$25847$n912 -.sym 9243 $abc$25847$n904 -.sym 9244 $false -.sym 9247 ring_wr[2] -.sym 9248 $abc$25847$n1537 -.sym 9249 $abc$25847$n693_1 -.sym 9250 $false -.sym 9253 $false -.sym 9254 $false -.sym 9255 $false -.sym 9256 $false -.sym 9259 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9223 $abc$26600$n1954 +.sym 9224 KEYBOARD.tmr_to_ram[2] +.sym 9225 $abc$26600$n809 +.sym 9226 $abc$26600$n1198 +.sym 9229 $abc$26600$n1952 +.sym 9230 KEYBOARD.tmr_to_ram[0] +.sym 9231 $abc$26600$n809 +.sym 9232 $abc$26600$n1198 +.sym 9253 $abc$26600$n1196 +.sym 9254 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] +.sym 9255 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] +.sym 9256 $abc$26600$n926 +.sym 9259 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] .sym 9260 $false .sym 9261 $false .sym 9262 $false -.sym 9263 $abc$25847$n157 -.sym 9264 CLK$2$2 -.sym 9265 $abc$25847$n21$2 -.sym 9266 $abc$25847$n1237_1 -.sym 9267 $abc$25847$n585 -.sym 9268 $abc$25847$n1238 -.sym 9269 $abc$25847$n588 -.sym 9270 UART_TX_DATA[0] -.sym 9271 UART_TX_DATA[6] -.sym 9272 UART_TX_DATA[4] -.sym 9273 UART_TX_DATA[2] -.sym 9340 $abc$25847$n918 -.sym 9341 $abc$25847$n920_1 -.sym 9342 $abc$25847$n904 +.sym 9266 $abc$26600$n1481 +.sym 9267 $abc$26600$n667 +.sym 9268 $abc$26600$n623 +.sym 9269 $abc$26600$n2020 +.sym 9270 I2C_COUNTER[1] +.sym 9271 I2C_COUNTER[0] +.sym 9272 I2C_HID_DESC.DESC_TYPE +.sym 9273 I2C_COUNTER[3] +.sym 9302 $true +.sym 9339 I2C_COUNTER[0]$2 +.sym 9340 $false +.sym 9341 I2C_COUNTER[0] +.sym 9342 $false .sym 9343 $false -.sym 9346 REPORT_DATA.r_data[0] -.sym 9347 I2C_HID_DESC.VAL[0] -.sym 9348 I2C_OUT_DESC_MASK[0] -.sym 9349 $false -.sym 9352 $abc$25847$n916_1 -.sym 9353 $abc$25847$n920_1 -.sym 9354 $abc$25847$n904 -.sym 9355 $false -.sym 9358 REPORT_DATA.r_data[7] -.sym 9359 I2C_HID_DESC.VAL[7] -.sym 9360 I2C_OUT_DESC_MASK[7] -.sym 9361 $false -.sym 9364 REPORT_DATA.r_data[6] -.sym 9365 I2C_HID_DESC.VAL[6] -.sym 9366 I2C_OUT_DESC_MASK[6] -.sym 9367 $false -.sym 9370 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9345 $auto$alumacc.cc:474:replace_alu$7371.C[2] +.sym 9347 $false +.sym 9348 I2C_COUNTER[1] +.sym 9351 $auto$alumacc.cc:474:replace_alu$7371.C[3] +.sym 9352 $false +.sym 9353 $false +.sym 9354 I2C_COUNTER[2] +.sym 9355 $auto$alumacc.cc:474:replace_alu$7371.C[2] +.sym 9357 $auto$alumacc.cc:474:replace_alu$7371.C[4] +.sym 9358 $false +.sym 9359 $false +.sym 9360 I2C_COUNTER[3] +.sym 9361 $auto$alumacc.cc:474:replace_alu$7371.C[3] +.sym 9363 $auto$alumacc.cc:474:replace_alu$7371.C[5] +.sym 9364 $false +.sym 9365 $false +.sym 9366 I2C_COUNTER[4] +.sym 9367 $auto$alumacc.cc:474:replace_alu$7371.C[4] +.sym 9369 $auto$alumacc.cc:474:replace_alu$7371.C[6] +.sym 9370 $false .sym 9371 $false -.sym 9372 $false -.sym 9373 $false -.sym 9376 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 9372 I2C_COUNTER[5] +.sym 9373 $auto$alumacc.cc:474:replace_alu$7371.C[5] +.sym 9376 $false .sym 9377 $false -.sym 9378 $false -.sym 9379 $false -.sym 9382 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9383 $false -.sym 9384 $false +.sym 9378 I2C_COUNTER[6] +.sym 9379 $auto$alumacc.cc:474:replace_alu$7371.C[6] +.sym 9382 I2C_COUNTER[3] +.sym 9383 $abc$26600$n1487 +.sym 9384 $abc$26600$n652 .sym 9385 $false -.sym 9386 $abc$25847$n157 -.sym 9387 CLK$2$2 -.sym 9388 $abc$25847$n21$2 -.sym 9389 $abc$25847$n788 -.sym 9390 $abc$25847$n584_1 -.sym 9391 $abc$25847$n787 -.sym 9392 $abc$25847$n1927 -.sym 9393 $0\temp_output_report[2:0][2] -.sym 9394 $abc$25847$n874 -.sym 9395 $abc$25847$n290 -.sym 9396 temp_output_report[2] -.sym 9463 $abc$25847$n788 -.sym 9464 $abc$25847$n820 -.sym 9465 $abc$25847$n149 +.sym 9389 $abc$26600$n654_1 +.sym 9390 $abc$26600$n652 +.sym 9391 $abc$26600$n2023 +.sym 9392 $abc$26600$n661 +.sym 9393 I2C_COUNTER[5] +.sym 9394 I2C_COUNTER[4] +.sym 9395 I2C_COUNTER[2] +.sym 9396 I2C_COUNTER[6] +.sym 9463 last_wr +.sym 9464 $abc$26600$n1489 +.sym 9465 I2C.wr .sym 9466 $false -.sym 9469 $abc$25847$n799 -.sym 9470 $abc$25847$n798 -.sym 9471 $false +.sym 9469 I2C_COUNTER[2] +.sym 9470 $abc$26600$n1485 +.sym 9471 $abc$26600$n652 .sym 9472 $false -.sym 9475 $abc$25847$n783 -.sym 9476 $abc$25847$n797 -.sym 9477 I2C.FLT_SCL.RESET$2 +.sym 9475 last_wr +.sym 9476 I2C.wr +.sym 9477 I2C_COUNTER[6] .sym 9478 $false -.sym 9481 $abc$25847$n1907 -.sym 9482 $abc$25847$n1908 -.sym 9483 $false +.sym 9481 last_wr +.sym 9482 $abc$26600$n1491 +.sym 9483 I2C.wr .sym 9484 $false -.sym 9487 KEYBOARD.REPORT.r_data[0] -.sym 9488 KEYBOARD.REPORT.r_data[1] -.sym 9489 KEYBOARD.REPORT.r_data[2] -.sym 9490 KEYBOARD.REPORT.r_data[3] -.sym 9493 $abc$25847$n869 -.sym 9494 $abc$25847$n803 -.sym 9495 KEYBOARD.is_pressed -.sym 9496 $abc$25847$n797 -.sym 9499 KEYBOARD.REPORT.r_data[4] -.sym 9500 KEYBOARD.REPORT.r_data[5] -.sym 9501 KEYBOARD.REPORT.r_data[6] -.sym 9502 KEYBOARD.REPORT.r_data[7] -.sym 9505 $abc$25847$n870 -.sym 9506 $abc$25847$n871_1 -.sym 9507 $false -.sym 9508 $false -.sym 9512 $abc$25847$n868 -.sym 9513 $abc$25847$n859_1 -.sym 9514 $abc$25847$n1142 -.sym 9515 $abc$25847$n789 -.sym 9516 $abc$25847$n1143 -.sym 9517 $abc$25847$n318 -.sym 9518 $abc$25847$n267 -.sym 9519 $abc$25847$n828_1 -.sym 9586 KEYBOARD.kbd_code_hid[4] -.sym 9587 KEYBOARD.REPORT.r_data[4] -.sym 9588 KEYBOARD.REPORT.r_data[7] -.sym 9589 KEYBOARD.kbd_code_hid[7] -.sym 9592 KEYBOARD.REPORT.r_data[0] -.sym 9593 KEYBOARD.kbd_code_hid[0] -.sym 9594 KEYBOARD.REPORT.r_data[2] -.sym 9595 KEYBOARD.kbd_code_hid[2] -.sym 9598 KEYBOARD.REPORT.r_data[2] -.sym 9599 $abc$25847$n837 -.sym 9600 KEYBOARD.REPORT.r_data[4] -.sym 9601 $abc$25847$n855 -.sym 9604 KEYBOARD.is_pressed -.sym 9605 KEYBOARD.REPORT.r_data[6] -.sym 9606 $abc$25847$n838 -.sym 9607 KEYBOARD.kbd_code_hid[2] -.sym 9610 KEYBOARD.is_pressed -.sym 9611 KEYBOARD.REPORT.r_data[2] -.sym 9612 KEYBOARD.kbd_code_hid[2] -.sym 9613 $abc$25847$n838 -.sym 9616 KEYBOARD.is_pressed -.sym 9617 KEYBOARD.REPORT.r_data[4] -.sym 9618 $abc$25847$n785_1 -.sym 9619 KEYBOARD.kbd_code_hid[2] -.sym 9622 $abc$25847$n804 -.sym 9623 $abc$25847$n805_1 -.sym 9624 $abc$25847$n806 -.sym 9625 $abc$25847$n807 -.sym 9628 KEYBOARD.REPORT.r_data[5] -.sym 9629 KEYBOARD.kbd_code_hid[5] -.sym 9630 KEYBOARD.REPORT.r_data[6] -.sym 9631 KEYBOARD.kbd_code_hid[6] -.sym 9635 $abc$25847$n1176_1 -.sym 9636 $abc$25847$n857 -.sym 9637 $abc$25847$n1260_1 -.sym 9638 $abc$25847$n844 -.sym 9639 $abc$25847$n1261_1 -.sym 9640 $abc$25847$n785_1 -.sym 9641 $abc$25847$n842 -.sym 9642 $abc$25847$n1231_1 -.sym 9709 $abc$25847$n784 -.sym 9710 KEYBOARD.REPORT.r_data[0] -.sym 9711 KEYBOARD.is_pressed -.sym 9712 $abc$25847$n1254_1 -.sym 9715 KEYBOARD.kbd_code_hid[2] -.sym 9716 $abc$25847$n785_1 +.sym 9487 $abc$26600$n654_1 +.sym 9488 $abc$26600$n653_1 +.sym 9489 $abc$26600$n663 +.sym 9490 $abc$26600$n664 +.sym 9493 last_wr +.sym 9494 I2C.wr +.sym 9495 I2C_COUNTER[5] +.sym 9496 $false +.sym 9499 last_wr +.sym 9500 $abc$26600$n1493 +.sym 9501 I2C.wr +.sym 9502 $false +.sym 9505 $abc$26600$n654_1 +.sym 9506 $abc$26600$n653_1 +.sym 9507 $abc$26600$n657 +.sym 9508 $abc$26600$n658_1 +.sym 9518 $abc$26600$n2050 +.sym 9519 $abc$26600$n634 +.sym 9592 $abc$26600$n342$2 +.sym 9593 I2C.wr +.sym 9594 last_wr +.sym 9595 $false +.sym 9604 $abc$26600$n2021 +.sym 9605 $abc$26600$n655_1 +.sym 9606 $false +.sym 9607 $false +.sym 9610 $abc$26600$n2025 +.sym 9611 $abc$26600$n2023 +.sym 9612 $abc$26600$n2024 +.sym 9613 $false +.sym 9616 I2C.wr +.sym 9617 $false +.sym 9618 $false +.sym 9619 $false +.sym 9632 $abc$26600$n336$2 +.sym 9633 CLK$2$2 +.sym 9634 $abc$26600$n21$2 +.sym 9637 $abc$26600$n1309 +.sym 9638 $abc$26600$n589 +.sym 9639 $abc$26600$n586 +.sym 9640 $abc$26600$n584 +.sym 9642 $abc$26600$n1323 +.sym 9715 I2C.i2c_state_machine +.sym 9716 I2C.i2c_start_latency .sym 9717 $false .sym 9718 $false -.sym 9721 KEYBOARD.kbd_code_hid[2] -.sym 9722 $abc$25847$n836 +.sym 9721 $abc$26600$n2011 +.sym 9722 $false .sym 9723 $false .sym 9724 $false -.sym 9727 KEYBOARD.kbd_code_hid[6] -.sym 9728 $abc$25847$n786_1 -.sym 9729 $abc$25847$n784 -.sym 9730 $false -.sym 9733 KEYBOARD.is_pressed -.sym 9734 KEYBOARD.kbd_code_hid[3] -.sym 9735 $abc$25847$n791 -.sym 9736 $abc$25847$n844 -.sym 9739 KEYBOARD.REPORT.r_data[0] -.sym 9740 KEYBOARD.is_pressed -.sym 9741 $abc$25847$n784 -.sym 9742 $false -.sym 9745 $abc$25847$n1261_1 -.sym 9746 $abc$25847$n1259_1 -.sym 9747 $abc$25847$n1257_1 -.sym 9748 $abc$25847$n791 -.sym 9751 KEYBOARD.kbd_code_hid[3] -.sym 9752 KEYBOARD.REPORT.r_data[3] -.sym 9753 KEYBOARD.REPORT.r_data[1] -.sym 9754 KEYBOARD.kbd_code_hid[1] -.sym 9758 $abc$25847$n1929 -.sym 9759 $abc$25847$n116 -.sym 9762 $abc$25847$n1925 -.sym 9763 $abc$25847$n838 +.sym 9758 $3\int_tmr[14:0][2] +.sym 9759 $3\int_tmr[14:0][12] +.sym 9760 $3\int_tmr[14:0][7] +.sym 9761 $3\int_tmr[14:0][5] +.sym 9762 int_tmr[2] +.sym 9763 int_tmr[5] +.sym 9764 int_tmr[12] +.sym 9765 int_tmr[7] .sym 9832 $false -.sym 9833 UART.tx_clk_counter[0] +.sym 9833 UART.tx_bit_counter[0] .sym 9834 $false .sym 9835 $false -.sym 9838 $abc$25847$n135$2 -.sym 9839 $abc$25847$n736 -.sym 9840 $abc$25847$n734 -.sym 9841 $false -.sym 9844 KEYBOARD.isr -.sym 9845 last_isr -.sym 9846 $abc$25847$n735 -.sym 9847 $abc$25847$n149 -.sym 9850 UART.tx_clk_counter[1] -.sym 9851 UART.tx_clk_counter[0] -.sym 9852 $false -.sym 9853 $false -.sym 9856 KEYBOARD.kbd_code_hid[5] -.sym 9857 KEYBOARD.kbd_code_hid[6] -.sym 9858 KEYBOARD.kbd_code_hid[7] -.sym 9859 $false -.sym 9862 KEYBOARD.kbd_code_hid[3] -.sym 9863 KEYBOARD.kbd_code_hid[4] -.sym 9864 $abc$25847$n792 -.sym 9865 $false -.sym 9868 IS_RAM_INIT -.sym 9869 KEYBOARD.isr -.sym 9870 last_isr -.sym 9871 $false -.sym 9874 report_wr_en -.sym 9875 $abc$25847$n1061_1 -.sym 9876 $abc$25847$n736 -.sym 9877 IS_RAM_INIT -.sym 9878 $abc$25847$n131 -.sym 9879 CLK$2$2 -.sym 9880 $abc$25847$n21$2 -.sym 9881 $abc$25847$n12 -.sym 9955 wr_cnt[2] -.sym 9956 wr_cnt[1] -.sym 9957 wr_cnt[0] -.sym 9958 wr_cnt[3] -.sym 9961 UART.tx_activity +.sym 9868 I2C.i2c_start_latency +.sym 9869 I2C.i2c_state_machine +.sym 9870 $abc$26600$n1134 +.sym 9871 I2C.FLT_SCL.RESET$2 +.sym 9881 UART.tx_bit_counter[3] +.sym 9883 UART.tx_bit_counter[0] +.sym 9884 UART.tx_bit_counter[1] +.sym 9888 UART.tx_bit_counter[2] +.sym 9917 $true +.sym 9954 $abc$26600$n2029$2 +.sym 9955 $false +.sym 9956 $abc$26600$n2029 +.sym 9957 $false +.sym 9958 $false +.sym 9960 $auto$alumacc.cc:474:replace_alu$7305.C[2] .sym 9962 $false -.sym 9963 $false -.sym 9964 $false -.sym 9967 $abc$25847$n12 +.sym 9963 $abc$26600$n2010 +.sym 9966 $auto$alumacc.cc:474:replace_alu$7305.C[3] .sym 9968 $false -.sym 9969 $false -.sym 9970 $false -.sym 9973 IS_RAM_INIT -.sym 9974 $abc$25847$n735 -.sym 9975 $abc$25847$n1061_1 -.sym 9976 $abc$25847$n901 -.sym 9979 IS_RAM_INIT -.sym 9980 $abc$25847$n735 -.sym 9981 $abc$25847$n897 -.sym 9982 $abc$25847$n1061_1 -.sym 9991 IS_RAM_INIT -.sym 9992 $abc$25847$n735 -.sym 9993 $abc$25847$n1061_1 -.sym 9994 $abc$25847$n900 -.sym 9997 $abc$25847$n1061_1 -.sym 9998 wr_cnt[0] -.sym 9999 wr_cnt[1] +.sym 9969 $abc$26600$n2031 +.sym 9972 $abc$26600$n1254$2 +.sym 9974 $false +.sym 9975 $abc$26600$n2028 +.sym 9982 $abc$26600$n1254$2 +.sym 9985 UART.tx_bit_counter[0] +.sym 9986 UART.tx_bit_counter[1] +.sym 9987 $false +.sym 9988 $false +.sym 9991 $abc$26600$n2027 +.sym 9992 $false +.sym 9993 $false +.sym 9994 $false +.sym 9997 $abc$26600$n2030 +.sym 9998 $false +.sym 9999 $false .sym 10000 $false -.sym 10001 $abc$25847$n129 -.sym 10002 CLK$2$2 -.sym 10003 $abc$25847$n21$2 -.sym 10008 $abc$25847$n1173 -.sym 10040 $true -.sym 10077 wr_cnt[0]$2 -.sym 10078 $false -.sym 10079 wr_cnt[0] -.sym 10080 $false -.sym 10081 $false -.sym 10083 $auto$alumacc.cc:474:replace_alu$6748.C[2] -.sym 10085 $false -.sym 10086 wr_cnt[1] -.sym 10089 $auto$alumacc.cc:474:replace_alu$6748.C[3] -.sym 10090 $false -.sym 10091 $false -.sym 10092 wr_cnt[2] -.sym 10093 $auto$alumacc.cc:474:replace_alu$6748.C[2] -.sym 10096 $false -.sym 10097 $false -.sym 10098 wr_cnt[3] -.sym 10099 $auto$alumacc.cc:474:replace_alu$6748.C[3] -.sym 10102 $false +.sym 10006 $auto$alumacc.cc:474:replace_alu$7416.C[2] +.sym 10007 $abc$26600$n2027 +.sym 10008 $abc$26600$n771 +.sym 10009 $abc$26600$n317 +.sym 10010 $abc$26600$n772 +.sym 10011 $abc$26600$n2030 +.sym 10102 I2C.FLT_SCL.RESET$2 .sym 10103 $false -.sym 10104 wr_cnt[0] +.sym 10104 $false .sym 10105 $false -.sym 10108 $abc$25847$n897 -.sym 10109 $abc$25847$n900 -.sym 10110 $abc$25847$n901 -.sym 10111 $false -.sym 10114 wr_cnt[0] -.sym 10115 wr_cnt[1] -.sym 10116 $abc$25847$n737 -.sym 10117 $false -.sym 10232 $abc$25847$n1947 -.sym 10233 $abc$25847$n652 -.sym 10307 I2C_COUNTER[4] -.sym 10308 $abc$25847$n1411 -.sym 10309 $abc$25847$n654 -.sym 10310 $false -.sym 10313 I2C_COUNTER[6] -.sym 10314 $abc$25847$n1415 -.sym 10315 $abc$25847$n654 -.sym 10316 $false -.sym 10331 $abc$25847$n1923 -.sym 10332 $abc$25847$n141$2 -.sym 10333 $false +.sym 10226 KEYBOARD.COLUMN_SHADOW[3] +.sym 10227 KEYBOARD.COLUMN_SHADOW[0] +.sym 10228 KEYBOARD.COLUMN_SHADOW[6] +.sym 10230 KEYBOARD.COLUMN_SHADOW[7] +.sym 10232 KEYBOARD.COLUMN_SHADOW[5] +.sym 10233 KEYBOARD.COLUMN_SHADOW[4] +.sym 10301 KEYBOARD.COLUMN_SHADOW[0] +.sym 10302 $abc$26600$n882 +.sym 10303 $abc$26600$n880 +.sym 10304 KEYBOARD.COLUMN_SHADOW[7] +.sym 10307 KEYBOARD.COLUMN_SHADOW[4] +.sym 10308 KEYBOARD.COLUMN_SHADOW[6] +.sym 10309 $abc$26600$n1754 +.sym 10310 $abc$26600$n881 +.sym 10313 $abc$26600$n881 +.sym 10314 $abc$26600$n731 +.sym 10315 $abc$26600$n1307_1 +.sym 10316 $abc$26600$n1308_1 +.sym 10319 $abc$26600$n935_1 +.sym 10320 KEYBOARD.COLUMN_SHADOW[3] +.sym 10321 $false +.sym 10322 $false +.sym 10325 KEYBOARD.COLUMN_SHADOW[0] +.sym 10326 $abc$26600$n882 +.sym 10327 $abc$26600$n891 +.sym 10328 KEYBOARD.COLUMN_SHADOW[6] +.sym 10331 $abc$26600$n881 +.sym 10332 $abc$26600$n883 +.sym 10333 $abc$26600$n884 .sym 10334 $false -.sym 10343 $abc$25847$n1921 -.sym 10344 $abc$25847$n141$2 -.sym 10345 $false +.sym 10337 KEYBOARD.COLUMN_SHADOW[7] +.sym 10338 $abc$26600$n880 +.sym 10339 $abc$26600$n1225 +.sym 10340 $abc$26600$n1224 +.sym 10343 $abc$26600$n886_1 +.sym 10344 $abc$26600$n879 +.sym 10345 $abc$26600$n1309_1 .sym 10346 $false -.sym 10347 $abc$25847$n135$2 -.sym 10348 CLK$2$2 -.sym 10349 $abc$25847$n21$2 -.sym 10355 $abc$25847$n1922 -.sym 10357 $abc$25847$n1920 -.sym 10358 $abc$25847$n1918 -.sym 10359 I2C_COUNTER[5] -.sym 10426 $true -.sym 10463 I2C_COUNTER[0]$2 -.sym 10464 $false -.sym 10465 I2C_COUNTER[0] -.sym 10466 $false -.sym 10467 $false -.sym 10469 $auto$alumacc.cc:474:replace_alu$6754.C[2] -.sym 10471 $false -.sym 10472 I2C_COUNTER[1] -.sym 10475 $auto$alumacc.cc:474:replace_alu$6754.C[3] -.sym 10476 $false -.sym 10477 $false -.sym 10478 I2C_COUNTER[2] -.sym 10479 $auto$alumacc.cc:474:replace_alu$6754.C[2] -.sym 10481 $auto$alumacc.cc:474:replace_alu$6754.C[4] -.sym 10482 $false -.sym 10483 $false -.sym 10484 I2C_COUNTER[3] -.sym 10485 $auto$alumacc.cc:474:replace_alu$6754.C[3] -.sym 10487 $auto$alumacc.cc:474:replace_alu$6754.C[5] -.sym 10488 $false -.sym 10489 $false -.sym 10490 I2C_COUNTER[4] -.sym 10491 $auto$alumacc.cc:474:replace_alu$6754.C[4] -.sym 10493 $auto$alumacc.cc:474:replace_alu$6754.C[6] -.sym 10494 $false -.sym 10495 $false -.sym 10496 I2C_COUNTER[5] -.sym 10497 $auto$alumacc.cc:474:replace_alu$6754.C[5] -.sym 10500 $false -.sym 10501 $false -.sym 10502 I2C_COUNTER[6] -.sym 10503 $auto$alumacc.cc:474:replace_alu$6754.C[6] -.sym 10506 I2C_COUNTER[2] -.sym 10507 $abc$25847$n1407 -.sym 10508 $abc$25847$n654 -.sym 10509 $false -.sym 10587 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] -.sym 10588 $false -.sym 10589 $false +.sym 10354 $abc$26600$n1185 +.sym 10355 $abc$26600$n1140_1 +.sym 10356 $abc$26600$n129 +.sym 10357 $abc$26600$n1737 +.sym 10358 $abc$26600$n1239 +.sym 10359 $abc$26600$n1186 +.sym 10360 $abc$26600$n1144_1 +.sym 10361 $abc$26600$n1146_1 +.sym 10464 $abc$26600$n1139_1 +.sym 10465 $abc$26600$n1142_1 +.sym 10466 $abc$26600$n136 +.sym 10467 KEYBOARD.IS_RAM_INIT$2 +.sym 10470 $abc$26600$n132 +.sym 10471 $abc$26600$n1127 +.sym 10472 KEYBOARD.IS_RAM_INIT$2 +.sym 10473 $false +.sym 10476 $abc$26600$n129 +.sym 10477 $abc$26600$n883 +.sym 10478 KEYBOARD.IS_RAM_INIT$2 +.sym 10479 $false +.sym 10482 $abc$26600$n1139_1 +.sym 10483 $abc$26600$n1146_1 +.sym 10484 $abc$26600$n1692 +.sym 10485 KEYBOARD.IS_RAM_INIT$2 +.sym 10488 $abc$26600$n1139_1 +.sym 10489 $abc$26600$n1148_1 +.sym 10490 $abc$26600$n144 +.sym 10491 KEYBOARD.IS_RAM_INIT$2 +.sym 10500 $abc$26600$n132 +.sym 10501 $abc$26600$n884 +.sym 10502 KEYBOARD.IS_RAM_INIT$2 +.sym 10503 $false +.sym 10510 $abc$26600$n336$2 +.sym 10511 CLK$2$2 +.sym 10512 $abc$26600$n21$2 +.sym 10513 $abc$26600$n1127 +.sym 10514 $abc$26600$n1342_1 +.sym 10515 $abc$26600$n1129 +.sym 10516 $abc$26600$n1341_1 +.sym 10517 $abc$26600$n1128 +.sym 10518 $abc$26600$n1113 +.sym 10519 $abc$26600$n1347_1 +.sym 10520 $abc$26600$n1345_1 +.sym 10587 $abc$26600$n1752 +.sym 10588 $abc$26600$n881 +.sym 10589 $abc$26600$n1754 .sym 10590 $false -.sym 10593 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] -.sym 10594 $false +.sym 10593 $abc$26600$n731 +.sym 10594 $abc$26600$n1754 .sym 10595 $false .sym 10596 $false -.sym 10605 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] -.sym 10606 $false +.sym 10599 KEYBOARD.last_adr[0] +.sym 10600 $abc$26600$n1747 +.sym 10601 $abc$26600$n731 +.sym 10602 $abc$26600$n1115_1 +.sym 10605 $abc$26600$n731 +.sym 10606 $abc$26600$n1752 .sym 10607 $false .sym 10608 $false -.sym 10617 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] -.sym 10618 $false +.sym 10611 $abc$26600$n731 +.sym 10612 KEYBOARD.last_adr[2] +.sym 10613 $false +.sym 10614 $false +.sym 10617 $abc$26600$n731 +.sym 10618 KEYBOARD.last_adr[8] .sym 10619 $false .sym 10620 $false -.sym 10623 KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] -.sym 10624 $false +.sym 10623 $abc$26600$n731 +.sym 10624 KEYBOARD.last_adr[5] .sym 10625 $false .sym 10626 $false -.sym 10636 $abc$25847$n1948 -.sym 10637 $abc$25847$n1403 -.sym 10638 $abc$25847$n663 -.sym 10639 $abc$25847$n396 -.sym 10640 I2C_COUNTER[3] -.sym 10641 I2C_COUNTER[0] -.sym 10642 I2C_COUNTER[1] -.sym 10710 $abc$25847$n656 -.sym 10711 $abc$25847$n655 -.sym 10712 $abc$25847$n639 -.sym 10713 $false -.sym 10716 I2C_COUNTER[4] -.sym 10717 I2C_COUNTER[5] -.sym 10718 I2C_COUNTER[6] -.sym 10719 $false -.sym 10728 I2C_COUNTER[0] -.sym 10729 I2C_COUNTER[2] -.sym 10730 I2C_COUNTER[3] -.sym 10731 I2C_COUNTER[1] -.sym 10752 $abc$25847$n1919 -.sym 10753 $abc$25847$n141$2 +.sym 10629 $abc$26600$n881 +.sym 10630 $abc$26600$n1752 +.sym 10631 $abc$26600$n1754 +.sym 10632 $false +.sym 10636 $abc$26600$n965 +.sym 10637 $abc$26600$n632 +.sym 10638 $abc$26600$n1121 +.sym 10639 $abc$26600$n906 +.sym 10640 $abc$26600$n902 +.sym 10641 $abc$26600$n816_1 +.sym 10642 $abc$26600$n1112_1 +.sym 10643 $abc$26600$n1134_1 +.sym 10710 KEYBOARD.last_adr[1] +.sym 10711 $abc$26600$n1748 +.sym 10712 $abc$26600$n731 +.sym 10713 $abc$26600$n1115_1 +.sym 10716 KEYBOARD.last_adr[3] +.sym 10717 $abc$26600$n1750 +.sym 10718 $abc$26600$n731 +.sym 10719 $abc$26600$n1115_1 +.sym 10722 $abc$26600$n731 +.sym 10723 $abc$26600$n1751 +.sym 10724 $false +.sym 10725 $false +.sym 10728 $abc$26600$n44 +.sym 10729 $abc$26600$n811 +.sym 10730 $false +.sym 10731 $false +.sym 10734 $abc$26600$n55 +.sym 10735 $abc$26600$n1115_1 +.sym 10736 $abc$26600$n943 +.sym 10737 $abc$26600$n809 +.sym 10740 $abc$26600$n731 +.sym 10741 $abc$26600$n1749 +.sym 10742 $false +.sym 10743 $false +.sym 10746 $abc$26600$n1747 +.sym 10747 $abc$26600$n1749 +.sym 10748 $abc$26600$n1750 +.sym 10749 $abc$26600$n1751 +.sym 10752 $abc$26600$n731 +.sym 10753 $abc$26600$n1750 .sym 10754 $false .sym 10755 $false -.sym 10756 $abc$25847$n135$2 -.sym 10757 CLK$2$2 -.sym 10758 $abc$25847$n21$2 -.sym 10759 $abc$25847$n1911 -.sym 10760 $abc$25847$n598_1 -.sym 10761 $abc$25847$n644 -.sym 10762 $abc$25847$n638 -.sym 10763 $abc$25847$n642 -.sym 10764 $abc$25847$n1033_1 -.sym 10765 i2c_input_data_type[1] -.sym 10766 i2c_input_data_type[2] -.sym 10833 $22\i2c_input_data_type[3:0][2] -.sym 10834 $abc$25847$n716 -.sym 10835 $false +.sym 10759 $abc$26600$n911 +.sym 10760 $abc$26600$n605 +.sym 10761 $abc$26600$n797 +.sym 10762 $abc$26600$n1317_1 +.sym 10763 $abc$26600$n595 +.sym 10764 $abc$26600$n953_1 +.sym 10765 $abc$26600$n796 +.sym 10766 $abc$26600$n1318_1 +.sym 10833 KEYBOARD.report_wr_en +.sym 10834 $abc$26600$n827 +.sym 10835 KEYBOARD.is_pressed .sym 10836 $false -.sym 10839 $abc$25847$n746 -.sym 10840 $22\i2c_input_data_type[3:0][0] -.sym 10841 $abc$25847$n719 -.sym 10842 $abc$25847$n1069 -.sym 10845 $abc$25847$n748 -.sym 10846 $abc$25847$n719 -.sym 10847 $false -.sym 10848 $false -.sym 10851 $abc$25847$n748 -.sym 10852 $abc$25847$n1911 -.sym 10853 $false +.sym 10839 $abc$26600$n960 +.sym 10840 I2C.FLT_SCL.RESET$2 +.sym 10841 $abc$26600$n818 +.sym 10842 $false +.sym 10845 $abc$26600$n939_1 +.sym 10846 $abc$26600$n943 +.sym 10847 $abc$26600$n809 +.sym 10848 $abc$26600$n605 +.sym 10851 $abc$26600$n938 +.sym 10852 $abc$26600$n924 +.sym 10853 $abc$26600$n957 .sym 10854 $false -.sym 10857 I2C_COUNTER[0] -.sym 10858 I2C_COUNTER[1] +.sym 10857 $abc$26600$n892 +.sym 10858 $abc$26600$n1822 .sym 10859 $false .sym 10860 $false -.sym 10875 $abc$25847$n748 -.sym 10876 $abc$25847$n715 -.sym 10877 $abc$25847$n716 +.sym 10863 $abc$26600$n937 +.sym 10864 $abc$26600$n924 +.sym 10865 $abc$26600$n604$2 +.sym 10866 $false +.sym 10869 $abc$26600$n938 +.sym 10870 $abc$26600$n945_1 +.sym 10871 $false +.sym 10872 $false +.sym 10875 $abc$26600$n873 +.sym 10876 $abc$26600$n811 +.sym 10877 $false .sym 10878 $false -.sym 10879 $abc$25847$n149 -.sym 10880 CLK$2$2 -.sym 10881 $abc$25847$n141$2 -.sym 10882 $abc$25847$n600 -.sym 10883 $abc$25847$n669 -.sym 10884 $abc$25847$n633 -.sym 10885 $abc$25847$n599 -.sym 10886 $abc$25847$n640 -.sym 10887 $abc$25847$n649 -.sym 10888 $abc$25847$n719 -.sym 10889 $abc$25847$n643 -.sym 10956 $abc$25847$n601_1 -.sym 10957 i2c_input_data_type[3] -.sym 10958 $abc$25847$n1219_1 -.sym 10959 $abc$25847$n1220_1 -.sym 10962 I2C_COUNTER[3] -.sym 10963 $abc$25847$n624 -.sym 10964 $abc$25847$n632 -.sym 10965 $abc$25847$n606_1 -.sym 10968 I2C_COUNTER[0] -.sym 10969 $abc$25847$n641 -.sym 10970 $abc$25847$n1951 -.sym 10971 I2C.received_byte[3] -.sym 10974 I2C.received_byte[1] -.sym 10975 I2C.received_byte[0] -.sym 10976 $false +.sym 10882 $abc$26600$n479 +.sym 10883 $abc$26600$n519 +.sym 10884 $abc$26600$n901 +.sym 10885 $abc$26600$n475 +.sym 10886 $abc$26600$n908_1 +.sym 10887 $abc$26600$n1293_1 +.sym 10888 $abc$26600$n1312_1 +.sym 10889 $abc$26600$n871_1 +.sym 10956 $abc$26600$n940_1 +.sym 10957 $abc$26600$n55 +.sym 10958 $false +.sym 10959 $false +.sym 10962 $abc$26600$n1322 +.sym 10963 $abc$26600$n925 +.sym 10964 $abc$26600$n928_1 +.sym 10965 $false +.sym 10968 $abc$26600$n809 +.sym 10969 I2C.FLT_SCL.RESET$2 +.sym 10970 $abc$26600$n818 +.sym 10971 $false +.sym 10974 $abc$26600$n946 +.sym 10975 $abc$26600$n947_1 +.sym 10976 $abc$26600$n929 .sym 10977 $false -.sym 10980 I2C_COUNTER[3] -.sym 10981 I2C_COUNTER[1] -.sym 10982 $abc$25847$n606_1 -.sym 10983 $abc$25847$n638 -.sym 10986 i2c_input_data_type[1] -.sym 10987 i2c_input_data_type[3] -.sym 10988 i2c_input_data_type[0] -.sym 10989 i2c_input_data_type[2] -.sym 10992 I2C.received_byte[1] -.sym 10993 $false +.sym 10980 $abc$26600$n929 +.sym 10981 $abc$26600$n947_1 +.sym 10982 $abc$26600$n948_1 +.sym 10983 $abc$26600$n946 +.sym 10986 $abc$26600$n927_1 +.sym 10987 $abc$26600$n928_1 +.sym 10988 $false +.sym 10989 $false +.sym 10992 $abc$26600$n1822 +.sym 10993 $abc$26600$n929 .sym 10994 $false .sym 10995 $false -.sym 10998 $abc$25847$n625 -.sym 10999 $abc$25847$n621 -.sym 11000 $abc$25847$n616 -.sym 11001 $abc$25847$n624 -.sym 11005 $abc$25847$n648 -.sym 11006 $abc$25847$n647 -.sym 11007 $abc$25847$n627 -.sym 11008 $abc$25847$n667 -.sym 11009 $abc$25847$n607 -.sym 11010 $abc$25847$n634 -.sym 11011 $abc$25847$n668 -.sym 11012 $abc$25847$n608 -.sym 11079 i2c_input_data_type[3] -.sym 11080 $abc$25847$n1245_1 -.sym 11081 i2c_input_data_type[2] -.sym 11082 $abc$25847$n638 -.sym 11085 i2c_input_data_type[3] -.sym 11086 i2c_input_data_type[1] -.sym 11087 i2c_input_data_type[2] -.sym 11088 $false -.sym 11091 $abc$25847$n616 -.sym 11092 I2C.received_byte[1] -.sym 11093 I2C.received_byte[2] -.sym 11094 $abc$25847$n603_1 -.sym 11097 $abc$25847$n614 -.sym 11098 $abc$25847$n611 -.sym 11099 $abc$25847$n617 -.sym 11100 $abc$25847$n618 -.sym 11103 $abc$25847$n620 -.sym 11104 $abc$25847$n623 -.sym 11105 I2C_COUNTER[1] -.sym 11106 $abc$25847$n1217 -.sym 11109 $abc$25847$n1244 -.sym 11110 $abc$25847$n611 -.sym 11111 i2c_input_data_type[0] -.sym 11112 i2c_input_data_type[1] -.sym 11115 i2c_input_data_type[0] -.sym 11116 I2C.received_byte[0] -.sym 11117 I2C.received_byte[1] -.sym 11118 $false -.sym 11121 $abc$25847$n622 -.sym 11122 $abc$25847$n616 -.sym 11123 $abc$25847$n621 -.sym 11124 $abc$25847$n618 -.sym 11128 $abc$25847$n630 -.sym 11129 $abc$25847$n601_1 -.sym 11130 $abc$25847$n629 -.sym 11131 $abc$25847$n602 -.sym 11132 $abc$25847$n604 -.sym 11133 $abc$25847$n966 -.sym 11134 $abc$25847$n628 -.sym 11135 $abc$25847$n603_1 -.sym 11164 $true -.sym 11201 UART.tx_bit_counter[0]$2 -.sym 11202 $false -.sym 11203 UART.tx_bit_counter[0] -.sym 11204 $false -.sym 11205 $false -.sym 11207 $auto$alumacc.cc:474:replace_alu$6799.C[2]$2 -.sym 11209 UART.tx_bit_counter[1] -.sym 11210 $true$2 -.sym 11213 $auto$alumacc.cc:474:replace_alu$6799.C[3] -.sym 11215 UART.tx_bit_counter[2] -.sym 11216 $true$2 -.sym 11217 $auto$alumacc.cc:474:replace_alu$6799.C[2]$2 -.sym 11220 $false -.sym 11221 UART.tx_bit_counter[3] -.sym 11222 $false -.sym 11223 $auto$alumacc.cc:474:replace_alu$6799.C[3] -.sym 11226 I2C_COUNTER[0] -.sym 11227 I2C_COUNTER[1] -.sym 11228 $abc$25847$n612 -.sym 11229 $abc$25847$n613 -.sym 11232 I2C_COUNTER[1] -.sym 11233 $abc$25847$n613 -.sym 11234 $abc$25847$n612 -.sym 11235 $false -.sym 11238 I2C_COUNTER[4] -.sym 11239 I2C_COUNTER[5] -.sym 11240 I2C_COUNTER[6] -.sym 11241 $false -.sym 11244 $false -.sym 11245 UART.tx_bit_counter[2] -.sym 11246 $false -.sym 11247 $auto$alumacc.cc:474:replace_alu$6799.C[2] -.sym 11251 $2\ring_rd[3:0][0] -.sym 11252 $2\ring_rd[3:0][3] -.sym 11253 $abc$25847$n1418 -.sym 11254 $abc$25847$n690_1 -.sym 11255 $2\ring_rd[3:0][2] -.sym 11256 $abc$25847$n698 -.sym 11257 $abc$25847$n703 -.sym 11258 $abc$25847$n689 -.sym 11325 $abc$25847$n693_1 -.sym 11326 ring_wr[0] -.sym 11327 ring_wr[1] -.sym 11328 $false -.sym 11331 $false -.sym 11332 $false -.sym 11333 ring_wr[0] -.sym 11334 $false -.sym 11337 ring_wr[0] -.sym 11338 $abc$25847$n1531 -.sym 11339 $abc$25847$n693_1 -.sym 11340 $false -.sym 11343 $2\ring_wr[3:0][3] -.sym 11344 ring_rd[3] -.sym 11345 ring_rd[2] -.sym 11346 $2\ring_wr[3:0][2] -.sym 11349 $2\ring_rd[3:0][0] -.sym 11350 $false -.sym 11351 $false -.sym 11352 $false -.sym 11355 $2\ring_wr[3:0][2] -.sym 11356 $false -.sym 11357 $false -.sym 11358 $false -.sym 11361 $2\ring_wr[3:0][1] -.sym 11362 $false -.sym 11363 $false -.sym 11364 $false -.sym 11367 $2\ring_wr[3:0][0] -.sym 11368 $false -.sym 11369 $false -.sym 11370 $false -.sym 11371 $abc$25847$n135$2 -.sym 11372 CLK$2$2 -.sym 11373 $abc$25847$n21$2 -.sym 11376 $abc$25847$n1424 -.sym 11377 $abc$25847$n1427 -.sym 11378 UART_TX_DATA[1] -.sym 11379 UART_TX_DATA[5] -.sym 11380 UART_TX_DATA[3] -.sym 11381 UART_TX_DATA[7] -.sym 11448 $abc$25847$n946_1 -.sym 11449 $abc$25847$n949 -.sym 11450 $abc$25847$n52 -.sym 11451 $abc$25847$n908 -.sym 11454 UART_TX_DATA[6] -.sym 11455 UART_TX_DATA[4] -.sym 11456 $abc$25847$n586_1 +.sym 10998 $abc$26600$n55 +.sym 10999 $abc$26600$n926 +.sym 11000 $abc$26600$n605 +.sym 11001 $false +.sym 11005 $abc$26600$n619 +.sym 11008 $abc$26600$n1093 +.sym 11009 $abc$26600$n632_1 +.sym 11010 $abc$26600$n627_1 +.sym 11011 $abc$26600$n642 +.sym 11012 $abc$26600$n611_1 +.sym 11079 KEYBOARD.is_ghost +.sym 11080 $abc$26600$n872_1 +.sym 11081 $false +.sym 11082 $false +.sym 11103 $abc$26600$n1822 +.sym 11104 $abc$26600$n809 +.sym 11105 $false +.sym 11106 $false +.sym 11128 $abc$26600$n1091 +.sym 11129 $abc$26600$n622 +.sym 11130 $abc$26600$n2014 +.sym 11131 $abc$26600$n624 +.sym 11132 $abc$26600$n1092_1 +.sym 11133 $abc$26600$n1288 +.sym 11134 $abc$26600$n1287 +.sym 11135 $abc$26600$n626 +.sym 11251 $abc$26600$n1070 +.sym 11252 $abc$26600$n669 +.sym 11253 $22\i2c_input_data_type[3:0][0] +.sym 11254 $abc$26600$n861 +.sym 11255 i2c_input_data_type[0] +.sym 11256 i2c_input_data_type[1] +.sym 11257 i2c_input_data_type[3] +.sym 11258 i2c_input_data_type[2] +.sym 11337 I2C_COUNTER[0] +.sym 11338 I2C_COUNTER[2] +.sym 11339 I2C_COUNTER[3] +.sym 11340 I2C_COUNTER[1] +.sym 11343 IS_RAM_INIT +.sym 11344 I2C.FLT_SCL.RESET$2 +.sym 11345 $false +.sym 11346 $false +.sym 11374 $abc$26600$n596 +.sym 11375 $abc$26600$n1411 +.sym 11376 $abc$26600$n724_1 +.sym 11377 $abc$26600$n723 +.sym 11378 $2\I2C_OUTPUT_TYPE[2:0][0] +.sym 11379 $abc$26600$n595_1 +.sym 11380 $abc$26600$n726_1 +.sym 11381 $abc$26600$n1105 +.sym 11448 $false +.sym 11449 $false +.sym 11450 I2C_COUNTER[0] +.sym 11451 $false +.sym 11454 I2C_COUNTER[0] +.sym 11455 $abc$26600$n1481 +.sym 11456 $abc$26600$n652 .sym 11457 $false -.sym 11460 $abc$25847$n945 -.sym 11461 $abc$25847$n950_1 -.sym 11462 $abc$25847$n52 -.sym 11463 $abc$25847$n916_1 -.sym 11466 UART_TX_DATA[2] -.sym 11467 UART_TX_DATA[0] -.sym 11468 $abc$25847$n586_1 +.sym 11460 I2C_COUNTER[4] +.sym 11461 I2C_COUNTER[5] +.sym 11462 I2C_COUNTER[6] +.sym 11463 $false +.sym 11466 I2C_COUNTER[0] +.sym 11467 $abc$26600$n652 +.sym 11468 I2C_COUNTER[1] .sym 11469 $false -.sym 11472 I2C.received_byte[0] -.sym 11473 $abc$25847$n946_1 -.sym 11474 I2C.is_read +.sym 11472 $abc$26600$n2020 +.sym 11473 $abc$26600$n342$2 +.sym 11474 $false .sym 11475 $false -.sym 11478 I2C.received_byte[6] -.sym 11479 $abc$25847$n950_1 -.sym 11480 I2C.is_read +.sym 11478 $abc$26600$n342$2 +.sym 11479 $abc$26600$n667 +.sym 11480 $false .sym 11481 $false -.sym 11484 I2C.received_byte[4] -.sym 11485 $abc$25847$n949 -.sym 11486 I2C.is_read +.sym 11484 $2\I2C_OUTPUT_TYPE[2:0][0] +.sym 11485 $false +.sym 11486 $false .sym 11487 $false -.sym 11490 I2C.received_byte[2] -.sym 11491 $abc$25847$n945 -.sym 11492 I2C.is_read +.sym 11490 $abc$26600$n2022 +.sym 11491 $abc$26600$n342$2 +.sym 11492 $false .sym 11493 $false -.sym 11494 $abc$25847$n150 +.sym 11494 $abc$26600$n336$2 .sym 11495 CLK$2$2 -.sym 11496 $abc$25847$n141$2 -.sym 11497 $abc$25847$n1245 -.sym 11498 $abc$25847$n780 -.sym 11499 $abc$25847$n590 -.sym 11500 $abc$25847$n873 -.sym 11501 $abc$25847$n591_1 -.sym 11502 $abc$25847$n757 -.sym 11503 $abc$25847$n367 -.sym 11504 $abc$25847$n589 -.sym 11571 $abc$25847$n781 -.sym 11572 $abc$25847$n779 -.sym 11573 $false +.sym 11496 $abc$26600$n21$2 +.sym 11497 $abc$26600$n351 +.sym 11498 $abc$26600$n1285 +.sym 11499 $abc$26600$n688 +.sym 11500 $abc$26600$n1286 +.sym 11501 $abc$26600$n598 +.sym 11502 $abc$26600$n686 +.sym 11503 $0\temp_output_report[2:0][2] +.sym 11504 temp_output_report[2] +.sym 11571 I2C_COUNTER[4] +.sym 11572 I2C_COUNTER[5] +.sym 11573 I2C_COUNTER[6] .sym 11574 $false -.sym 11577 $abc$25847$n588 -.sym 11578 $abc$25847$n585 -.sym 11579 $abc$25847$n1245 -.sym 11580 $abc$25847$n1231 -.sym 11583 $abc$25847$n790 -.sym 11584 $abc$25847$n789 -.sym 11585 KEYBOARD.isr_internal -.sym 11586 $abc$25847$n788 -.sym 11589 $abc$25847$n1908 -.sym 11590 $false -.sym 11591 $false +.sym 11577 $abc$26600$n654_1 +.sym 11578 $abc$26600$n653_1 +.sym 11579 $abc$26600$n634 +.sym 11580 $false +.sym 11583 $abc$26600$n654_1 +.sym 11584 $abc$26600$n653_1 +.sym 11585 $abc$26600$n660 +.sym 11586 $abc$26600$n661 +.sym 11589 last_wr +.sym 11590 I2C.wr +.sym 11591 I2C_COUNTER[4] .sym 11592 $false -.sym 11595 temp_output_report[2] -.sym 11596 I2C.received_byte[2] -.sym 11597 $abc$25847$n1246 +.sym 11595 $abc$26600$n2024 +.sym 11596 $abc$26600$n342$2 +.sym 11597 $false .sym 11598 $false -.sym 11601 $abc$25847$n798 -.sym 11602 $abc$25847$n789 +.sym 11601 $abc$26600$n2023 +.sym 11602 $abc$26600$n342$2 .sym 11603 $false .sym 11604 $false -.sym 11607 $abc$25847$n781 -.sym 11608 KEYBOARD.isr_internal -.sym 11609 $abc$25847$n779 -.sym 11610 $abc$25847$n135$2 -.sym 11613 $0\temp_output_report[2:0][2] -.sym 11614 $false +.sym 11607 $abc$26600$n2021 +.sym 11608 $abc$26600$n342$2 +.sym 11609 $false +.sym 11610 $false +.sym 11613 $abc$26600$n2025 +.sym 11614 $abc$26600$n342$2 .sym 11615 $false .sym 11616 $false -.sym 11617 $abc$25847$n149 +.sym 11617 $abc$26600$n336$2 .sym 11618 CLK$2$2 -.sym 11619 $false -.sym 11620 $abc$25847$n782 -.sym 11621 $abc$25847$n875 -.sym 11622 $abc$25847$n864 -.sym 11623 $abc$25847$n802 -.sym 11624 $abc$25847$n867 -.sym 11625 $abc$25847$n863_1 -.sym 11626 $abc$25847$n800 -.sym 11627 $abc$25847$n801 -.sym 11694 $abc$25847$n869 -.sym 11695 $abc$25847$n801 -.sym 11696 $abc$25847$n789 -.sym 11697 $abc$25847$n859_1 -.sym 11700 $abc$25847$n791 -.sym 11701 $abc$25847$n799 -.sym 11702 $false -.sym 11703 $false -.sym 11706 $abc$25847$n1143 -.sym 11707 $abc$25847$n1262_1 -.sym 11708 $abc$25847$n783 -.sym 11709 $abc$25847$n782 -.sym 11712 $abc$25847$n779 -.sym 11713 $abc$25847$n781 -.sym 11714 $abc$25847$n782 -.sym 11715 $false -.sym 11718 $abc$25847$n803 -.sym 11719 KEYBOARD.is_pressed -.sym 11720 $abc$25847$n798 -.sym 11721 $abc$25847$n859_1 -.sym 11724 $abc$25847$n757 -.sym 11725 $abc$25847$n787 -.sym 11726 $abc$25847$n863_1 -.sym 11727 $abc$25847$n868 -.sym 11730 $abc$25847$n757 -.sym 11731 $abc$25847$n787 -.sym 11732 $abc$25847$n794 -.sym 11733 $abc$25847$n828_1 -.sym 11736 $abc$25847$n1262_1 -.sym 11737 $abc$25847$n859_1 -.sym 11738 $abc$25847$n789 +.sym 11619 $abc$26600$n21$2 +.sym 11620 $abc$26600$n665 +.sym 11621 $abc$26600$n684 +.sym 11622 $abc$26600$n679 +.sym 11623 $abc$26600$n681 +.sym 11624 $abc$26600$n685 +.sym 11625 $abc$26600$n677 +.sym 11626 $abc$26600$n649 +.sym 11627 $abc$26600$n680 +.sym 11656 $true +.sym 11693 $abc$26600$n2020$2 +.sym 11694 $false +.sym 11695 $abc$26600$n2020 +.sym 11696 $false +.sym 11697 $false +.sym 11699 $auto$alumacc.cc:474:replace_alu$7315.C[3] +.sym 11701 $false +.sym 11702 $abc$26600$n2021 +.sym 11705 $auto$alumacc.cc:474:replace_alu$7315.C[4] +.sym 11707 $false +.sym 11708 $abc$26600$n2022 +.sym 11711 $auto$alumacc.cc:474:replace_alu$7315.C[5] +.sym 11713 $false +.sym 11714 $abc$26600$n2023 +.sym 11717 $auto$alumacc.cc:474:replace_alu$7315.C[6] +.sym 11719 $false +.sym 11720 $abc$26600$n2024 +.sym 11723 $abc$26600$n2050$2 +.sym 11725 $false +.sym 11726 $abc$26600$n2025 +.sym 11733 $abc$26600$n2050$2 +.sym 11736 last_wr +.sym 11737 I2C.wr +.sym 11738 $false .sym 11739 $false -.sym 11743 $abc$25847$n898 -.sym 11745 $abc$25847$n751 -.sym 11748 $abc$25847$n760 -.sym 11749 $abc$25847$n781 -.sym 11817 KEYBOARD.REPORT.r_data[7] -.sym 11818 KEYBOARD.is_pressed -.sym 11819 $abc$25847$n857 +.sym 11743 $3\int_tmr[14:0][4] +.sym 11744 $3\int_tmr[14:0][3] +.sym 11745 $3\int_tmr[14:0][13] +.sym 11748 int_tmr[4] +.sym 11749 int_tmr[3] +.sym 11750 int_tmr[13] +.sym 11779 $true +.sym 11816 $abc$26600$n2029$3 +.sym 11817 $false +.sym 11818 $abc$26600$n2029 +.sym 11819 $false .sym 11820 $false -.sym 11823 $abc$25847$n842 -.sym 11824 KEYBOARD.kbd_code_hid[2] -.sym 11825 $false -.sym 11826 $false -.sym 11829 KEYBOARD.kbd_code_hid[2] -.sym 11830 KEYBOARD.REPORT.r_data[2] -.sym 11831 $abc$25847$n838 -.sym 11832 $false -.sym 11835 KEYBOARD.is_pressed -.sym 11836 KEYBOARD.REPORT.r_data[3] -.sym 11837 KEYBOARD.kbd_code_hid[2] -.sym 11838 $abc$25847$n842 -.sym 11841 $abc$25847$n1260_1 -.sym 11842 $abc$25847$n1231_1 -.sym 11843 $abc$25847$n842 -.sym 11844 KEYBOARD.is_pressed -.sym 11847 KEYBOARD.kbd_code_hid[0] -.sym 11848 KEYBOARD.kbd_code_hid[1] -.sym 11849 $false -.sym 11850 $false -.sym 11853 KEYBOARD.kbd_code_hid[0] -.sym 11854 KEYBOARD.kbd_code_hid[1] -.sym 11855 $false -.sym 11856 $false -.sym 11859 KEYBOARD.REPORT.r_data[7] -.sym 11860 KEYBOARD.REPORT.r_data[3] -.sym 11861 KEYBOARD.kbd_code_hid[2] +.sym 11822 $auto$alumacc.cc:474:replace_alu$7419.C[2] +.sym 11824 $false +.sym 11825 $abc$26600$n2010 +.sym 11829 $false +.sym 11830 $false +.sym 11831 $abc$26600$n2031 +.sym 11832 $auto$alumacc.cc:474:replace_alu$7419.C[2] +.sym 11835 $abc$26600$n591 +.sym 11836 $abc$26600$n590 +.sym 11837 $abc$26600$n1309 +.sym 11838 $abc$26600$n1323 +.sym 11841 $abc$26600$n2010 +.sym 11842 $abc$26600$n2011 +.sym 11843 $false +.sym 11844 $false +.sym 11847 $abc$26600$n588 +.sym 11848 $abc$26600$n585 +.sym 11849 $abc$26600$n1323 +.sym 11850 $abc$26600$n1309 +.sym 11859 $false +.sym 11860 $false +.sym 11861 $abc$26600$n2029 .sym 11862 $false -.sym 11868 $abc$25847$n1231 -.sym 11869 $abc$25847$n752 -.sym 11870 $abc$25847$n753 -.sym 11872 $abc$25847$n899 -.sym 11873 $abc$25847$n900_1 -.sym 11940 $abc$25847$n1928 -.sym 11941 $false +.sym 11868 $abc$26600$n1334 +.sym 11869 $abc$26600$n1337 +.sym 11870 $abc$26600$n1340 +.sym 11871 $abc$26600$n1343 +.sym 11872 $abc$26600$n1346 +.sym 11873 $abc$26600$n1349 +.sym 11940 $abc$26600$n715 +.sym 11941 int_tmr[2] .sym 11942 $false .sym 11943 $false -.sym 11946 UART.tx_activity -.sym 11947 $abc$25847$n726_1 -.sym 11948 $abc$25847$n728 -.sym 11949 $abc$25847$n115 -.sym 11964 $abc$25847$n1924 -.sym 11965 $false -.sym 11966 $false +.sym 11946 $abc$26600$n715 +.sym 11947 int_tmr[12] +.sym 11948 $false +.sym 11949 $false +.sym 11952 $abc$26600$n715 +.sym 11953 int_tmr[7] +.sym 11954 $false +.sym 11955 $false +.sym 11958 $abc$26600$n715 +.sym 11959 int_tmr[5] +.sym 11960 $false +.sym 11961 $false +.sym 11964 $abc$26600$n1334 +.sym 11965 int_tmr[2] +.sym 11966 $3\int_tmr[14:0][14] .sym 11967 $false -.sym 11970 KEYBOARD.kbd_code_hid[0] -.sym 11971 KEYBOARD.kbd_code_hid[1] -.sym 11972 $false +.sym 11970 $abc$26600$n1343 +.sym 11971 int_tmr[5] +.sym 11972 $3\int_tmr[14:0][14] .sym 11973 $false -.sym 11989 KEYBOARD.row_time[7] -.sym 11991 KEYBOARD.row_time[12] -.sym 11996 KEYBOARD.row_time[13] -.sym 12063 $abc$25847$n1173 -.sym 12064 $abc$25847$n589 -.sym 12065 $abc$25847$n584_1 -.sym 12066 UART.tx_activity -.sym 12109 $abc$25847$n116 +.sym 11976 $abc$26600$n1364 +.sym 11977 int_tmr[12] +.sym 11978 $3\int_tmr[14:0][14] +.sym 11979 $false +.sym 11982 $abc$26600$n1349 +.sym 11983 int_tmr[7] +.sym 11984 $3\int_tmr[14:0][14] +.sym 11985 $false +.sym 11986 $abc$26600$n336$2 +.sym 11987 CLK$2$2 +.sym 11988 $abc$26600$n21$2 +.sym 11989 $abc$26600$n1352 +.sym 11990 $abc$26600$n1355 +.sym 11991 $abc$26600$n1358 +.sym 11992 $abc$26600$n1361 +.sym 11993 $abc$26600$n1364 +.sym 11994 $abc$26600$n1367 +.sym 11995 $abc$26600$n1370 +.sym 11996 $abc$26600$n17 +.sym 12063 $abc$26600$n2027 +.sym 12064 $false +.sym 12065 $false +.sym 12066 $false +.sym 12075 $abc$26600$n2011 +.sym 12076 $false +.sym 12077 $false +.sym 12078 $false +.sym 12081 $abc$26600$n2010 +.sym 12082 $false +.sym 12083 $false +.sym 12084 $false +.sym 12105 $abc$26600$n2030 +.sym 12106 $false +.sym 12107 $false +.sym 12108 $false +.sym 12109 $abc$26600$n317 .sym 12110 CLK$2$2 -.sym 12111 $false -.sym 12116 $abc$25847$n21 +.sym 12111 $abc$26600$n17 +.sym 12114 UART.tx_clk_counter[0] +.sym 12115 UART.tx_clk_counter[1] +.sym 12118 UART.tx_clk_counter[3] +.sym 12119 UART.tx_clk_counter[2] .sym 12148 $true -.sym 12185 $abc$25847$n1927$2 +.sym 12185 UART.tx_bit_counter[0]$2 .sym 12186 $false -.sym 12187 $abc$25847$n1927 +.sym 12187 UART.tx_bit_counter[0] .sym 12188 $false .sym 12189 $false -.sym 12191 $auto$alumacc.cc:474:replace_alu$6688.C[2] -.sym 12193 $false -.sym 12194 $abc$25847$n1907 -.sym 12197 $auto$alumacc.cc:474:replace_alu$6688.C[3] -.sym 12199 $false -.sym 12200 $abc$25847$n1929 -.sym 12203 $abc$25847$n1173$2 -.sym 12205 $false -.sym 12206 $abc$25847$n1925 -.sym 12213 $abc$25847$n1173$2 -.sym 12309 $abc$25847$n396 -.sym 12339 KEYBOARD.COLUMN_SHADOW[0] -.sym 12340 KEYBOARD.COLUMN_SHADOW[1] -.sym 12341 KEYBOARD.COLUMN_SHADOW[3] -.sym 12342 KEYBOARD.COLUMN_SHADOW[2] -.sym 12372 $true -.sym 12409 $abc$25847$n1918$2 -.sym 12410 $false -.sym 12411 $abc$25847$n1918 +.sym 12191 $auto$alumacc.cc:474:replace_alu$7416.C[2]$2 +.sym 12193 UART.tx_bit_counter[1] +.sym 12194 $true$2 +.sym 12197 $auto$alumacc.cc:474:replace_alu$7416.C[3] +.sym 12199 UART.tx_bit_counter[2] +.sym 12200 $true$2 +.sym 12201 $auto$alumacc.cc:474:replace_alu$7416.C[2]$2 +.sym 12204 $false +.sym 12205 UART.tx_bit_counter[3] +.sym 12206 $false +.sym 12207 $auto$alumacc.cc:474:replace_alu$7416.C[3] +.sym 12210 UART.tx_bit_counter[3] +.sym 12211 $abc$26600$n772 +.sym 12212 $false +.sym 12213 $false +.sym 12216 UART.tx_activity +.sym 12217 $abc$26600$n769 +.sym 12218 $abc$26600$n771 +.sym 12219 $abc$26600$n316 +.sym 12222 UART.tx_bit_counter[0] +.sym 12223 UART.tx_bit_counter[1] +.sym 12224 UART.tx_bit_counter[2] +.sym 12225 UART.tx_activity +.sym 12228 $false +.sym 12229 UART.tx_bit_counter[2] +.sym 12230 $false +.sym 12231 $auto$alumacc.cc:474:replace_alu$7416.C[2] +.sym 12309 $abc$26600$n617 +.sym 12336 KEYBOARD.row_counter[0] +.sym 12338 KEYBOARD.row_counter[1] +.sym 12339 KEYBOARD.IS_RAM_INIT +.sym 12410 KEYBOARD.IS_RAM_INIT$2 +.sym 12411 KBD_COLUMNS[3]$2 .sym 12412 $false .sym 12413 $false -.sym 12415 $auto$alumacc.cc:474:replace_alu$6693.C[3] -.sym 12417 $false -.sym 12418 $abc$25847$n1919 -.sym 12421 $auto$alumacc.cc:474:replace_alu$6693.C[4] -.sym 12423 $false -.sym 12424 $abc$25847$n1920 -.sym 12427 $auto$alumacc.cc:474:replace_alu$6693.C[5] -.sym 12429 $false -.sym 12430 $abc$25847$n1921 -.sym 12433 $auto$alumacc.cc:474:replace_alu$6693.C[6] -.sym 12435 $false -.sym 12436 $abc$25847$n1922 -.sym 12439 $abc$25847$n1947$2 -.sym 12441 $false -.sym 12442 $abc$25847$n1923 -.sym 12449 $abc$25847$n1947$2 -.sym 12452 $abc$25847$n1923 -.sym 12453 $abc$25847$n1921 -.sym 12454 $abc$25847$n1922 +.sym 12416 KEYBOARD.IS_RAM_INIT$2 +.sym 12417 KBD_COLUMNS[0]$2 +.sym 12418 $false +.sym 12419 $false +.sym 12422 KEYBOARD.IS_RAM_INIT$2 +.sym 12423 KBD_COLUMNS[6]$2 +.sym 12424 $false +.sym 12425 $false +.sym 12434 KEYBOARD.IS_RAM_INIT$2 +.sym 12435 KBD_COLUMNS[7]$2 +.sym 12436 $false +.sym 12437 $false +.sym 12446 KEYBOARD.IS_RAM_INIT$2 +.sym 12447 KBD_COLUMNS[5]$2 +.sym 12448 $false +.sym 12449 $false +.sym 12452 KEYBOARD.IS_RAM_INIT$2 +.sym 12453 KBD_COLUMNS[4]$2 +.sym 12454 $false .sym 12455 $false +.sym 12456 $abc$26600$n611 +.sym 12457 CLK$2$2 +.sym 12458 $abc$26600$n21$2 .sym 12461 KBD_COLUMNS[4]$2 -.sym 12463 $abc$25847$n856 -.sym 12464 $abc$25847$n1134 -.sym 12465 $abc$25847$n817_1 -.sym 12466 $abc$25847$n1755 -.sym 12467 $abc$25847$n1659 -.sym 12468 $abc$25847$n1228 -.sym 12469 $abc$25847$n392 -.sym 12470 $abc$25847$n1136 -.sym 12579 I2C_COUNTER[5] -.sym 12580 $abc$25847$n1413 -.sym 12581 $abc$25847$n654 +.sym 12463 KEYBOARD.last_adr[1] +.sym 12464 KEYBOARD.last_adr[4] +.sym 12465 KEYBOARD.last_adr[6] +.sym 12466 KEYBOARD.row_time[9] +.sym 12467 KEYBOARD.row_counter[2] +.sym 12468 KEYBOARD.last_adr[0] +.sym 12469 KEYBOARD.last_adr[3] +.sym 12470 KEYBOARD.row_counter[3] +.sym 12573 $abc$26600$n136 +.sym 12574 $abc$26600$n138 +.sym 12575 $abc$26600$n144 +.sym 12576 $abc$26600$n1692 +.sym 12579 $abc$26600$n731 +.sym 12580 KEYBOARD.last_adr[4] +.sym 12581 $false .sym 12582 $false -.sym 12591 I2C_COUNTER[3] -.sym 12592 $abc$25847$n1409 -.sym 12593 $abc$25847$n654 -.sym 12594 $false -.sym 12597 I2C_COUNTER[0] -.sym 12598 $abc$25847$n654 -.sym 12599 I2C_COUNTER[1] +.sym 12585 $false +.sym 12586 $false +.sym 12587 KEYBOARD.last_adr[0] +.sym 12588 $false +.sym 12591 $abc$26600$n1125 +.sym 12592 $abc$26600$n1186 +.sym 12593 $abc$26600$n1185 +.sym 12594 KEYBOARD.IS_RAM_INIT$2 +.sym 12597 $abc$26600$n1144_1 +.sym 12598 $abc$26600$n1146_1 +.sym 12599 $abc$26600$n1148_1 .sym 12600 $false -.sym 12603 $abc$25847$n1922 -.sym 12604 $abc$25847$n141$2 -.sym 12605 $false -.sym 12606 $false -.sym 12619 $abc$25847$n135$2 -.sym 12620 CLK$2$2 -.sym 12621 $abc$25847$n21$2 -.sym 12622 KEYBOARD.row_time[10] -.sym 12623 KEYBOARD.row_time[8] -.sym 12624 KEYBOARD.row_time[9] -.sym 12626 KEYBOARD.last_adr[0] -.sym 12627 KEYBOARD.row_counter[3] -.sym 12628 KEYBOARD.last_adr[1] -.sym 12658 $true -.sym 12695 $abc$25847$n712$2 -.sym 12696 $false -.sym 12697 $abc$25847$n712 -.sym 12698 $false +.sym 12603 $abc$26600$n129 +.sym 12604 $abc$26600$n132 +.sym 12605 $abc$26600$n133 +.sym 12606 $abc$26600$n135 +.sym 12609 $abc$26600$n731 +.sym 12610 KEYBOARD.last_adr[6] +.sym 12611 $false +.sym 12612 $false +.sym 12615 $abc$26600$n731 +.sym 12616 KEYBOARD.last_adr[7] +.sym 12617 $false +.sym 12618 $false +.sym 12622 $abc$26600$n1110 +.sym 12623 $abc$26600$n1346_1 +.sym 12624 $abc$26600$n1137_1 +.sym 12625 $abc$26600$n1118 +.sym 12626 $abc$26600$n1131 +.sym 12627 $abc$26600$n1124 +.sym 12628 $abc$26600$n1120 +.sym 12629 $abc$26600$n1117 +.sym 12696 $abc$26600$n1130 +.sym 12697 $abc$26600$n1118 +.sym 12698 $abc$26600$n1128 .sym 12699 $false -.sym 12701 $auto$alumacc.cc:474:replace_alu$6698.C[2] -.sym 12703 $false -.sym 12704 $abc$25847$n711 -.sym 12707 $auto$alumacc.cc:474:replace_alu$6698.C[3] -.sym 12709 $true$2 -.sym 12710 $abc$25847$n1926 -.sym 12713 $auto$alumacc.cc:474:replace_alu$6698.C[4] -.sym 12715 $false -.sym 12716 $abc$25847$n708 -.sym 12719 $auto$alumacc.cc:474:replace_alu$6698.C[5] -.sym 12721 $false -.sym 12722 $abc$25847$n706 -.sym 12725 $auto$alumacc.cc:474:replace_alu$6698.C[6] -.sym 12727 $true$2 -.sym 12728 $abc$25847$n1916 -.sym 12731 $auto$alumacc.cc:474:replace_alu$6698.C[7] -.sym 12733 $true$2 -.sym 12734 $abc$25847$n1917 -.sym 12737 $abc$25847$n1948$2 -.sym 12739 $false -.sym 12740 $abc$25847$n702 -.sym 12745 $abc$25847$n1225_1 -.sym 12746 $abc$25847$n1224_1 -.sym 12747 $abc$25847$n1223_1 -.sym 12748 $abc$25847$n1222_1 -.sym 12749 $abc$25847$n671 -.sym 12750 $abc$25847$n672 -.sym 12752 $abc$25847$n665 -.sym 12822 $abc$25847$n1948$2 -.sym 12825 $false -.sym 12826 $false -.sym 12827 I2C_COUNTER[0] +.sym 12702 KEYBOARD.last_adr[1] +.sym 12703 $abc$26600$n1123 +.sym 12704 $abc$26600$n1341_1 +.sym 12705 $abc$26600$n1347_1 +.sym 12708 $abc$26600$n1130 +.sym 12709 $abc$26600$n941 +.sym 12710 $abc$26600$n1115_1 +.sym 12711 $abc$26600$n1112_1 +.sym 12714 $abc$26600$n1116_1 +.sym 12715 KEYBOARD.row_counter[0] +.sym 12716 KEYBOARD.last_adr[0] +.sym 12717 $abc$26600$n1239 +.sym 12720 $abc$26600$n943 +.sym 12721 $abc$26600$n1131 +.sym 12722 $abc$26600$n1129 +.sym 12723 $abc$26600$n809 +.sym 12726 $abc$26600$n1114 +.sym 12727 $abc$26600$n1112_1 +.sym 12728 $false +.sym 12729 $false +.sym 12732 $abc$26600$n1140_1 +.sym 12733 $abc$26600$n1346_1 +.sym 12734 $abc$26600$n1345_1 +.sym 12735 $false +.sym 12738 $abc$26600$n1131 +.sym 12739 KEYBOARD.row_counter[2] +.sym 12740 $abc$26600$n1142_1 +.sym 12741 $abc$26600$n1130 +.sym 12747 $abc$26600$n1763 +.sym 12748 $abc$26600$n18 +.sym 12749 $abc$26600$n1136 +.sym 12752 $abc$26600$n1133 +.sym 12819 $abc$26600$n605 +.sym 12820 $abc$26600$n798 +.sym 12821 $abc$26600$n604$2 +.sym 12822 $false +.sym 12825 $abc$26600$n816_1 +.sym 12826 $abc$26600$n902 +.sym 12827 $abc$26600$n965 .sym 12828 $false -.sym 12831 I2C_COUNTER[0] -.sym 12832 $abc$25847$n1403 -.sym 12833 $abc$25847$n654 +.sym 12831 $abc$26600$n1122 +.sym 12832 $abc$26600$n1112_1 +.sym 12833 $false .sym 12834 $false -.sym 12837 IS_RAM_INIT -.sym 12838 $abc$25847$n898 -.sym 12839 $abc$25847$n896_1 -.sym 12840 $false -.sym 12843 $abc$25847$n1920 -.sym 12844 $abc$25847$n141$2 +.sym 12837 $abc$26600$n827 +.sym 12838 $abc$26600$n829 +.sym 12839 KEYBOARD.is_pressed +.sym 12840 $abc$26600$n605 +.sym 12843 KEYBOARD.report_wr_en +.sym 12844 $abc$26600$n798 .sym 12845 $false .sym 12846 $false -.sym 12849 $abc$25847$n141$2 -.sym 12850 $abc$25847$n663 +.sym 12849 $abc$26600$n605 +.sym 12850 KEYBOARD.isr_internal .sym 12851 $false .sym 12852 $false -.sym 12855 $abc$25847$n1918 -.sym 12856 $abc$25847$n141$2 +.sym 12855 $abc$26600$n55 +.sym 12856 $abc$26600$n1822 .sym 12857 $false .sym 12858 $false -.sym 12865 $abc$25847$n135$2 -.sym 12866 CLK$2$2 -.sym 12867 $abc$25847$n21$2 -.sym 12868 $abc$25847$n708_1 -.sym 12870 $abc$25847$n597_1 -.sym 12871 $abc$25847$n1226_1 -.sym 12872 $abc$25847$n748 -.sym 12874 $22\i2c_input_data_type[3:0][0] -.sym 12875 $abc$25847$n679 -.sym 12942 $abc$25847$n599 -.sym 12943 $abc$25847$n642 -.sym 12944 $abc$25847$n644 +.sym 12861 $abc$26600$n1135_1 +.sym 12862 $abc$26600$n1112_1 +.sym 12863 $false +.sym 12864 $false +.sym 12868 $abc$26600$n826 +.sym 12869 $abc$26600$n1188 +.sym 12870 $abc$26600$n1190 +.sym 12871 $abc$26600$n1193 +.sym 12872 $abc$26600$n1189 +.sym 12873 $abc$26600$n912 +.sym 12874 $abc$26600$n1316 +.sym 12875 $abc$26600$n825 +.sym 12942 $abc$26600$n912 +.sym 12943 KEYBOARD.report_wr_en +.sym 12944 $abc$26600$n816_1 .sym 12945 $false -.sym 12948 $abc$25847$n642 -.sym 12949 $abc$25847$n599 -.sym 12950 $abc$25847$n644 -.sym 12951 $abc$25847$n716 -.sym 12954 $abc$25847$n638 -.sym 12955 i2c_input_data_type[2] -.sym 12956 $false -.sym 12957 $false -.sym 12960 I2C.is_read -.sym 12961 $abc$25847$n639 -.sym 12962 $false -.sym 12963 $false -.sym 12966 $abc$25847$n643 -.sym 12967 $abc$25847$n638 -.sym 12968 $false -.sym 12969 $false -.sym 12972 $abc$25847$n748 -.sym 12973 $abc$25847$n685 -.sym 12974 $false -.sym 12975 $false -.sym 12978 $abc$25847$n709 -.sym 12979 $abc$25847$n1033_1 -.sym 12980 $abc$25847$n719 -.sym 12981 $false -.sym 12984 $abc$25847$n709 -.sym 12985 $abc$25847$n1033_1 -.sym 12986 $abc$25847$n1911 -.sym 12987 $false -.sym 12988 $abc$25847$n149 -.sym 12989 CLK$2$2 -.sym 12990 $abc$25847$n141$2 -.sym 12991 $abc$25847$n720 -.sym 12992 $abc$25847$n701 -.sym 12993 $abc$25847$n681 -.sym 12994 $abc$25847$n1031 -.sym 12995 $abc$25847$n709 -.sym 12996 $abc$25847$n670_1 -.sym 12998 i2c_input_data_type[0] -.sym 13065 I2C_COUNTER[1] -.sym 13066 $abc$25847$n601_1 -.sym 13067 $false +.sym 12948 I2C.FLT_SCL.RESET$2 +.sym 12949 $abc$26600$n818 +.sym 12950 $false +.sym 12951 $false +.sym 12954 KEYBOARD.report_wr_en +.sym 12955 $abc$26600$n812_1 +.sym 12956 $abc$26600$n816_1 +.sym 12957 $abc$26600$n798 +.sym 12960 $abc$26600$n816_1 +.sym 12961 KEYBOARD.report_wr_en +.sym 12962 $abc$26600$n1316 +.sym 12963 $abc$26600$n798 +.sym 12966 $abc$26600$n1317_1 +.sym 12967 $abc$26600$n1318_1 +.sym 12968 $abc$26600$n937 +.sym 12969 $abc$26600$n953_1 +.sym 12972 $abc$26600$n827 +.sym 12973 $abc$26600$n1188 +.sym 12974 $abc$26600$n902 +.sym 12975 $abc$26600$n816_1 +.sym 12978 KEYBOARD.isr_internal +.sym 12979 $abc$26600$n605 +.sym 12980 $abc$26600$n798 +.sym 12981 $abc$26600$n797 +.sym 12984 $abc$26600$n797 +.sym 12985 $abc$26600$n912 +.sym 12986 $abc$26600$n336$2 +.sym 12987 $abc$26600$n924 +.sym 12991 $abc$26600$n820 +.sym 12992 $abc$26600$n1294_1 +.sym 12993 $abc$26600$n835_1 +.sym 12994 $abc$26600$n821 +.sym 12995 $abc$26600$n1315_1 +.sym 12996 $abc$26600$n1314_1 +.sym 12997 $abc$26600$n1311_1 +.sym 12998 $abc$26600$n836 +.sym 13065 $abc$26600$n605 +.sym 13066 $abc$26600$n798 +.sym 13067 $abc$26600$n908_1 .sym 13068 $false -.sym 13071 I2C_COUNTER[1] -.sym 13072 $abc$25847$n601_1 -.sym 13073 $abc$25847$n638 -.sym 13074 $false -.sym 13077 $abc$25847$n634 -.sym 13078 i2c_input_data_type[2] -.sym 13079 $false +.sym 13071 $abc$26600$n1312_1 +.sym 13072 $abc$26600$n796 +.sym 13073 $abc$26600$n911 +.sym 13074 $abc$26600$n1315_1 +.sym 13077 $abc$26600$n906 +.sym 13078 $abc$26600$n925 +.sym 13079 $abc$26600$n902 .sym 13080 $false -.sym 13083 $abc$25847$n607 -.sym 13084 $abc$25847$n627 -.sym 13085 $abc$25847$n600 -.sym 13086 $abc$25847$n633 -.sym 13089 $abc$25847$n641 -.sym 13090 $abc$25847$n634 -.sym 13091 $abc$25847$n638 -.sym 13092 $abc$25847$n1951 -.sym 13095 $abc$25847$n638 -.sym 13096 i2c_input_data_type[1] -.sym 13097 $abc$25847$n640 -.sym 13098 I2C.received_byte[1] -.sym 13101 $abc$25847$n600 -.sym 13102 $abc$25847$n607 -.sym 13103 $abc$25847$n649 -.sym 13104 $abc$25847$n647 -.sym 13107 $abc$25847$n641 -.sym 13108 $abc$25847$n634 -.sym 13109 I2C.received_byte[2] -.sym 13110 $abc$25847$n1951 -.sym 13117 $abc$25847$n819 -.sym 13119 $abc$25847$n815 -.sym 13121 $abc$25847$n1251_1 -.sym 13188 $abc$25847$n628 -.sym 13189 I2C.received_byte[4] -.sym 13190 $abc$25847$n629 -.sym 13191 $abc$25847$n631 -.sym 13194 i2c_input_data_type[1] -.sym 13195 $abc$25847$n648 -.sym 13196 $abc$25847$n634 -.sym 13197 $abc$25847$n638 -.sym 13200 $abc$25847$n628 -.sym 13201 $abc$25847$n629 -.sym 13202 $abc$25847$n631 -.sym 13203 $false -.sym 13206 $abc$25847$n610 -.sym 13207 $abc$25847$n608 -.sym 13208 $abc$25847$n1218 -.sym 13209 $abc$25847$n668 -.sym 13212 $abc$25847$n610 -.sym 13213 $abc$25847$n608 -.sym 13214 $abc$25847$n1218 +.sym 13083 $abc$26600$n1294_1 +.sym 13084 $abc$26600$n796 +.sym 13085 $abc$26600$n901 +.sym 13086 $abc$26600$n1315_1 +.sym 13089 $abc$26600$n818 +.sym 13090 $abc$26600$n809 +.sym 13091 $abc$26600$n350 +.sym 13092 $false +.sym 13095 $abc$26600$n871_1 +.sym 13096 $abc$26600$n1822 +.sym 13097 $abc$26600$n798 +.sym 13098 $abc$26600$n809 +.sym 13101 $abc$26600$n822 +.sym 13102 $abc$26600$n824 +.sym 13103 $abc$26600$n1311_1 +.sym 13104 $abc$26600$n908_1 +.sym 13107 $abc$26600$n872_1 +.sym 13108 IS_RAM_INIT +.sym 13109 $abc$26600$n55 +.sym 13110 $false +.sym 13114 $abc$26600$n610 +.sym 13115 $abc$26600$n614 +.sym 13116 $abc$26600$n613 +.sym 13117 $abc$26600$n644_1 +.sym 13118 $abc$26600$n1290 +.sym 13119 $abc$26600$n612 +.sym 13120 $abc$26600$n862 +.sym 13121 $abc$26600$n647 +.sym 13188 i2c_input_data_type[3] +.sym 13189 i2c_input_data_type[1] +.sym 13190 i2c_input_data_type[2] +.sym 13191 $false +.sym 13206 $abc$26600$n606 +.sym 13207 $abc$26600$n619 +.sym 13208 $abc$26600$n627_1 +.sym 13209 I2C_COUNTER[3] +.sym 13212 I2C_COUNTER[3] +.sym 13213 $abc$26600$n627_1 +.sym 13214 $abc$26600$n606 .sym 13215 $false .sym 13218 I2C_COUNTER[0] -.sym 13219 I2C_COUNTER[3] -.sym 13220 I2C_COUNTER[1] -.sym 13221 $abc$25847$n606_1 -.sym 13224 $abc$25847$n629 -.sym 13225 $abc$25847$n602 -.sym 13226 $abc$25847$n631 -.sym 13227 $abc$25847$n634 -.sym 13230 I2C_COUNTER[0] -.sym 13231 $abc$25847$n602 -.sym 13232 $false -.sym 13233 $false -.sym 13239 $abc$25847$n814 -.sym 13311 I2C.received_byte[1] -.sym 13312 I2C.received_byte[2] -.sym 13313 I2C.received_byte[4] -.sym 13314 I2C.received_byte[5] -.sym 13317 $abc$25847$n602 -.sym 13318 I2C_COUNTER[0] -.sym 13319 $false -.sym 13320 $false -.sym 13323 $abc$25847$n603_1 -.sym 13324 $abc$25847$n630 -.sym 13325 $false -.sym 13326 $false -.sym 13329 I2C.received_byte[4] -.sym 13330 $abc$25847$n604 -.sym 13331 $abc$25847$n603_1 -.sym 13332 $false -.sym 13335 I2C.received_byte[1] -.sym 13336 I2C.received_byte[2] -.sym 13337 I2C.received_byte[5] -.sym 13338 $false -.sym 13341 I2C.received_byte[7] -.sym 13342 $abc$25847$n630 -.sym 13343 I2C.received_byte[3] -.sym 13344 I2C.received_byte[6] -.sym 13347 $abc$25847$n603_1 -.sym 13348 $abc$25847$n604 +.sym 13219 I2C_COUNTER[1] +.sym 13220 $false +.sym 13221 $false +.sym 13224 $abc$26600$n672 +.sym 13225 i2c_input_data_type[1] +.sym 13226 $abc$26600$n644_1 +.sym 13227 $abc$26600$n647 +.sym 13230 i2c_input_data_type[1] +.sym 13231 i2c_input_data_type[3] +.sym 13232 i2c_input_data_type[0] +.sym 13233 i2c_input_data_type[2] +.sym 13237 $abc$26600$n645 +.sym 13238 $abc$26600$n600 +.sym 13239 $abc$26600$n672 +.sym 13240 $abc$26600$n673_1 +.sym 13241 $abc$26600$n607_1 +.sym 13242 $abc$26600$n601 +.sym 13243 $abc$26600$n621 +.sym 13244 $abc$26600$n605_1 +.sym 13311 $abc$26600$n1093 +.sym 13312 $abc$26600$n1092_1 +.sym 13313 i2c_input_data_type[0] +.sym 13314 $abc$26600$n633 +.sym 13317 I2C_COUNTER[3] +.sym 13318 $abc$26600$n624 +.sym 13319 I2C_COUNTER[2] +.sym 13320 $abc$26600$n623 +.sym 13323 $abc$26600$n600 +.sym 13324 $abc$26600$n1288 +.sym 13325 $abc$26600$n1287 +.sym 13326 $abc$26600$n633 +.sym 13329 i2c_input_data_type[3] +.sym 13330 I2C_COUNTER[1] +.sym 13331 i2c_input_data_type[1] +.sym 13332 i2c_input_data_type[2] +.sym 13335 i2c_input_data_type[1] +.sym 13336 i2c_input_data_type[3] +.sym 13337 $abc$26600$n626 +.sym 13338 i2c_input_data_type[2] +.sym 13341 $abc$26600$n632_1 +.sym 13342 i2c_input_data_type[2] +.sym 13343 $abc$26600$n633 +.sym 13344 $false +.sym 13347 I2C.received_byte[2] +.sym 13348 $abc$26600$n631 .sym 13349 $false .sym 13350 $false -.sym 13353 I2C.received_byte[7] -.sym 13354 I2C.received_byte[0] -.sym 13355 I2C.received_byte[3] -.sym 13356 I2C.received_byte[6] -.sym 13361 $abc$25847$n688 -.sym 13362 $abc$25847$n687 -.sym 13363 ring_rd[3] -.sym 13365 ring_rd[1] -.sym 13434 ring_rd[0] -.sym 13435 $abc$25847$n1418 -.sym 13436 $abc$25847$n690_1 +.sym 13353 I2C_COUNTER[3] +.sym 13354 $abc$26600$n627_1 +.sym 13355 I2C_COUNTER[2] +.sym 13356 $abc$26600$n623 +.sym 13360 $abc$26600$n638 +.sym 13361 $abc$26600$n633 +.sym 13362 $abc$26600$n858 +.sym 13363 $abc$26600$n706 +.sym 13364 $abc$26600$n716 +.sym 13365 $abc$26600$n639 +.sym 13366 $abc$26600$n640 +.sym 13367 $abc$26600$n385 +.sym 13434 $abc$26600$n688 +.sym 13435 $abc$26600$n717 +.sym 13436 $false .sym 13437 $false -.sym 13440 ring_rd[3] -.sym 13441 $abc$25847$n1427 -.sym 13442 $abc$25847$n690_1 +.sym 13440 $abc$26600$n633 +.sym 13441 i2c_input_data_type[1] +.sym 13442 $false .sym 13443 $false -.sym 13446 $false -.sym 13447 $false -.sym 13448 ring_rd[0] +.sym 13446 $abc$26600$n862 +.sym 13447 $abc$26600$n1286 +.sym 13448 $false .sym 13449 $false -.sym 13452 $abc$25847$n698 -.sym 13453 $abc$25847$n691 -.sym 13454 $abc$25847$n701 -.sym 13455 I2C.is_read -.sym 13458 ring_rd[2] -.sym 13459 $abc$25847$n1424 -.sym 13460 $abc$25847$n690_1 -.sym 13461 $false -.sym 13464 $2\ring_wr[3:0][0] -.sym 13465 ring_rd[0] -.sym 13466 $2\ring_wr[3:0][1] -.sym 13467 ring_rd[1] -.sym 13470 $2\ring_rd[3:0][2] -.sym 13471 $2\ring_wr[3:0][2] -.sym 13472 $2\ring_rd[3:0][0] -.sym 13473 $2\ring_wr[3:0][0] -.sym 13476 $abc$25847$n690_1 -.sym 13477 ring_rd[0] -.sym 13478 $false +.sym 13452 $abc$26600$n642 +.sym 13453 $abc$26600$n669 +.sym 13454 $false +.sym 13455 $false +.sym 13458 $abc$26600$n1286 +.sym 13459 I2C.is_read +.sym 13460 $abc$26600$n717 +.sym 13461 $abc$26600$n862 +.sym 13464 $abc$26600$n716 +.sym 13465 $abc$26600$n1070 +.sym 13466 $abc$26600$n861 +.sym 13467 $false +.sym 13470 $abc$26600$n716 +.sym 13471 $abc$26600$n1070 +.sym 13472 $abc$26600$n858 +.sym 13473 $false +.sym 13476 $abc$26600$n716 +.sym 13477 $abc$26600$n1070 +.sym 13478 $abc$26600$n2014 .sym 13479 $false -.sym 13483 $abc$25847$n908 -.sym 13484 $abc$25847$n916_1 -.sym 13485 $abc$25847$n952_1 -.sym 13486 $abc$25847$n150 -.sym 13487 $abc$25847$n955_1 -.sym 13488 $abc$25847$n639 -.sym 13490 last_wr -.sym 13519 $true -.sym 13556 ring_rd[0]$2 -.sym 13557 $false -.sym 13558 ring_rd[0] +.sym 13480 $abc$26600$n350 +.sym 13481 CLK$2$2 +.sym 13482 $abc$26600$n342$2 +.sym 13483 $abc$26600$n594 +.sym 13484 $abc$26600$n707 +.sym 13485 $abc$26600$n792 +.sym 13486 $abc$26600$n687_1 +.sym 13487 $abc$26600$n720 +.sym 13488 $abc$26600$n721 +.sym 13489 $abc$26600$n789 +.sym 13490 $abc$26600$n606 +.sym 13557 $abc$26600$n2014 +.sym 13558 $abc$26600$n858 .sym 13559 $false .sym 13560 $false -.sym 13562 $auto$alumacc.cc:474:replace_alu$6751.C[2] -.sym 13564 $false -.sym 13565 ring_rd[1] -.sym 13568 $auto$alumacc.cc:474:replace_alu$6751.C[3] -.sym 13569 $false -.sym 13570 $false -.sym 13571 ring_rd[2] -.sym 13572 $auto$alumacc.cc:474:replace_alu$6751.C[2] -.sym 13575 $false -.sym 13576 $false -.sym 13577 ring_rd[3] -.sym 13578 $auto$alumacc.cc:474:replace_alu$6751.C[3] -.sym 13581 I2C.received_byte[1] -.sym 13582 $abc$25847$n956 -.sym 13583 I2C.is_read -.sym 13584 $false -.sym 13587 I2C.received_byte[5] -.sym 13588 $abc$25847$n957_1 -.sym 13589 I2C.is_read +.sym 13563 $false +.sym 13564 $22\i2c_input_data_type[3:0][0] +.sym 13565 $false +.sym 13566 $false +.sym 13569 $abc$26600$n686 +.sym 13570 $abc$26600$n1411 +.sym 13571 $abc$26600$n596 +.sym 13572 $abc$26600$n725 +.sym 13575 $abc$26600$n861 +.sym 13576 $abc$26600$n688 +.sym 13577 $abc$26600$n683 +.sym 13578 I2C_HID_DESC.DESC_TYPE +.sym 13581 $abc$26600$n723 +.sym 13582 $abc$26600$n595_1 +.sym 13583 $abc$26600$n724_1 +.sym 13584 $abc$26600$n726_1 +.sym 13587 $abc$26600$n686 +.sym 13588 $abc$26600$n596 +.sym 13589 $false .sym 13590 $false -.sym 13593 I2C.received_byte[3] -.sym 13594 $abc$25847$n953_1 -.sym 13595 I2C.is_read +.sym 13593 $abc$26600$n717 +.sym 13594 I2C_HID_DESC.DESC_TYPE +.sym 13595 $false .sym 13596 $false -.sym 13599 I2C.received_byte[7] -.sym 13600 $abc$25847$n954 -.sym 13601 I2C.is_read -.sym 13602 $false -.sym 13603 $abc$25847$n150 -.sym 13604 CLK$2$2 -.sym 13605 $abc$25847$n141$2 -.sym 13606 $abc$25847$n810 -.sym 13607 $abc$25847$n779 -.sym 13608 $abc$25847$n758 -.sym 13609 $abc$25847$n888 -.sym 13611 $abc$25847$n778 -.sym 13612 $abc$25847$n878_1 -.sym 13613 $abc$25847$n809 -.sym 13680 $false -.sym 13681 $false -.sym 13682 $abc$25847$n1927 -.sym 13683 $false -.sym 13686 $abc$25847$n781 -.sym 13687 $abc$25847$n779 -.sym 13688 $abc$25847$n782 -.sym 13689 $false -.sym 13692 UART_TX_DATA[7] -.sym 13693 UART_TX_DATA[5] -.sym 13694 $abc$25847$n586_1 +.sym 13599 $22\i2c_input_data_type[3:0][0] +.sym 13600 $abc$26600$n861 +.sym 13601 $abc$26600$n725 +.sym 13602 $abc$26600$n350 +.sym 13608 $abc$26600$n1413 +.sym 13609 $22\i2c_input_data_type[3:0][1] +.sym 13610 $22\i2c_input_data_type[3:0][2] +.sym 13611 $abc$26600$n790 +.sym 13612 LED4$2 +.sym 13680 I2C.wr +.sym 13681 last_wr +.sym 13682 $abc$26600$n342$2 +.sym 13683 $abc$26600$n350 +.sym 13686 $abc$26600$n677 +.sym 13687 $abc$26600$n649 +.sym 13688 $abc$26600$n642 +.sym 13689 $abc$26600$n669 +.sym 13692 $abc$26600$n1286 +.sym 13693 $abc$26600$n681 +.sym 13694 $false .sym 13695 $false -.sym 13698 $abc$25847$n783 -.sym 13699 $abc$25847$n780 -.sym 13700 $abc$25847$n758 -.sym 13701 $false -.sym 13704 UART_TX_DATA[3] -.sym 13705 UART_TX_DATA[1] -.sym 13706 $abc$25847$n586_1 +.sym 13698 $abc$26600$n862 +.sym 13699 $abc$26600$n1285 +.sym 13700 $abc$26600$n598 +.sym 13701 $abc$26600$n679 +.sym 13704 $abc$26600$n2014 +.sym 13705 $abc$26600$n858 +.sym 13706 $false .sym 13707 $false -.sym 13710 $abc$25847$n783 -.sym 13711 $abc$25847$n780 -.sym 13712 $abc$25847$n758 -.sym 13713 $abc$25847$n149 -.sym 13716 $abc$25847$n874 -.sym 13717 $abc$25847$n873 -.sym 13718 $abc$25847$n875 -.sym 13719 $abc$25847$n878_1 -.sym 13722 $abc$25847$n591_1 -.sym 13723 $abc$25847$n590 -.sym 13724 $abc$25847$n1231 -.sym 13725 $abc$25847$n1245 -.sym 13729 $abc$25847$n886_1 -.sym 13730 $abc$25847$n865_1 -.sym 13731 $abc$25847$n866 -.sym 13732 $abc$25847$n794 -.sym 13733 $abc$25847$n415 -.sym 13734 $abc$25847$n808_1 -.sym 13736 $abc$25847$n795 -.sym 13803 KEYBOARD.report_wr_en -.sym 13804 KEYBOARD.isr_internal +.sym 13710 $abc$26600$n862 +.sym 13711 $abc$26600$n681 +.sym 13712 $abc$26600$n1286 +.sym 13713 $abc$26600$n861 +.sym 13716 temp_output_report[2] +.sym 13717 I2C.received_byte[2] +.sym 13718 $abc$26600$n1091 +.sym 13719 $false +.sym 13722 $0\temp_output_report[2:0][2] +.sym 13723 $false +.sym 13724 $false +.sym 13725 $false +.sym 13726 $abc$26600$n350 +.sym 13727 CLK$2$2 +.sym 13728 $false +.sym 13733 $abc$26600$n2054 +.sym 13734 $abc$26600$n715 +.sym 13735 $abc$26600$n683 +.sym 13736 UART_WR +.sym 13803 $abc$26600$n2020 +.sym 13804 $abc$26600$n667 .sym 13805 $false .sym 13806 $false -.sym 13809 $abc$25847$n869 -.sym 13810 $abc$25847$n801 -.sym 13811 $abc$25847$n867 -.sym 13812 $abc$25847$n876 -.sym 13815 $abc$25847$n802 -.sym 13816 $abc$25847$n800 -.sym 13817 $false +.sym 13809 $abc$26600$n2054 +.sym 13810 $abc$26600$n680 +.sym 13811 $abc$26600$n678 +.sym 13812 $abc$26600$n862 +.sym 13815 $abc$26600$n680 +.sym 13816 $abc$26600$n650 +.sym 13817 $abc$26600$n2054 .sym 13818 $false -.sym 13821 $abc$25847$n803 -.sym 13822 $abc$25847$n796 -.sym 13823 $false -.sym 13824 $false -.sym 13827 $abc$25847$n781 -.sym 13828 $abc$25847$n779 -.sym 13829 KEYBOARD.report_wr_en -.sym 13830 KEYBOARD.isr_internal -.sym 13833 $abc$25847$n864 -.sym 13834 $abc$25847$n865_1 -.sym 13835 $abc$25847$n867 -.sym 13836 $abc$25847$n820 -.sym 13839 KEYBOARD.is_pressed -.sym 13840 KEYBOARD.IS_RAM_INIT$2 -.sym 13841 $abc$25847$n782 +.sym 13821 $abc$26600$n683 +.sym 13822 $abc$26600$n685 +.sym 13823 $abc$26600$n684 +.sym 13824 $abc$26600$n861 +.sym 13827 $abc$26600$n665 +.sym 13828 $abc$26600$n678 +.sym 13829 $abc$26600$n2022 +.sym 13830 $false +.sym 13833 $abc$26600$n667 +.sym 13834 $abc$26600$n2020 +.sym 13835 $abc$26600$n2022 +.sym 13836 $abc$26600$n678 +.sym 13839 $abc$26600$n2022 +.sym 13840 $abc$26600$n665 +.sym 13841 $abc$26600$n650 .sym 13842 $false -.sym 13845 $abc$25847$n802 -.sym 13846 $abc$25847$n808_1 -.sym 13847 $abc$25847$n781 +.sym 13845 $abc$26600$n2020 +.sym 13846 $abc$26600$n667 +.sym 13847 $abc$26600$n2022 .sym 13848 $false -.sym 13852 $abc$25847$n940 -.sym 13853 $abc$25847$n817 -.sym 13854 $abc$25847$n768_1 -.sym 13855 $abc$25847$n759 -.sym 13856 $abc$25847$n762_1 -.sym 13857 $abc$25847$n774 -.sym 13858 $abc$25847$n754 -.sym 13859 $abc$25847$n941 -.sym 13926 $abc$25847$n899 -.sym 13927 $abc$25847$n754 -.sym 13928 $abc$25847$n755 +.sym 13852 $abc$26600$n1256 +.sym 13853 $abc$26600$n992 +.sym 13854 $abc$26600$n644 +.sym 13855 $abc$26600$n643 +.sym 13856 $abc$26600$n1255 +.sym 13857 I2C.FLT_SDA.counter[1] +.sym 13858 I2C.FLT_SDA.counter[2] +.sym 13859 I2C.FLT_SDA.counter[0] +.sym 13926 $abc$26600$n715 +.sym 13927 int_tmr[4] +.sym 13928 $false .sym 13929 $false -.sym 13938 $abc$25847$n752 -.sym 13939 $abc$25847$n754 -.sym 13940 $abc$25847$n755 +.sym 13932 $abc$26600$n715 +.sym 13933 int_tmr[3] +.sym 13934 $false +.sym 13935 $false +.sym 13938 $abc$26600$n715 +.sym 13939 int_tmr[13] +.sym 13940 $false .sym 13941 $false -.sym 13956 $abc$25847$n761 -.sym 13957 $abc$25847$n774 -.sym 13958 $false +.sym 13956 $abc$26600$n1340 +.sym 13957 int_tmr[4] +.sym 13958 $3\int_tmr[14:0][14] .sym 13959 $false -.sym 13962 $abc$25847$n775 -.sym 13963 $abc$25847$n760 -.sym 13964 $false +.sym 13962 $abc$26600$n1337 +.sym 13963 int_tmr[3] +.sym 13964 $3\int_tmr[14:0][14] .sym 13965 $false -.sym 13977 $abc$25847$n1695 -.sym 13978 $abc$25847$n1696 -.sym 13979 $abc$25847$n1697 -.sym 13980 $abc$25847$n1698 -.sym 13981 $abc$25847$n1699 -.sym 13982 $abc$25847$n1700 +.sym 13968 $abc$26600$n1367 +.sym 13969 int_tmr[13] +.sym 13970 $3\int_tmr[14:0][14] +.sym 13971 $false +.sym 13972 $abc$26600$n336$2 +.sym 13973 CLK$2$2 +.sym 13974 $abc$26600$n21$2 +.sym 13975 $3\int_tmr[14:0][6] +.sym 13976 $3\int_tmr[14:0][0] +.sym 13977 $abc$26600$n1327 +.sym 13978 $3\int_tmr[14:0][1] +.sym 13979 int_tmr[6] +.sym 13980 int_tmr[0] +.sym 13982 int_tmr[1] .sym 14011 $true -.sym 14048 $abc$25847$n1927$3 +.sym 14048 $3\int_tmr[14:0][0]$2 .sym 14049 $false -.sym 14050 $abc$25847$n1927 +.sym 14050 $3\int_tmr[14:0][0] .sym 14051 $false .sym 14052 $false -.sym 14054 $auto$alumacc.cc:474:replace_alu$6802.C[2] +.sym 14054 $auto$alumacc.cc:474:replace_alu$7374.C[2] .sym 14056 $false -.sym 14057 $abc$25847$n1907 +.sym 14057 $3\int_tmr[14:0][1] +.sym 14060 $auto$alumacc.cc:474:replace_alu$7374.C[3] .sym 14061 $false .sym 14062 $false -.sym 14063 $abc$25847$n1929 -.sym 14064 $auto$alumacc.cc:474:replace_alu$6802.C[2] -.sym 14067 $abc$25847$n753 -.sym 14068 KEYBOARD.row_time[10] -.sym 14069 KEYBOARD.row_time[11] -.sym 14070 KEYBOARD.row_time[13] -.sym 14073 KEYBOARD.row_time[5] -.sym 14074 KEYBOARD.row_time[6] -.sym 14075 KEYBOARD.row_time[7] -.sym 14076 KEYBOARD.row_time[9] -.sym 14085 KEYBOARD.row_time[10] -.sym 14086 KEYBOARD.row_time[11] -.sym 14087 KEYBOARD.row_time[13] -.sym 14088 $abc$25847$n900_1 -.sym 14091 KEYBOARD.row_time[5] -.sym 14092 KEYBOARD.row_time[6] -.sym 14093 KEYBOARD.row_time[7] -.sym 14094 KEYBOARD.row_time[9] -.sym 14098 $abc$25847$n1701 -.sym 14099 $abc$25847$n1702 -.sym 14100 $abc$25847$n1703 -.sym 14101 $abc$25847$n1704 -.sym 14102 $abc$25847$n1705 -.sym 14103 $abc$25847$n1706 -.sym 14104 $abc$25847$n1707 -.sym 14105 $abc$25847$n755 -.sym 14172 $abc$25847$n236 +.sym 14063 $3\int_tmr[14:0][2] +.sym 14064 $auto$alumacc.cc:474:replace_alu$7374.C[2] +.sym 14066 $auto$alumacc.cc:474:replace_alu$7374.C[4] +.sym 14067 $false +.sym 14068 $false +.sym 14069 $3\int_tmr[14:0][3] +.sym 14070 $auto$alumacc.cc:474:replace_alu$7374.C[3] +.sym 14072 $auto$alumacc.cc:474:replace_alu$7374.C[5] +.sym 14073 $false +.sym 14074 $false +.sym 14075 $3\int_tmr[14:0][4] +.sym 14076 $auto$alumacc.cc:474:replace_alu$7374.C[4] +.sym 14078 $auto$alumacc.cc:474:replace_alu$7374.C[6] +.sym 14079 $false +.sym 14080 $false +.sym 14081 $3\int_tmr[14:0][5] +.sym 14082 $auto$alumacc.cc:474:replace_alu$7374.C[5] +.sym 14084 $auto$alumacc.cc:474:replace_alu$7374.C[7] +.sym 14085 $false +.sym 14086 $false +.sym 14087 $3\int_tmr[14:0][6] +.sym 14088 $auto$alumacc.cc:474:replace_alu$7374.C[6] +.sym 14090 $auto$alumacc.cc:474:replace_alu$7374.C[8] +.sym 14091 $false +.sym 14092 $false +.sym 14093 $3\int_tmr[14:0][7] +.sym 14094 $auto$alumacc.cc:474:replace_alu$7374.C[7] +.sym 14098 $3\int_tmr[14:0][9] +.sym 14099 $3\int_tmr[14:0][11] +.sym 14100 $3\int_tmr[14:0][8] +.sym 14101 $3\int_tmr[14:0][14] +.sym 14102 int_tmr[8] +.sym 14103 int_tmr[11] +.sym 14104 int_tmr[9] +.sym 14105 int_tmr[14] +.sym 14134 $auto$alumacc.cc:474:replace_alu$7374.C[8] +.sym 14171 $auto$alumacc.cc:474:replace_alu$7374.C[9] +.sym 14172 $false .sym 14173 $false -.sym 14174 $false -.sym 14175 $false -.sym 14184 $abc$25847$n944 +.sym 14174 $3\int_tmr[14:0][8] +.sym 14175 $auto$alumacc.cc:474:replace_alu$7374.C[8] +.sym 14177 $auto$alumacc.cc:474:replace_alu$7374.C[10] +.sym 14178 $false +.sym 14179 $false +.sym 14180 $3\int_tmr[14:0][9] +.sym 14181 $auto$alumacc.cc:474:replace_alu$7374.C[9] +.sym 14183 $auto$alumacc.cc:474:replace_alu$7374.C[11] +.sym 14184 $false .sym 14185 $false -.sym 14186 $false -.sym 14187 $false -.sym 14214 $abc$25847$n946 +.sym 14186 $3\int_tmr[14:0][10] +.sym 14187 $auto$alumacc.cc:474:replace_alu$7374.C[10] +.sym 14189 $auto$alumacc.cc:474:replace_alu$7374.C[12] +.sym 14190 $false +.sym 14191 $false +.sym 14192 $3\int_tmr[14:0][11] +.sym 14193 $auto$alumacc.cc:474:replace_alu$7374.C[11] +.sym 14195 $auto$alumacc.cc:474:replace_alu$7374.C[13] +.sym 14196 $false +.sym 14197 $false +.sym 14198 $3\int_tmr[14:0][12] +.sym 14199 $auto$alumacc.cc:474:replace_alu$7374.C[12] +.sym 14201 $auto$alumacc.cc:474:replace_alu$7374.C[14] +.sym 14202 $false +.sym 14203 $false +.sym 14204 $3\int_tmr[14:0][13] +.sym 14205 $auto$alumacc.cc:474:replace_alu$7374.C[13] +.sym 14208 $false +.sym 14209 $false +.sym 14210 $3\int_tmr[14:0][14] +.sym 14211 $auto$alumacc.cc:474:replace_alu$7374.C[14] +.sym 14214 UART.tx_activity .sym 14215 $false .sym 14216 $false .sym 14217 $false -.sym 14218 $abc$25847$n384$2 -.sym 14219 CLK$2$2 -.sym 14220 $abc$25847$n21$2 -.sym 14221 $abc$25847$n947 -.sym 14222 $abc$25847$n763 -.sym 14224 $abc$25847$n943 -.sym 14225 $abc$25847$n946 -.sym 14227 $abc$25847$n944 -.sym 14228 $abc$25847$n135 -.sym 14319 I2C.FLT_SCL.RESET$2 -.sym 14320 $false -.sym 14321 $false -.sym 14322 $false -.sym 14388 $abc$25847$n21 +.sym 14223 $auto$alumacc.cc:474:replace_alu$7413.C[2] +.sym 14224 $abc$26600$n990 +.sym 14225 $abc$26600$n989 +.sym 14226 $abc$26600$n769 +.sym 14227 $abc$26600$n986 +.sym 14228 $abc$26600$n1250 +.sym 14307 $abc$26600$n769 +.sym 14308 $abc$26600$n986 +.sym 14309 $false +.sym 14310 $false +.sym 14313 $abc$26600$n1250 +.sym 14314 $false +.sym 14315 $false +.sym 14316 $false +.sym 14331 $abc$26600$n769 +.sym 14332 $abc$26600$n990 +.sym 14333 $false +.sym 14334 $false +.sym 14337 $abc$26600$n769 +.sym 14338 $abc$26600$n989 +.sym 14339 $false +.sym 14340 $false +.sym 14341 $abc$26600$n316 +.sym 14342 CLK$2$2 +.sym 14343 $abc$26600$n17 +.sym 14388 $abc$26600$n21 .sym 14418 KEYBOARD.IS_RAM_INIT -.sym 14445 KEYBOARD.IS_RAM_INIT -.sym 14543 KEYBOARD.IS_RAM_INIT$2 -.sym 14544 KBD_COLUMNS[0]$2 +.sym 14451 $abc$26600$n1123 +.sym 14525 $abc$26600$n133 +.sym 14526 $abc$26600$n1116_1 +.sym 14527 KEYBOARD.IS_RAM_INIT$2 +.sym 14528 $false +.sym 14537 $abc$26600$n135 +.sym 14538 $abc$26600$n1123 +.sym 14539 KEYBOARD.IS_RAM_INIT$2 +.sym 14540 $false +.sym 14543 $abc$26600$n1737 +.sym 14544 $false .sym 14545 $false .sym 14546 $false -.sym 14549 KEYBOARD.IS_RAM_INIT$2 -.sym 14550 KBD_COLUMNS[1]$2 -.sym 14551 $false -.sym 14552 $false -.sym 14555 KEYBOARD.IS_RAM_INIT$2 -.sym 14556 KBD_COLUMNS[3]$2 -.sym 14557 $false -.sym 14558 $false -.sym 14561 KEYBOARD.IS_RAM_INIT$2 -.sym 14562 KBD_COLUMNS[2]$2 -.sym 14563 $false -.sym 14564 $false -.sym 14565 $abc$25847$n392 +.sym 14565 $abc$26600$n336$2 .sym 14566 CLK$2$2 -.sym 14567 $abc$25847$n21$2 +.sym 14567 $abc$26600$n21$2 .sym 14570 KBD_COLUMNS[5]$2 -.sym 14572 KEYBOARD.last_adr[3] -.sym 14573 KEYBOARD.last_adr[2] -.sym 14574 KEYBOARD.row_counter[1] -.sym 14575 KEYBOARD.row_counter[0] -.sym 14576 KEYBOARD.last_adr[6] -.sym 14577 KEYBOARD.last_adr[5] -.sym 14578 KEYBOARD.last_adr[4] -.sym 14579 KEYBOARD.row_counter[2] -.sym 14682 $false -.sym 14683 $false -.sym 14684 KEYBOARD.last_adr[0] -.sym 14685 $false -.sym 14688 KEYBOARD.last_adr[0] -.sym 14689 KEYBOARD.last_adr[1] -.sym 14690 $abc$25847$n1135 -.sym 14691 $abc$25847$n1136 -.sym 14694 KEYBOARD.COLUMN_SHADOW[0] -.sym 14695 KEYBOARD.COLUMN_SHADOW[1] -.sym 14696 $abc$25847$n814 -.sym 14697 $abc$25847$n815 -.sym 14700 $abc$25847$n817_1 -.sym 14701 $abc$25847$n818 -.sym 14702 $abc$25847$n1228 -.sym 14703 $abc$25847$n819 -.sym 14706 $abc$25847$n1134 -.sym 14707 KEYBOARD.IS_RAM_INIT$2 -.sym 14708 $false +.sym 14572 $abc$26600$n996 +.sym 14573 $abc$26600$n1259 +.sym 14574 $abc$26600$n653 +.sym 14575 $abc$26600$n654 +.sym 14576 $abc$26600$n1258 +.sym 14577 I2C.FLT_SCL.counter[0] +.sym 14578 I2C.FLT_SCL.counter[1] +.sym 14579 I2C.FLT_SCL.counter[2] +.sym 14682 $abc$26600$n1124 +.sym 14683 $abc$26600$n1120 +.sym 14684 $abc$26600$n1125 +.sym 14685 KEYBOARD.IS_RAM_INIT$2 +.sym 14688 $abc$26600$n1139_1 +.sym 14689 $abc$26600$n1140_1 +.sym 14690 $abc$26600$n135 +.sym 14691 KEYBOARD.IS_RAM_INIT$2 +.sym 14694 $abc$26600$n1139_1 +.sym 14695 $abc$26600$n1144_1 +.sym 14696 $abc$26600$n138 +.sym 14697 KEYBOARD.IS_RAM_INIT$2 +.sym 14700 $abc$26600$n1125 +.sym 14701 $abc$26600$n881 +.sym 14702 KEYBOARD.IS_RAM_INIT$2 +.sym 14703 $false +.sym 14706 $abc$26600$n136 +.sym 14707 $abc$26600$n1131 +.sym 14708 KEYBOARD.IS_RAM_INIT$2 .sym 14709 $false -.sym 14712 KEYBOARD.COLUMN_SHADOW[2] -.sym 14713 KEYBOARD.COLUMN_SHADOW[3] -.sym 14714 $abc$25847$n814 -.sym 14715 $abc$25847$n1227 -.sym 14718 $abc$25847$n896_1 -.sym 14719 $abc$25847$n135$2 -.sym 14720 $false -.sym 14721 $false -.sym 14724 $abc$25847$n856 -.sym 14725 $abc$25847$n859 -.sym 14726 $abc$25847$n860 -.sym 14727 $abc$25847$n862 -.sym 14731 $abc$25847$n1088_1 -.sym 14732 $abc$25847$n1078_1 -.sym 14733 $abc$25847$n1086_1 -.sym 14734 $abc$25847$n1080_1 -.sym 14735 $abc$25847$n1090_1 -.sym 14736 $abc$25847$n1084_1 -.sym 14737 $abc$25847$n1074_1 -.sym 14738 $abc$25847$n1092 -.sym 14805 $abc$25847$n859 -.sym 14806 $abc$25847$n814 -.sym 14807 KEYBOARD.IS_RAM_INIT$2 -.sym 14808 $false -.sym 14811 $abc$25847$n856 -.sym 14812 $abc$25847$n815 -.sym 14813 KEYBOARD.IS_RAM_INIT$2 -.sym 14814 $false -.sym 14817 $abc$25847$n819 -.sym 14818 KEYBOARD.last_adr[0] -.sym 14819 KEYBOARD.last_adr[1] -.sym 14820 KEYBOARD.IS_RAM_INIT$2 -.sym 14829 $abc$25847$n856 -.sym 14830 $abc$25847$n1074_1 -.sym 14831 KEYBOARD.IS_RAM_INIT$2 +.sym 14712 $abc$26600$n1117 +.sym 14713 $abc$26600$n1110 +.sym 14714 $abc$26600$n129 +.sym 14715 KEYBOARD.IS_RAM_INIT$2 +.sym 14718 $abc$26600$n1137_1 +.sym 14719 $abc$26600$n1133 +.sym 14720 $abc$26600$n133 +.sym 14721 KEYBOARD.IS_RAM_INIT$2 +.sym 14724 $abc$26600$n138 +.sym 14725 $abc$26600$n1136 +.sym 14726 KEYBOARD.IS_RAM_INIT$2 +.sym 14727 $false +.sym 14728 $abc$26600$n336$2 +.sym 14729 CLK$2$2 +.sym 14730 $abc$26600$n21$2 +.sym 14733 $abc$26600$n999 +.sym 14734 $abc$26600$n973_1 +.sym 14735 $abc$26600$n1116_1 +.sym 14736 I2C.FLT_SCL.out +.sym 14805 $abc$26600$n1116_1 +.sym 14806 $abc$26600$n943 +.sym 14807 $abc$26600$n1113 +.sym 14808 $abc$26600$n809 +.sym 14811 KEYBOARD.row_counter[3] +.sym 14812 $abc$26600$n1136 +.sym 14813 $abc$26600$n731 +.sym 14814 KEYBOARD.last_adr[3] +.sym 14817 KEYBOARD.last_adr[3] +.sym 14818 $abc$26600$n731 +.sym 14819 $abc$26600$n1118 +.sym 14820 $false +.sym 14823 $abc$26600$n55 +.sym 14824 $abc$26600$n943 +.sym 14825 $abc$26600$n809 +.sym 14826 $false +.sym 14829 KEYBOARD.row_counter[2] +.sym 14830 $abc$26600$n1763 +.sym 14831 $abc$26600$n731 .sym 14832 $false -.sym 14835 $abc$25847$n865 -.sym 14836 $abc$25847$n1089 -.sym 14837 KEYBOARD.IS_RAM_INIT$2 +.sym 14835 KEYBOARD.last_adr[1] +.sym 14836 $abc$26600$n731 +.sym 14837 $abc$26600$n1118 .sym 14838 $false -.sym 14841 $abc$25847$n1080_1 -.sym 14842 KEYBOARD.last_adr[0] -.sym 14843 KEYBOARD.last_adr[1] -.sym 14844 KEYBOARD.IS_RAM_INIT$2 -.sym 14851 $abc$25847$n135$2 -.sym 14852 CLK$2$2 -.sym 14853 $abc$25847$n21$2 -.sym 14858 $abc$25847$n1952 -.sym 14928 $abc$25847$n652 -.sym 14929 $abc$25847$n665 -.sym 14930 $abc$25847$n720 +.sym 14841 $abc$26600$n943 +.sym 14842 $abc$26600$n1123 +.sym 14843 $abc$26600$n1121 +.sym 14844 $abc$26600$n809 +.sym 14847 KEYBOARD.last_adr[0] +.sym 14848 $abc$26600$n731 +.sym 14849 $abc$26600$n1118 +.sym 14850 $false +.sym 14854 $abc$26600$n1301 +.sym 14855 $abc$26600$n855 +.sym 14857 $abc$26600$n812_1 +.sym 14858 $abc$26600$n1333_1 +.sym 14859 $abc$26600$n1340_1 +.sym 14860 $abc$26600$n847_1 +.sym 14861 $abc$26600$n841 +.sym 14890 $true +.sym 14927 KEYBOARD.row_counter[0]$2 +.sym 14928 $false +.sym 14929 KEYBOARD.row_counter[0] +.sym 14930 $false .sym 14931 $false -.sym 14934 $abc$25847$n1952 -.sym 14935 $abc$25847$n719 -.sym 14936 $abc$25847$n1918 -.sym 14937 $abc$25847$n663 -.sym 14940 $abc$25847$n719 -.sym 14941 $abc$25847$n1920 -.sym 14942 $abc$25847$n652 -.sym 14943 $abc$25847$n1222_1 -.sym 14946 $abc$25847$n1920 -.sym 14947 $abc$25847$n1919 -.sym 14948 $abc$25847$n663 -.sym 14949 $abc$25847$n1918 -.sym 14952 $abc$25847$n1918 -.sym 14953 $abc$25847$n672 -.sym 14954 $abc$25847$n652 -.sym 14955 $abc$25847$n1952 -.sym 14958 $abc$25847$n663 -.sym 14959 $abc$25847$n1919 -.sym 14960 $abc$25847$n1920 -.sym 14961 $false -.sym 14970 $abc$25847$n1919 -.sym 14971 $abc$25847$n1920 -.sym 14972 $false -.sym 14973 $false -.sym 14977 $abc$25847$n712_1 -.sym 14979 $abc$25847$n596 -.sym 14980 $abc$25847$n745 -.sym 14981 $abc$25847$n684 -.sym 14982 $abc$25847$n1333 -.sym 14984 $abc$25847$n184 -.sym 15051 $abc$25847$n1947 -.sym 15052 $abc$25847$n702_1 -.sym 15053 $abc$25847$n709 +.sym 14933 $auto$alumacc.cc:474:replace_alu$7395.C[2] +.sym 14935 $false +.sym 14936 KEYBOARD.row_counter[1] +.sym 14939 $auto$alumacc.cc:474:replace_alu$7395.C[3] +.sym 14940 $false +.sym 14941 $false +.sym 14942 KEYBOARD.row_counter[2] +.sym 14943 $auto$alumacc.cc:474:replace_alu$7395.C[2] +.sym 14946 $false +.sym 14947 $false +.sym 14948 KEYBOARD.row_counter[3] +.sym 14949 $auto$alumacc.cc:474:replace_alu$7395.C[3] +.sym 14952 KEYBOARD.row_counter[3] +.sym 14953 $abc$26600$n18 +.sym 14954 $abc$26600$n731 +.sym 14955 $false +.sym 14970 $abc$26600$n1136 +.sym 14971 $abc$26600$n943 +.sym 14972 $abc$26600$n1134_1 +.sym 14973 $abc$26600$n809 +.sym 14978 $abc$26600$n1759 +.sym 14981 $abc$26600$n951_1 +.sym 14982 $abc$26600$n952 +.sym 14983 $abc$26600$n818 +.sym 14984 $abc$26600$n900 +.sym 15051 $abc$26600$n827 +.sym 15052 $abc$26600$n829 +.sym 15053 IS_RAM_INIT .sym 15054 $false -.sym 15063 $abc$25847$n1223_1 -.sym 15064 $abc$25847$n720 -.sym 15065 $abc$25847$n598_1 -.sym 15066 $abc$25847$n671 -.sym 15069 $abc$25847$n719 -.sym 15070 $abc$25847$n598_1 -.sym 15071 $abc$25847$n1224_1 -.sym 15072 $abc$25847$n1225_1 -.sym 15075 $abc$25847$n597_1 -.sym 15076 $abc$25847$n1226_1 -.sym 15077 $false +.sym 15057 $abc$26600$n1190 +.sym 15058 $abc$26600$n1189 +.sym 15059 $abc$26600$n1340_1 +.sym 15060 $false +.sym 15063 KEYBOARD.is_pressed +.sym 15064 $abc$26600$n830 +.sym 15065 $abc$26600$n829 +.sym 15066 $abc$26600$n827 +.sym 15069 $abc$26600$n1340_1 +.sym 15070 $abc$26600$n900 +.sym 15071 $abc$26600$n1190 +.sym 15072 $false +.sym 15075 KEYBOARD.is_pressed +.sym 15076 $abc$26600$n916 +.sym 15077 $abc$26600$n830 .sym 15078 $false -.sym 15087 $abc$25847$n1223_1 -.sym 15088 $abc$25847$n598_1 -.sym 15089 $abc$25847$n720 -.sym 15090 $abc$25847$n671 -.sym 15093 $abc$25847$n597_1 -.sym 15094 $abc$25847$n1226_1 -.sym 15095 $abc$25847$n719 -.sym 15096 $22\i2c_input_data_type[3:0][0] -.sym 15100 $abc$25847$n683 -.sym 15101 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 15102 $abc$25847$n702_1 -.sym 15103 $2\I2C_OUTPUT_TYPE[2:0][1] -.sym 15104 $abc$25847$n595 -.sym 15105 $abc$25847$n717 -.sym 15106 $abc$25847$n716_1 -.sym 15107 $abc$25847$n713 -.sym 15174 $abc$25847$n667 -.sym 15175 $abc$25847$n669 -.sym 15176 i2c_input_data_type[0] -.sym 15177 $abc$25847$n670_1 -.sym 15180 $abc$25847$n634 -.sym 15181 $abc$25847$n702_1 -.sym 15182 $abc$25847$n639 -.sym 15183 $false -.sym 15186 $abc$25847$n1226_1 -.sym 15187 $abc$25847$n597_1 -.sym 15188 $abc$25847$n719 -.sym 15189 $false -.sym 15192 $abc$25847$n685 -.sym 15193 $abc$25847$n597_1 -.sym 15194 $abc$25847$n720 +.sym 15081 $abc$26600$n798 +.sym 15082 $abc$26600$n821 +.sym 15083 $abc$26600$n826 +.sym 15084 $abc$26600$n830 +.sym 15087 $abc$26600$n825 +.sym 15088 $abc$26600$n916 +.sym 15089 $abc$26600$n821 +.sym 15090 $abc$26600$n951_1 +.sym 15093 $abc$26600$n830 +.sym 15094 $abc$26600$n826 +.sym 15095 $false +.sym 15096 $false +.sym 15100 $abc$26600$n823 +.sym 15101 $abc$26600$n822 +.sym 15102 $abc$26600$n866 +.sym 15104 $abc$26600$n868 +.sym 15174 $abc$26600$n798 +.sym 15175 $abc$26600$n821 +.sym 15176 $abc$26600$n825 +.sym 15177 $false +.sym 15180 $abc$26600$n1293_1 +.sym 15181 KEYBOARD.IS_RAM_INIT$2 +.sym 15182 $abc$26600$n820 +.sym 15183 $abc$26600$n835_1 +.sym 15186 $abc$26600$n798 +.sym 15187 $abc$26600$n836 +.sym 15188 $abc$26600$n1340_1 +.sym 15189 $abc$26600$n350 +.sym 15192 $abc$26600$n822 +.sym 15193 $abc$26600$n824 +.sym 15194 KEYBOARD.is_pressed .sym 15195 $false -.sym 15198 $abc$25847$n685 -.sym 15199 I2C.is_read -.sym 15200 $false -.sym 15201 $false -.sym 15204 $abc$25847$n640 -.sym 15205 I2C.received_byte[0] -.sym 15206 $false +.sym 15198 $abc$26600$n809 +.sym 15199 KEYBOARD.IS_RAM_INIT$2 +.sym 15200 $abc$26600$n1314_1 +.sym 15201 $abc$26600$n798 +.sym 15204 $abc$26600$n951_1 +.sym 15205 $abc$26600$n900 +.sym 15206 $abc$26600$n836 .sym 15207 $false -.sym 15216 i2c_input_data_type[0] -.sym 15217 $abc$25847$n1031 -.sym 15218 $abc$25847$n709 +.sym 15210 $abc$26600$n916 +.sym 15211 $abc$26600$n798 +.sym 15212 KEYBOARD.is_pressed +.sym 15213 $abc$26600$n825 +.sym 15216 IS_RAM_INIT +.sym 15217 $abc$26600$n824 +.sym 15218 $abc$26600$n822 .sym 15219 $false -.sym 15220 $abc$25847$n149 -.sym 15221 CLK$2$2 -.sym 15222 $abc$25847$n141$2 -.sym 15225 $abc$25847$n896 -.sym 15226 $abc$25847$n1178 -.sym 15228 I2C.FLT_SCL.counter[2] -.sym 15229 I2C.FLT_SCL.counter[0] -.sym 15230 I2C.FLT_SCL.counter[1] -.sym 15315 $abc$25847$n751 -.sym 15316 $abc$25847$n1702 -.sym 15317 $false +.sym 15224 $abc$26600$n1291 +.sym 15225 $abc$26600$n615 +.sym 15226 $abc$26600$n648 +.sym 15227 $abc$26600$n617_1 +.sym 15228 $abc$26600$n620 +.sym 15229 $abc$26600$n618 +.sym 15230 $abc$26600$n631 +.sym 15297 I2C_COUNTER[3] +.sym 15298 $abc$26600$n611_1 +.sym 15299 $abc$26600$n612 +.sym 15300 $abc$26600$n606 +.sym 15303 I2C_COUNTER[3] +.sym 15304 I2C_COUNTER[0] +.sym 15305 $abc$26600$n606 +.sym 15306 $false +.sym 15309 $abc$26600$n615 +.sym 15310 $abc$26600$n611_1 +.sym 15311 $abc$26600$n618 +.sym 15312 $abc$26600$n614 +.sym 15315 $abc$26600$n610 +.sym 15316 $abc$26600$n645 +.sym 15317 $abc$26600$n632_1 .sym 15318 $false -.sym 15327 $abc$25847$n751 -.sym 15328 $abc$25847$n1701 +.sym 15321 $abc$26600$n632_1 +.sym 15322 i2c_input_data_type[0] +.sym 15323 $abc$26600$n633 +.sym 15324 $false +.sym 15327 I2C_COUNTER[0] +.sym 15328 I2C_COUNTER[1] .sym 15329 $false .sym 15330 $false -.sym 15339 $abc$25847$n1701 -.sym 15340 $abc$25847$n1702 -.sym 15341 $abc$25847$n778 -.sym 15342 $abc$25847$n814 -.sym 15346 $abc$25847$n931 -.sym 15347 $abc$25847$n511 -.sym 15348 $abc$25847$n1177 -.sym 15349 $abc$25847$n893 -.sym 15350 $abc$25847$n512 -.sym 15351 $abc$25847$n1912 -.sym 15353 I2C.FLT_SCL.out -.sym 15432 $abc$25847$n751 -.sym 15433 $abc$25847$n1703 -.sym 15434 $false -.sym 15435 $false -.sym 15469 $abc$25847$n958_1 -.sym 15470 $abc$25847$n1075 -.sym 15471 $abc$25847$n904 -.sym 15472 $abc$25847$n45 -.sym 15473 $abc$25847$n685 -.sym 15474 $abc$25847$n982 -.sym 15475 $abc$25847$n905 -.sym 15476 last_trans -.sym 15549 $abc$25847$n689 -.sym 15550 $2\ring_wr[3:0][1] -.sym 15551 ring_rd[1] +.sym 15333 $abc$26600$n672 +.sym 15334 $abc$26600$n1290 +.sym 15335 $abc$26600$n1291 +.sym 15336 $abc$26600$n633 +.sym 15339 $abc$26600$n632_1 +.sym 15340 $abc$26600$n648 +.sym 15341 $abc$26600$n633 +.sym 15342 $false +.sym 15346 $abc$26600$n646 +.sym 15347 $abc$26600$n604_1 +.sym 15348 $abc$26600$n602 +.sym 15349 $abc$26600$n608 +.sym 15350 $abc$26600$n628 +.sym 15351 $abc$26600$n616 +.sym 15352 $abc$26600$n625 +.sym 15353 $abc$26600$n603 +.sym 15420 $abc$26600$n608 +.sym 15421 I2C.received_byte[4] +.sym 15422 $abc$26600$n646 +.sym 15423 $false +.sym 15426 $abc$26600$n613 +.sym 15427 $abc$26600$n621 +.sym 15428 $abc$26600$n607_1 +.sym 15429 $abc$26600$n601 +.sym 15432 $abc$26600$n613 +.sym 15433 $abc$26600$n621 +.sym 15434 $abc$26600$n673_1 +.sym 15435 $abc$26600$n601 +.sym 15438 $abc$26600$n602 +.sym 15439 $abc$26600$n646 +.sym 15440 $abc$26600$n610 +.sym 15441 $abc$26600$n605_1 +.sym 15444 $abc$26600$n610 +.sym 15445 $abc$26600$n608 +.sym 15446 $abc$26600$n605_1 +.sym 15447 $false +.sym 15450 $abc$26600$n602 +.sym 15451 $abc$26600$n605_1 +.sym 15452 $false +.sym 15453 $false +.sym 15456 $abc$26600$n628 +.sym 15457 $abc$26600$n626 +.sym 15458 $abc$26600$n625 +.sym 15459 $abc$26600$n622 +.sym 15462 I2C_COUNTER[3] +.sym 15463 I2C_COUNTER[1] +.sym 15464 $abc$26600$n606 +.sym 15465 I2C_COUNTER[0] +.sym 15469 $abc$26600$n609 +.sym 15470 $abc$26600$n691 +.sym 15471 $abc$26600$n694 +.sym 15472 $abc$26600$n711 +.sym 15473 $abc$26600$n1005 +.sym 15474 $2\ring_rd[3:0][0] +.sym 15475 $abc$26600$n1496 +.sym 15476 ring_rd[0] +.sym 15543 $abc$26600$n631 +.sym 15544 $abc$26600$n633 +.sym 15545 I2C.received_byte[3] +.sym 15546 $false +.sym 15549 I2C.is_read +.sym 15550 $abc$26600$n634 +.sym 15551 $false .sym 15552 $false -.sym 15555 $2\ring_rd[3:0][3] -.sym 15556 $2\ring_wr[3:0][3] -.sym 15557 $abc$25847$n688 -.sym 15558 $abc$25847$n703 -.sym 15561 $2\ring_rd[3:0][3] -.sym 15562 $false -.sym 15563 $false -.sym 15564 $false -.sym 15573 $abc$25847$n689 -.sym 15574 ring_rd[1] -.sym 15575 $false -.sym 15576 $false -.sym 15589 $abc$25847$n135$2 -.sym 15590 CLK$2$2 -.sym 15591 $abc$25847$n21$2 -.sym 15592 $abc$25847$n1239_1 -.sym 15593 $abc$25847$n136 -.sym 15594 $abc$25847$n53 -.sym 15595 $abc$25847$n920_1 -.sym 15596 $abc$25847$n912 -.sym 15597 $abc$25847$n951 -.sym 15598 $abc$25847$n740 -.sym 15599 UART_WR -.sym 15666 $abc$25847$n45 -.sym 15667 $abc$25847$n909 -.sym 15668 $false -.sym 15669 $false -.sym 15672 $abc$25847$n909 -.sym 15673 $abc$25847$n45 -.sym 15674 $false +.sym 15555 i2c_input_data_type[3] +.sym 15556 $abc$26600$n639 +.sym 15557 $abc$26600$n638 +.sym 15558 $false +.sym 15561 $abc$26600$n632_1 +.sym 15562 $abc$26600$n707 +.sym 15563 $abc$26600$n634 +.sym 15564 I2C.is_read +.sym 15567 $abc$26600$n717 +.sym 15568 I2C.is_read +.sym 15569 $false +.sym 15570 $false +.sym 15573 $abc$26600$n625 +.sym 15574 I2C_COUNTER[1] +.sym 15575 $abc$26600$n633 +.sym 15576 $abc$26600$n640 +.sym 15579 I2C_COUNTER[3] +.sym 15580 $abc$26600$n606 +.sym 15581 $false +.sym 15582 $false +.sym 15585 IS_RAM_INIT +.sym 15586 $abc$26600$n716 +.sym 15587 $abc$26600$n789 +.sym 15588 I2C.FLT_SCL.RESET$2 +.sym 15592 $abc$26600$n593 +.sym 15593 $abc$26600$n727 +.sym 15594 $abc$26600$n725 +.sym 15595 $abc$26600$n342 +.sym 15596 $abc$26600$n719 +.sym 15597 last_trans +.sym 15598 I2C_OUTPUT_TYPE[2] +.sym 15599 I2C_OUTPUT_TYPE[1] +.sym 15666 $abc$26600$n687_1 +.sym 15667 $abc$26600$n792 +.sym 15668 I2C.is_read +.sym 15669 $abc$26600$n595_1 +.sym 15672 I2C_HID_DESC.DESC_TYPE +.sym 15673 I2C_OUTPUT_TYPE[2] +.sym 15674 I2C_OUTPUT_TYPE[1] .sym 15675 $false -.sym 15678 $abc$25847$n954 -.sym 15679 $abc$25847$n953_1 -.sym 15680 $abc$25847$n52 -.sym 15681 $abc$25847$n918 -.sym 15684 I2C.wr -.sym 15685 last_wr -.sym 15686 $abc$25847$n141$2 -.sym 15687 $abc$25847$n149 -.sym 15690 $abc$25847$n957_1 -.sym 15691 $abc$25847$n956 -.sym 15692 $abc$25847$n52 -.sym 15693 $abc$25847$n914_1 -.sym 15696 last_wr -.sym 15697 I2C.wr -.sym 15698 $false -.sym 15699 $false -.sym 15708 I2C.wr -.sym 15709 $false -.sym 15710 $false -.sym 15711 $false -.sym 15712 $abc$25847$n135$2 -.sym 15713 CLK$2$2 -.sym 15714 $abc$25847$n21$2 -.sym 15715 $abc$25847$n820 -.sym 15716 $abc$25847$n387 -.sym 15717 $abc$25847$n894 -.sym 15718 $abc$25847$n879 -.sym 15719 $abc$25847$n827 -.sym 15720 $abc$25847$n887_1 -.sym 15721 $abc$25847$n410 -.sym 15722 LED4$2 -.sym 15789 IS_RAM_INIT -.sym 15790 $abc$25847$n236 -.sym 15791 $abc$25847$n1755 +.sym 15678 $abc$26600$n861 +.sym 15679 $22\i2c_input_data_type[3:0][0] +.sym 15680 $false +.sym 15681 $false +.sym 15684 $abc$26600$n861 +.sym 15685 $abc$26600$n688 +.sym 15686 $abc$26600$n683 +.sym 15687 I2C_OUTPUT_TYPE[1] +.sym 15690 $abc$26600$n721 +.sym 15691 $abc$26600$n1413 +.sym 15692 $abc$26600$n595_1 +.sym 15693 $false +.sym 15696 $abc$26600$n861 +.sym 15697 $abc$26600$n688 +.sym 15698 $abc$26600$n683 +.sym 15699 I2C_OUTPUT_TYPE[2] +.sym 15702 $abc$26600$n792 +.sym 15703 $abc$26600$n790 +.sym 15704 I2C.FLT_SCL.RESET$2 +.sym 15705 $abc$26600$n783 +.sym 15708 I2C_COUNTER[2] +.sym 15709 I2C_COUNTER[4] +.sym 15710 I2C_COUNTER[5] +.sym 15711 I2C_COUNTER[6] +.sym 15715 $abc$26600$n591 +.sym 15716 $abc$26600$n590 +.sym 15717 $abc$26600$n588 +.sym 15718 $abc$26600$n717 +.sym 15719 UART_TX_DATA[3] +.sym 15720 UART_TX_DATA[6] +.sym 15721 UART_TX_DATA[4] +.sym 15722 UART_TX_DATA[5] +.sym 15751 $true +.sym 15788 $22\i2c_input_data_type[3:0][0]$2 +.sym 15789 $false +.sym 15790 $22\i2c_input_data_type[3:0][0] +.sym 15791 $false .sym 15792 $false -.sym 15795 KEYBOARD.IS_RAM_INIT$2 -.sym 15796 $abc$25847$n149 -.sym 15797 $false -.sym 15798 $false -.sym 15801 $abc$25847$n778 -.sym 15802 $abc$25847$n759 -.sym 15803 $abc$25847$n779 -.sym 15804 $false -.sym 15807 $abc$25847$n889_1 -.sym 15808 $abc$25847$n821 -.sym 15809 $abc$25847$n810 +.sym 15794 $auto$alumacc.cc:474:replace_alu$7383.C[2] +.sym 15796 $22\i2c_input_data_type[3:0][1] +.sym 15797 $true$2 +.sym 15801 $false +.sym 15802 $22\i2c_input_data_type[3:0][2] +.sym 15803 $false +.sym 15804 $auto$alumacc.cc:474:replace_alu$7383.C[2] +.sym 15807 $abc$26600$n688 +.sym 15808 $abc$26600$n861 +.sym 15809 $false .sym 15810 $false -.sym 15819 $abc$25847$n774 -.sym 15820 $abc$25847$n761 +.sym 15813 $abc$26600$n688 +.sym 15814 $abc$26600$n2014 +.sym 15815 $false +.sym 15816 $false +.sym 15819 $22\i2c_input_data_type[3:0][2] +.sym 15820 $abc$26600$n858 .sym 15821 $false .sym 15822 $false -.sym 15825 $abc$25847$n865_1 -.sym 15826 $abc$25847$n886_1 -.sym 15827 $abc$25847$n887_1 -.sym 15828 $abc$25847$n879 -.sym 15831 $abc$25847$n821 -.sym 15832 $abc$25847$n820 -.sym 15833 $abc$25847$n810 -.sym 15834 I2C.FLT_SCL.RESET$2 -.sym 15838 $abc$25847$n877_1 -.sym 15839 $abc$25847$n236 -.sym 15840 $abc$25847$n821 -.sym 15841 $abc$25847$n1076_1 -.sym 15842 $abc$25847$n1693 -.sym 15843 $abc$25847$n876 -.sym 15844 $abc$25847$n280 -.sym 15845 $abc$25847$n805 -.sym 15912 $abc$25847$n866 -.sym 15913 $abc$25847$n800 -.sym 15914 $abc$25847$n781 +.sym 15825 $0\temp_output_report[2:0][2] +.sym 15826 $false +.sym 15827 $false +.sym 15828 $false +.sym 15835 $abc$26600$n385 +.sym 15836 CLK$2$2 +.sym 15837 $abc$26600$n21$2 +.sym 15840 $abc$26600$n995 +.sym 15841 $abc$26600$n177 +.sym 15842 $abc$26600$n761 +.sym 15843 $abc$26600$n728_1 +.sym 15844 $abc$26600$n753 +.sym 15845 LED1$2 +.sym 15874 $true +.sym 15911 $abc$26600$n862$2 +.sym 15912 $false +.sym 15913 $abc$26600$n862 +.sym 15914 $false .sym 15915 $false -.sym 15918 $abc$25847$n866 -.sym 15919 $abc$25847$n808_1 -.sym 15920 $abc$25847$n781 -.sym 15921 $false -.sym 15924 $abc$25847$n796 -.sym 15925 $abc$25847$n803 -.sym 15926 $false -.sym 15927 $false -.sym 15930 $abc$25847$n795 -.sym 15931 $abc$25847$n801 -.sym 15932 $abc$25847$n809 -.sym 15933 $abc$25847$n280 -.sym 15936 $abc$25847$n782 -.sym 15937 $abc$25847$n781 -.sym 15938 $abc$25847$n779 -.sym 15939 $abc$25847$n384$2 -.sym 15942 KEYBOARD.IS_RAM_INIT$2 -.sym 15943 KEYBOARD.is_pressed -.sym 15944 $abc$25847$n782 +.sym 15917 $auto$alumacc.cc:474:replace_alu$7341.C[2] +.sym 15919 $false +.sym 15920 $abc$26600$n861 +.sym 15923 $auto$alumacc.cc:474:replace_alu$7341.C[3] +.sym 15925 $true$2 +.sym 15926 $abc$26600$n2014 +.sym 15929 $abc$26600$n2054$2 +.sym 15931 $false +.sym 15932 $abc$26600$n858 +.sym 15939 $abc$26600$n2054$2 +.sym 15942 $abc$26600$n2050 +.sym 15943 $abc$26600$n707 +.sym 15944 $abc$26600$n716 .sym 15945 $false -.sym 15954 $abc$25847$n796 -.sym 15955 $abc$25847$n800 -.sym 15956 $abc$25847$n781 +.sym 15948 $abc$26600$n2014 +.sym 15949 $abc$26600$n858 +.sym 15950 $abc$26600$n862 +.sym 15951 $false +.sym 15954 I2C_TRANS +.sym 15955 $abc$26600$n634 +.sym 15956 last_trans .sym 15957 $false -.sym 15961 $abc$25847$n770 -.sym 15962 $abc$25847$n776 -.sym 15963 $abc$25847$n823_1 -.sym 15964 $abc$25847$n822 -.sym 15965 $abc$25847$n939 -.sym 15966 $abc$25847$n761 -.sym 15967 $abc$25847$n808 -.sym 15968 $abc$25847$n775 -.sym 16035 $abc$25847$n751 -.sym 16036 $abc$25847$n1697 +.sym 15958 $abc$26600$n337 +.sym 15959 CLK$2$2 +.sym 15960 $abc$26600$n21$2 +.sym 15961 $abc$26600$n968 +.sym 15963 $abc$26600$n274 +.sym 15964 $abc$26600$n1003_1 +.sym 15965 $abc$26600$n713 +.sym 15967 $abc$26600$n246 +.sym 16035 I2C.FLT_SDA.counter[0] +.sym 16036 I2C.FLT_SDA.counter[1] .sym 16037 $false .sym 16038 $false -.sym 16041 $abc$25847$n751 -.sym 16042 $abc$25847$n1699 +.sym 16041 $false +.sym 16042 I2C.FLT_SDA.counter[0] .sym 16043 $false .sym 16044 $false -.sym 16047 $abc$25847$n817 -.sym 16048 $abc$25847$n1698 -.sym 16049 $abc$25847$n1700 -.sym 16050 $false -.sym 16053 $abc$25847$n760 -.sym 16054 $abc$25847$n775 -.sym 16055 $false -.sym 16056 $false -.sym 16059 $abc$25847$n763 -.sym 16060 $abc$25847$n768_1 -.sym 16061 $abc$25847$n1697 -.sym 16062 $false -.sym 16065 $abc$25847$n1950 -.sym 16066 $abc$25847$n763 +.sym 16047 I2C.FLT_SDA.counter[0] +.sym 16048 I2C.FLT_SDA.counter[1] +.sym 16049 I2C.FLT_SDA.counter[2] +.sym 16050 $abc$26600$n968 +.sym 16053 $abc$26600$n1255 +.sym 16054 $abc$26600$n968 +.sym 16055 $abc$26600$n1256 +.sym 16056 $abc$26600$n995 +.sym 16059 I2C.FLT_SDA.counter[0] +.sym 16060 I2C.FLT_SDA.counter[1] +.sym 16061 I2C.FLT_SDA.counter[2] +.sym 16062 $abc$26600$n992 +.sym 16065 $abc$26600$n1256 +.sym 16066 $false .sym 16067 $false .sym 16068 $false -.sym 16071 KEYBOARD.row_time[0] -.sym 16072 KEYBOARD.row_time[1] -.sym 16073 KEYBOARD.row_time[2] -.sym 16074 KEYBOARD.row_time[3] -.sym 16077 $abc$25847$n751 -.sym 16078 $abc$25847$n1698 +.sym 16071 I2C.FLT_SDA.counter[0] +.sym 16072 I2C.FLT_SDA.counter[1] +.sym 16073 I2C.FLT_SDA.counter[2] +.sym 16074 $abc$26600$n995 +.sym 16077 $abc$26600$n1255 +.sym 16078 $false .sym 16079 $false .sym 16080 $false -.sym 16084 KEYBOARD.row_time[5] -.sym 16086 KEYBOARD.row_time[0] -.sym 16087 KEYBOARD.row_time[3] -.sym 16088 KEYBOARD.row_time[1] -.sym 16090 KEYBOARD.row_time[6] -.sym 16091 KEYBOARD.row_time[2] -.sym 16120 $true -.sym 16157 KEYBOARD.row_time[0]$2 -.sym 16158 $false -.sym 16159 KEYBOARD.row_time[0] +.sym 16081 $abc$26600$n644 +.sym 16082 CLK$2$2 +.sym 16083 $abc$26600$n21$2 +.sym 16085 $abc$26600$n767 +.sym 16086 $abc$26600$n1000_1 +.sym 16090 $abc$26600$n1010 +.sym 16158 $abc$26600$n715 +.sym 16159 int_tmr[6] .sym 16160 $false .sym 16161 $false -.sym 16163 $auto$alumacc.cc:474:replace_alu$6784.C[2] -.sym 16165 $false -.sym 16166 KEYBOARD.row_time[1] -.sym 16169 $auto$alumacc.cc:474:replace_alu$6784.C[3] +.sym 16164 $abc$26600$n715 +.sym 16165 int_tmr[0] +.sym 16166 $false +.sym 16167 $false .sym 16170 $false .sym 16171 $false -.sym 16172 KEYBOARD.row_time[2] -.sym 16173 $auto$alumacc.cc:474:replace_alu$6784.C[2] -.sym 16175 $auto$alumacc.cc:474:replace_alu$6784.C[4] -.sym 16176 $false -.sym 16177 $false -.sym 16178 KEYBOARD.row_time[3] -.sym 16179 $auto$alumacc.cc:474:replace_alu$6784.C[3] -.sym 16181 $auto$alumacc.cc:474:replace_alu$6784.C[5] -.sym 16182 $false -.sym 16183 $false -.sym 16184 KEYBOARD.row_time[4] -.sym 16185 $auto$alumacc.cc:474:replace_alu$6784.C[4] -.sym 16187 $auto$alumacc.cc:474:replace_alu$6784.C[6] -.sym 16188 $false -.sym 16189 $false -.sym 16190 KEYBOARD.row_time[5] -.sym 16191 $auto$alumacc.cc:474:replace_alu$6784.C[5] -.sym 16193 $auto$alumacc.cc:474:replace_alu$6784.C[7] -.sym 16194 $false -.sym 16195 $false -.sym 16196 KEYBOARD.row_time[6] -.sym 16197 $auto$alumacc.cc:474:replace_alu$6784.C[6] -.sym 16199 $auto$alumacc.cc:474:replace_alu$6784.C[8] -.sym 16200 $false -.sym 16201 $false -.sym 16202 KEYBOARD.row_time[7] -.sym 16203 $auto$alumacc.cc:474:replace_alu$6784.C[7] -.sym 16213 $abc$25847$n1950 -.sym 16214 $abc$25847$n823 -.sym 16243 $auto$alumacc.cc:474:replace_alu$6784.C[8] -.sym 16280 $auto$alumacc.cc:474:replace_alu$6784.C[9] -.sym 16281 $false -.sym 16282 $false -.sym 16283 KEYBOARD.row_time[8] -.sym 16284 $auto$alumacc.cc:474:replace_alu$6784.C[8] -.sym 16286 $auto$alumacc.cc:474:replace_alu$6784.C[10] -.sym 16287 $false -.sym 16288 $false -.sym 16289 KEYBOARD.row_time[9] -.sym 16290 $auto$alumacc.cc:474:replace_alu$6784.C[9] -.sym 16292 $auto$alumacc.cc:474:replace_alu$6784.C[11] -.sym 16293 $false -.sym 16294 $false -.sym 16295 KEYBOARD.row_time[10] -.sym 16296 $auto$alumacc.cc:474:replace_alu$6784.C[10] -.sym 16298 $auto$alumacc.cc:474:replace_alu$6784.C[12] -.sym 16299 $false -.sym 16300 $false -.sym 16301 KEYBOARD.row_time[11] -.sym 16302 $auto$alumacc.cc:474:replace_alu$6784.C[11] -.sym 16304 $auto$alumacc.cc:474:replace_alu$6784.C[13] -.sym 16305 $false -.sym 16306 $false -.sym 16307 KEYBOARD.row_time[12] -.sym 16308 $auto$alumacc.cc:474:replace_alu$6784.C[12] -.sym 16310 $auto$alumacc.cc:474:replace_alu$6784.C[14] -.sym 16311 $false -.sym 16312 $false -.sym 16313 KEYBOARD.row_time[13] -.sym 16314 $auto$alumacc.cc:474:replace_alu$6784.C[13] -.sym 16317 $false -.sym 16318 $false -.sym 16319 KEYBOARD.row_time[14] -.sym 16320 $auto$alumacc.cc:474:replace_alu$6784.C[14] -.sym 16323 KEYBOARD.row_time[4] -.sym 16324 KEYBOARD.row_time[8] -.sym 16325 KEYBOARD.row_time[12] -.sym 16326 KEYBOARD.row_time[14] -.sym 16332 KEYBOARD.row_time[11] -.sym 16335 KEYBOARD.row_time[4] -.sym 16336 KEYBOARD.row_time[14] -.sym 16404 $abc$25847$n751 -.sym 16405 $abc$25847$n1707 +.sym 16172 $3\int_tmr[14:0][0] +.sym 16173 $false +.sym 16176 $abc$26600$n715 +.sym 16177 int_tmr[1] +.sym 16178 $false +.sym 16179 $false +.sym 16182 $abc$26600$n1346 +.sym 16183 int_tmr[6] +.sym 16184 $3\int_tmr[14:0][14] +.sym 16185 $false +.sym 16188 $abc$26600$n1327 +.sym 16189 int_tmr[0] +.sym 16190 $3\int_tmr[14:0][14] +.sym 16191 $false +.sym 16200 int_tmr[14] +.sym 16201 int_tmr[0] +.sym 16202 $abc$26600$n715 +.sym 16203 int_tmr[1] +.sym 16204 $abc$26600$n336$2 +.sym 16205 CLK$2$2 +.sym 16206 $abc$26600$n21$2 +.sym 16211 $abc$26600$n316 +.sym 16212 $3\int_tmr[14:0][10] +.sym 16214 int_tmr[10] +.sym 16281 $abc$26600$n715 +.sym 16282 int_tmr[9] +.sym 16283 $false +.sym 16284 $false +.sym 16287 $abc$26600$n715 +.sym 16288 int_tmr[11] +.sym 16289 $false +.sym 16290 $false +.sym 16293 $abc$26600$n715 +.sym 16294 int_tmr[8] +.sym 16295 $false +.sym 16296 $false +.sym 16299 $abc$26600$n715 +.sym 16300 int_tmr[14] +.sym 16301 $false +.sym 16302 $false +.sym 16305 $abc$26600$n1352 +.sym 16306 int_tmr[8] +.sym 16307 $3\int_tmr[14:0][14] +.sym 16308 $false +.sym 16311 $abc$26600$n1361 +.sym 16312 int_tmr[11] +.sym 16313 $3\int_tmr[14:0][14] +.sym 16314 $false +.sym 16317 $abc$26600$n1355 +.sym 16318 int_tmr[9] +.sym 16319 $3\int_tmr[14:0][14] +.sym 16320 $false +.sym 16323 $3\int_tmr[14:0][14] +.sym 16324 $abc$26600$n1370 +.sym 16325 $false +.sym 16326 $false +.sym 16327 $abc$26600$n336$2 +.sym 16328 CLK$2$2 +.sym 16329 $abc$26600$n21$2 +.sym 16332 UART.tx_activity +.sym 16336 I2C.i2c_state_machine +.sym 16366 $true +.sym 16403 UART.tx_clk_counter[0]$2 +.sym 16404 $false +.sym 16405 UART.tx_clk_counter[0] .sym 16406 $false .sym 16407 $false -.sym 16410 $abc$25847$n943 -.sym 16411 $abc$25847$n944 -.sym 16412 $abc$25847$n946 -.sym 16413 $abc$25847$n947 -.sym 16422 $abc$25847$n751 -.sym 16423 $abc$25847$n1704 +.sym 16409 $auto$alumacc.cc:474:replace_alu$7413.C[2]$2 +.sym 16411 UART.tx_clk_counter[1] +.sym 16412 $true$2 +.sym 16415 $auto$alumacc.cc:474:replace_alu$7413.C[3] +.sym 16417 UART.tx_clk_counter[2] +.sym 16418 $true$2 +.sym 16419 $auto$alumacc.cc:474:replace_alu$7413.C[2]$2 +.sym 16422 $false +.sym 16423 UART.tx_clk_counter[3] .sym 16424 $false -.sym 16425 $false -.sym 16428 $abc$25847$n751 -.sym 16429 $abc$25847$n1706 +.sym 16425 $auto$alumacc.cc:474:replace_alu$7413.C[3] +.sym 16428 $false +.sym 16429 UART.tx_clk_counter[2] .sym 16430 $false -.sym 16431 $false -.sym 16440 $abc$25847$n751 -.sym 16441 $abc$25847$n1705 +.sym 16431 $auto$alumacc.cc:474:replace_alu$7413.C[2] +.sym 16434 $abc$26600$n1250 +.sym 16435 $abc$26600$n986 +.sym 16436 $abc$26600$n989 +.sym 16437 $abc$26600$n990 +.sym 16440 $false +.sym 16441 UART.tx_clk_counter[0] .sym 16442 $false .sym 16443 $false -.sym 16446 I2C.FLT_SCL.RESET$2 -.sym 16447 IS_RAM_INIT +.sym 16446 UART.tx_clk_counter[1] +.sym 16447 UART.tx_clk_counter[0] .sym 16448 $false .sym 16449 $false -.sym 16497 $abc$25847$n135 -.sym 16556 KEYBOARD.last_adr[8] -.sym 16560 KEYBOARD.last_adr[7] -.sym 16634 $abc$25847$n1659 -.sym 16635 $false -.sym 16636 $false -.sym 16637 $false -.sym 16674 $abc$25847$n135$2 -.sym 16675 CLK$2$2 -.sym 16676 $abc$25847$n21$2 +.sym 16497 I2C.FLT_SCL.RESET +.sym 16555 $abc$26600$n972 +.sym 16556 $abc$26600$n973 +.sym 16557 $abc$26600$n1177 +.sym 16558 $abc$26600$n1176 +.sym 16559 $abc$26600$n969 +.sym 16560 $abc$26600$n1169_1 +.sym 16670 $abc$26600$n731 +.sym 16671 KEYBOARD.row_counter[0] +.sym 16672 KEYBOARD.row_counter[1] +.sym 16673 $false .sym 16677 KBD_COLUMNS[6]$2 .sym 16679 KBD_COLUMNS[7]$2 -.sym 16683 $abc$25847$n859 -.sym 16684 $abc$25847$n860 -.sym 16685 $abc$25847$n862 -.sym 16686 $abc$25847$n863 -.sym 16687 $abc$25847$n865 -.sym 16688 $abc$25847$n1614 -.sym 16791 $abc$25847$n860 -.sym 16792 $abc$25847$n1088_1 -.sym 16793 KEYBOARD.IS_RAM_INIT$2 +.sym 16681 KEYBOARD.report_adress_rd[0] +.sym 16686 KEYBOARD.report_adress_rd[1] +.sym 16687 KEYBOARD.report_adress_rd[3] +.sym 16688 KEYBOARD.report_adress_rd[2] +.sym 16791 $false +.sym 16792 I2C.FLT_SCL.counter[0] +.sym 16793 $false .sym 16794 $false -.sym 16797 $abc$25847$n859 -.sym 16798 $abc$25847$n1084_1 -.sym 16799 KEYBOARD.IS_RAM_INIT$2 +.sym 16797 I2C.FLT_SCL.counter[0] +.sym 16798 I2C.FLT_SCL.counter[1] +.sym 16799 $false .sym 16800 $false -.sym 16803 $abc$25847$n862 -.sym 16804 $abc$25847$n1081 -.sym 16805 KEYBOARD.IS_RAM_INIT$2 -.sym 16806 $false -.sym 16809 $abc$25847$n860 -.sym 16810 $abc$25847$n1077 -.sym 16811 KEYBOARD.IS_RAM_INIT$2 -.sym 16812 $false -.sym 16815 $abc$25847$n865 -.sym 16816 KEYBOARD.IS_RAM_INIT$2 -.sym 16817 $abc$25847$n1092 -.sym 16818 KEYBOARD.last_adr[6] -.sym 16821 $abc$25847$n863 -.sym 16822 KEYBOARD.IS_RAM_INIT$2 -.sym 16823 $abc$25847$n1092 -.sym 16824 KEYBOARD.last_adr[5] -.sym 16827 $abc$25847$n862 -.sym 16828 KEYBOARD.IS_RAM_INIT$2 -.sym 16829 $abc$25847$n1092 -.sym 16830 KEYBOARD.last_adr[4] -.sym 16833 $abc$25847$n863 -.sym 16834 $abc$25847$n1085 -.sym 16835 KEYBOARD.IS_RAM_INIT$2 -.sym 16836 $false -.sym 16837 $abc$25847$n135$2 +.sym 16803 $abc$26600$n1258 +.sym 16804 $abc$26600$n973_1 +.sym 16805 $abc$26600$n1259 +.sym 16806 $abc$26600$n999 +.sym 16809 I2C.FLT_SCL.counter[0] +.sym 16810 I2C.FLT_SCL.counter[1] +.sym 16811 I2C.FLT_SCL.counter[2] +.sym 16812 $abc$26600$n973_1 +.sym 16815 I2C.FLT_SCL.counter[0] +.sym 16816 I2C.FLT_SCL.counter[1] +.sym 16817 I2C.FLT_SCL.counter[2] +.sym 16818 $abc$26600$n996 +.sym 16821 $abc$26600$n1258 +.sym 16822 $false +.sym 16823 $false +.sym 16824 $false +.sym 16827 $abc$26600$n1259 +.sym 16828 $false +.sym 16829 $false +.sym 16830 $false +.sym 16833 I2C.FLT_SCL.counter[0] +.sym 16834 I2C.FLT_SCL.counter[1] +.sym 16835 I2C.FLT_SCL.counter[2] +.sym 16836 $abc$26600$n999 +.sym 16837 $abc$26600$n654 .sym 16838 CLK$2$2 -.sym 16839 $abc$25847$n21$2 -.sym 16840 $abc$25847$n871 -.sym 16841 $abc$25847$n1135 -.sym 16842 $abc$25847$n882 -.sym 16844 $abc$25847$n1082_1 -.sym 16845 $abc$25847$n1081 -.sym 16846 $abc$25847$n1708 -.sym 16847 $abc$25847$n1077 -.sym 16914 $abc$25847$n1090_1 -.sym 16915 $abc$25847$n1089 -.sym 16916 $abc$25847$n1075 +.sym 16839 $abc$26600$n21$2 +.sym 16840 $abc$26600$n1171_1 +.sym 16841 $abc$26600$n869 +.sym 16843 $abc$26600$n829 +.sym 16845 $abc$26600$n1173 +.sym 16846 $abc$26600$n1166 +.sym 16847 $abc$26600$n1165_1 +.sym 16876 $true +.sym 16913 I2C.FLT_SCL.counter[0]$2 +.sym 16914 $false +.sym 16915 I2C.FLT_SCL.counter[0] +.sym 16916 $false .sym 16917 $false -.sym 16920 KEYBOARD.last_adr[0] -.sym 16921 KEYBOARD.row_counter[0] -.sym 16922 $abc$25847$n898 -.sym 16923 $false -.sym 16926 KEYBOARD.last_adr[2] -.sym 16927 KEYBOARD.row_counter[2] -.sym 16928 $abc$25847$n898 -.sym 16929 $false -.sym 16932 $abc$25847$n1082_1 -.sym 16933 $abc$25847$n1081 -.sym 16934 $abc$25847$n1075 +.sym 16919 $auto$alumacc.cc:474:replace_alu$7389.C[2] +.sym 16921 I2C.FLT_SCL.counter[1] +.sym 16922 $true$2 +.sym 16926 $false +.sym 16927 I2C.FLT_SCL.counter[2] +.sym 16928 $false +.sym 16929 $auto$alumacc.cc:474:replace_alu$7389.C[2] +.sym 16932 I2C.FLT_SCL.out +.sym 16933 I2C.SCLF +.sym 16934 $false .sym 16935 $false -.sym 16938 KEYBOARD.last_adr[3] -.sym 16939 KEYBOARD.row_counter[3] -.sym 16940 $abc$25847$n898 +.sym 16938 KEYBOARD.row_counter[0] +.sym 16939 $abc$26600$n1759 +.sym 16940 $abc$26600$n731 .sym 16941 $false -.sym 16944 $abc$25847$n1086_1 -.sym 16945 $abc$25847$n1085 -.sym 16946 $abc$25847$n1075 +.sym 16944 I2C.SCLF +.sym 16945 $false +.sym 16946 $false .sym 16947 $false -.sym 16950 $abc$25847$n1078_1 -.sym 16951 $abc$25847$n1077 -.sym 16952 $abc$25847$n1075 -.sym 16953 $false -.sym 16956 $abc$25847$n1075 -.sym 16957 $abc$25847$n898 -.sym 16958 KEYBOARD.IS_RAM_INIT$2 -.sym 16959 $false -.sym 16963 $3\int_tmr[14:0][1] -.sym 16964 $3\int_tmr[14:0][2] -.sym 16965 $3\int_tmr[14:0][0] -.sym 16966 $3\int_tmr[14:0][6] -.sym 16967 int_tmr[6] -.sym 16968 int_tmr[0] -.sym 16969 int_tmr[4] -.sym 16970 int_tmr[1] -.sym 16999 $true -.sym 17036 $abc$25847$n720$2 -.sym 17037 $false -.sym 17038 $abc$25847$n720 -.sym 17039 $false -.sym 17040 $false -.sym 17042 $auto$alumacc.cc:474:replace_alu$6724.C[2] -.sym 17044 $false -.sym 17045 $abc$25847$n719 -.sym 17048 $auto$alumacc.cc:474:replace_alu$6724.C[3] -.sym 17050 $true$2 -.sym 17051 $abc$25847$n1911 -.sym 17054 $abc$25847$n1952$2 -.sym 17056 $false -.sym 17057 $abc$25847$n716 -.sym 17064 $abc$25847$n1952$2 -.sym 17086 $3\int_tmr[14:0][14] -.sym 17087 $3\int_tmr[14:0][13] -.sym 17088 $3\int_tmr[14:0][9] -.sym 17089 $3\int_tmr[14:0][3] -.sym 17090 int_tmr[13] -.sym 17091 int_tmr[14] -.sym 17092 int_tmr[3] -.sym 17160 $abc$25847$n679 -.sym 17161 $abc$25847$n1333 -.sym 17162 $abc$25847$n596 -.sym 17163 $false -.sym 17172 $abc$25847$n1911 -.sym 17173 $abc$25847$n716 -.sym 17174 $false -.sym 17175 $false -.sym 17178 $abc$25847$n679 -.sym 17179 $abc$25847$n684 -.sym 17180 $abc$25847$n746 -.sym 17181 I2C.FLT_SCL.RESET$2 -.sym 17184 $abc$25847$n719 -.sym 17185 $22\i2c_input_data_type[3:0][0] -.sym 17186 $false -.sym 17187 $false -.sym 17190 $false -.sym 17191 $22\i2c_input_data_type[3:0][0] -.sym 17192 $false +.sym 16960 $abc$26600$n653 +.sym 16961 CLK$2$2 +.sym 16962 $abc$26600$n21$2 +.sym 16963 $abc$26600$n1175 +.sym 16964 $abc$26600$n865_1 +.sym 16965 $abc$26600$n1339_1 +.sym 16966 $abc$26600$n1338_1 +.sym 16967 $abc$26600$n1298 +.sym 16968 $abc$26600$n1337_1 +.sym 16969 $abc$26600$n842 +.sym 16970 $abc$26600$n867 +.sym 17037 KEYBOARD.REPORT.r_data[1] +.sym 17038 $abc$26600$n841 +.sym 17039 KEYBOARD.REPORT.r_data[0] +.sym 17040 $abc$26600$n813 +.sym 17043 KEYBOARD.REPORT.r_data[1] +.sym 17044 KEYBOARD.is_pressed +.sym 17045 $abc$26600$n841 +.sym 17046 $false +.sym 17055 KEYBOARD.kbd_code_hid[6] +.sym 17056 $abc$26600$n815 +.sym 17057 $abc$26600$n813 +.sym 17058 $false +.sym 17061 KEYBOARD.REPORT.r_data[0] +.sym 17062 $abc$26600$n813 +.sym 17063 $abc$26600$n1301 +.sym 17064 KEYBOARD.is_pressed +.sym 17067 $abc$26600$n1339_1 +.sym 17068 $abc$26600$n854 +.sym 17069 $abc$26600$n1333_1 +.sym 17070 $abc$26600$n827 +.sym 17073 KEYBOARD.is_pressed +.sym 17074 KEYBOARD.kbd_code_hid[2] +.sym 17075 $false +.sym 17076 $false +.sym 17079 KEYBOARD.kbd_code_hid[2] +.sym 17080 $abc$26600$n842 +.sym 17081 $false +.sym 17082 $false +.sym 17086 $abc$26600$n815 +.sym 17087 $abc$26600$n1179 +.sym 17088 $abc$26600$n814 +.sym 17089 $abc$26600$n1303_1 +.sym 17090 $abc$26600$n868_1 +.sym 17091 $abc$26600$n1334_1 +.sym 17092 $abc$26600$n1304 +.sym 17093 $abc$26600$n850_1 +.sym 17166 $false +.sym 17167 $false +.sym 17168 KEYBOARD.row_counter[0] +.sym 17169 $false +.sym 17184 $abc$26600$n827 +.sym 17185 $abc$26600$n823 +.sym 17186 $abc$26600$n952 +.sym 17187 $abc$26600$n829 +.sym 17190 $abc$26600$n818 +.sym 17191 KEYBOARD.isr_internal +.sym 17192 KEYBOARD.report_adress_rd[3] .sym 17193 $false -.sym 17202 IS_RAM_INIT -.sym 17203 $abc$25847$n715 -.sym 17204 I2C.FLT_SCL.RESET$2 -.sym 17205 $abc$25847$n745 -.sym 17209 $abc$25847$n1085 -.sym 17210 $2\I2C_OUTPUT_TYPE[2:0][0] -.sym 17211 $abc$25847$n718 -.sym 17212 $abc$25847$n710 -.sym 17213 I2C_HID_DESC.DESC_TYPE -.sym 17214 I2C_OUTPUT_TYPE[2] -.sym 17216 I2C_OUTPUT_TYPE[1] -.sym 17283 $abc$25847$n684 -.sym 17284 $abc$25847$n596 -.sym 17285 I2C.is_read +.sym 17196 IS_RAM_INIT +.sym 17197 KEYBOARD.IS_RAM_INIT$2 +.sym 17198 $false +.sym 17199 $false +.sym 17202 $abc$26600$n827 +.sym 17203 KEYBOARD.report_adress_rd[3] +.sym 17204 $abc$26600$n829 +.sym 17205 $false +.sym 17211 $abc$26600$n1003 +.sym 17212 $abc$26600$n1004 +.sym 17213 $abc$26600$n1168 +.sym 17214 $abc$26600$n828 +.sym 17215 $abc$26600$n827 +.sym 17216 IS_RAM_INIT +.sym 17283 $abc$26600$n812_1 +.sym 17284 I2C.FLT_SCL.RESET$2 +.sym 17285 $false .sym 17286 $false -.sym 17289 $abc$25847$n716_1 -.sym 17290 $abc$25847$n595 -.sym 17291 $abc$25847$n683 +.sym 17289 $abc$26600$n823 +.sym 17290 KEYBOARD.isr_internal +.sym 17291 $false .sym 17292 $false -.sym 17295 I2C_HID_DESC.DESC_TYPE -.sym 17296 I2C_OUTPUT_TYPE[2] -.sym 17297 I2C_OUTPUT_TYPE[1] +.sym 17295 I2C.received_byte[6] +.sym 17296 $false +.sym 17297 $false .sym 17298 $false -.sym 17301 $abc$25847$n595 -.sym 17302 $abc$25847$n683 -.sym 17303 I2C_OUTPUT_TYPE[1] -.sym 17304 $abc$25847$n685 -.sym 17307 $abc$25847$n681 -.sym 17308 I2C_OUTPUT_TYPE[1] -.sym 17309 $abc$25847$n679 -.sym 17310 $abc$25847$n596 -.sym 17313 $abc$25847$n681 -.sym 17314 I2C_OUTPUT_TYPE[2] -.sym 17315 $false -.sym 17316 $false -.sym 17319 $abc$25847$n1335 -.sym 17320 $abc$25847$n717 -.sym 17321 $abc$25847$n679 -.sym 17322 $abc$25847$n596 -.sym 17325 $abc$25847$n681 -.sym 17326 I2C_HID_DESC.DESC_TYPE -.sym 17327 $abc$25847$n679 -.sym 17328 $abc$25847$n596 -.sym 17332 $abc$25847$n719_1 -.sym 17333 $abc$25847$n2025 -.sym 17334 $abc$25847$n593 -.sym 17335 COM_DCD$2 -.sym 17336 LED1$2 -.sym 17368 $true -.sym 17405 I2C.FLT_SCL.counter[0]$2 -.sym 17406 $false -.sym 17407 I2C.FLT_SCL.counter[0] -.sym 17408 $false -.sym 17409 $false -.sym 17411 $auto$alumacc.cc:474:replace_alu$6772.C[2] -.sym 17413 I2C.FLT_SCL.counter[1] -.sym 17414 $true$2 -.sym 17418 $false -.sym 17419 I2C.FLT_SCL.counter[2] -.sym 17420 $false -.sym 17421 $auto$alumacc.cc:474:replace_alu$6772.C[2] -.sym 17424 I2C.FLT_SCL.counter[0] -.sym 17425 I2C.FLT_SCL.counter[1] -.sym 17426 $false +.sym 17307 I2C.received_byte[5] +.sym 17308 $false +.sym 17309 $false +.sym 17310 $false +.sym 17332 $abc$26600$n1000 +.sym 17333 $abc$26600$n916 +.sym 17334 $abc$26600$n780 +.sym 17335 $abc$26600$n917 +.sym 17336 $abc$26600$n918 +.sym 17337 wr_cnt[2] +.sym 17338 wr_cnt[0] +.sym 17339 wr_cnt[3] +.sym 17412 I2C.received_byte[0] +.sym 17413 $abc$26600$n631 +.sym 17414 $false +.sym 17415 $false +.sym 17418 I2C.received_byte[1] +.sym 17419 $abc$26600$n617_1 +.sym 17420 $abc$26600$n616 +.sym 17421 I2C.received_byte[0] +.sym 17424 $abc$26600$n2057 +.sym 17425 $abc$26600$n2049 +.sym 17426 I2C.received_byte[1] .sym 17427 $false -.sym 17436 I2C.FLT_SCL.counter[0] -.sym 17437 I2C.FLT_SCL.counter[1] -.sym 17438 I2C.FLT_SCL.counter[2] -.sym 17439 $abc$25847$n896 -.sym 17442 $abc$25847$n1177 -.sym 17443 $false -.sym 17444 $false -.sym 17445 $false -.sym 17448 $abc$25847$n1178 -.sym 17449 $false -.sym 17450 $false -.sym 17451 $false -.sym 17452 $abc$25847$n512 -.sym 17453 CLK$2$2 -.sym 17454 $abc$25847$n21$2 -.sym 17455 $abc$25847$n10 -.sym 17457 I2C.SDA_DIR -.sym 17459 I2C.i2c_bit_counter[3] -.sym 17460 I2C.i2c_bit_counter[0] -.sym 17461 I2C.is_ack -.sym 17462 I2C.is_adress -.sym 17529 I2C.FLT_SCL.out -.sym 17530 I2C.SCLF +.sym 17430 I2C.received_byte[2] +.sym 17431 I2C.received_byte[3] +.sym 17432 $false +.sym 17433 $false +.sym 17436 i2c_input_data_type[0] +.sym 17437 I2C.received_byte[0] +.sym 17438 I2C.received_byte[1] +.sym 17439 $false +.sym 17442 $abc$26600$n620 +.sym 17443 $abc$26600$n617_1 +.sym 17444 $abc$26600$n616 +.sym 17445 $abc$26600$n619 +.sym 17448 $abc$26600$n2057 +.sym 17449 $abc$26600$n615 +.sym 17450 $abc$26600$n2049 +.sym 17451 $abc$26600$n632_1 +.sym 17455 $abc$26600$n330 +.sym 17456 $abc$26600$n777 +.sym 17457 $abc$26600$n775 +.sym 17458 $abc$26600$n778 +.sym 17459 $abc$26600$n1097 +.sym 17462 wr_cnt[1] +.sym 17529 $abc$26600$n603 +.sym 17530 $abc$26600$n609 .sym 17531 $false .sym 17532 $false -.sym 17535 $abc$25847$n1177 -.sym 17536 $abc$25847$n931 -.sym 17537 $abc$25847$n1178 -.sym 17538 $abc$25847$n896 -.sym 17541 I2C.FLT_SCL.counter[0] -.sym 17542 I2C.FLT_SCL.counter[1] -.sym 17543 I2C.FLT_SCL.counter[2] -.sym 17544 $abc$25847$n893 -.sym 17547 $false -.sym 17548 I2C.FLT_SCL.counter[0] -.sym 17549 $false +.sym 17535 I2C.received_byte[1] +.sym 17536 I2C.received_byte[2] +.sym 17537 I2C.received_byte[5] +.sym 17538 $false +.sym 17541 I2C.received_byte[4] +.sym 17542 $abc$26600$n604_1 +.sym 17543 $abc$26600$n603 +.sym 17544 $false +.sym 17547 $abc$26600$n604_1 +.sym 17548 $abc$26600$n609 +.sym 17549 $abc$26600$n603 .sym 17550 $false -.sym 17553 I2C.FLT_SCL.counter[0] -.sym 17554 I2C.FLT_SCL.counter[1] -.sym 17555 I2C.FLT_SCL.counter[2] -.sym 17556 $abc$25847$n931 -.sym 17559 $abc$25847$n1056 -.sym 17560 $false -.sym 17561 $false -.sym 17562 $false -.sym 17571 I2C.SCLF -.sym 17572 $false -.sym 17573 $false -.sym 17574 $false -.sym 17575 $abc$25847$n511 -.sym 17576 CLK$2$2 -.sym 17577 $abc$25847$n21$2 -.sym 17578 $abc$25847$n962 -.sym 17579 $abc$25847$n1263_1 -.sym 17580 $abc$25847$n964 -.sym 17581 $abc$25847$n1056 -.sym 17582 $abc$25847$n1914 -.sym 17583 $abc$25847$n1264_1 -.sym 17584 $abc$25847$n965 -.sym 17585 $abc$25847$n973 -.sym 17652 I2C.FLT_SCL.out -.sym 17653 $abc$25847$n10 -.sym 17654 $false -.sym 17655 $false -.sym 17658 $abc$25847$n1076_1 -.sym 17659 $abc$25847$n774 -.sym 17660 $false -.sym 17661 $false -.sym 17664 $abc$25847$n906 -.sym 17665 $abc$25847$n905 -.sym 17666 I2C.FLT_SCL.RESET$2 -.sym 17667 $abc$25847$n907 -.sym 17670 $false -.sym 17671 $abc$25847$n1056 +.sym 17553 $abc$26600$n616 +.sym 17554 I2C.received_byte[1] +.sym 17555 I2C.received_byte[2] +.sym 17556 $abc$26600$n603 +.sym 17559 I2C.received_byte[4] +.sym 17560 I2C.received_byte[7] +.sym 17561 I2C.received_byte[5] +.sym 17562 I2C.received_byte[6] +.sym 17565 I2C.received_byte[4] +.sym 17566 $abc$26600$n604_1 +.sym 17567 $abc$26600$n603 +.sym 17568 I2C_COUNTER[0] +.sym 17571 I2C.received_byte[7] +.sym 17572 I2C.received_byte[0] +.sym 17573 I2C.received_byte[3] +.sym 17574 I2C.received_byte[6] +.sym 17578 $abc$26600$n710 +.sym 17579 $abc$26600$n695 +.sym 17580 $abc$26600$n696 +.sym 17581 $abc$26600$n703 +.sym 17582 $abc$26600$n692 +.sym 17583 ring_wr[2] +.sym 17584 ring_wr[0] +.sym 17585 ring_wr[3] +.sym 17652 I2C.received_byte[1] +.sym 17653 I2C.received_byte[2] +.sym 17654 I2C.received_byte[4] +.sym 17655 I2C.received_byte[5] +.sym 17658 $abc$26600$n692 +.sym 17659 $abc$26600$n708 +.sym 17660 $abc$26600$n711 +.sym 17661 $abc$26600$n713 +.sym 17664 $abc$26600$n695 +.sym 17665 $abc$26600$n706 +.sym 17666 $false +.sym 17667 $false +.sym 17670 $2\ring_rd[3:0][0] +.sym 17671 $2\ring_wr[3:0][0] .sym 17672 $false .sym 17673 $false -.sym 17676 I2C_TRANS -.sym 17677 last_trans -.sym 17678 $false -.sym 17679 $false -.sym 17682 $abc$25847$n958_1 -.sym 17683 $abc$25847$n906 -.sym 17684 $abc$25847$n907 -.sym 17685 $abc$25847$n905 -.sym 17688 I2C.FLT_SCL.out -.sym 17689 $abc$25847$n10 -.sym 17690 $abc$25847$n906 -.sym 17691 $abc$25847$n416 -.sym 17694 I2C_TRANS +.sym 17676 I2C.received_byte[7] +.sym 17677 $abc$26600$n609 +.sym 17678 I2C.received_byte[3] +.sym 17679 I2C.received_byte[6] +.sym 17682 ring_rd[0] +.sym 17683 $abc$26600$n1496 +.sym 17684 $abc$26600$n694 +.sym 17685 $false +.sym 17688 $false +.sym 17689 $false +.sym 17690 ring_rd[0] +.sym 17691 $false +.sym 17694 $2\ring_rd[3:0][0] .sym 17695 $false .sym 17696 $false .sym 17697 $false -.sym 17698 $abc$25847$n135$2 +.sym 17698 $abc$26600$n336$2 .sym 17699 CLK$2$2 -.sym 17700 $abc$25847$n21$2 -.sym 17701 $abc$25847$n979 -.sym 17702 $abc$25847$n441 -.sym 17703 $abc$25847$n992 -.sym 17704 $abc$25847$n969 -.sym 17705 $abc$25847$n976 -.sym 17706 $abc$25847$n909 -.sym 17707 $abc$25847$n963 -.sym 17708 I2C.FLT_SDA.out -.sym 17775 $abc$25847$n1238 -.sym 17776 $abc$25847$n951 -.sym 17777 $abc$25847$n1237_1 -.sym 17778 $abc$25847$n969 -.sym 17781 $abc$25847$n740 -.sym 17782 I2C.wr -.sym 17783 last_wr -.sym 17784 $abc$25847$n135$2 -.sym 17787 $false -.sym 17788 $false +.sym 17700 $abc$26600$n21$2 +.sym 17701 $abc$26600$n987 +.sym 17702 $abc$26600$n2015 +.sym 17703 $abc$26600$n998 +.sym 17704 $abc$26600$n358 +.sym 17705 $abc$26600$n990_1 +.sym 17706 $abc$26600$n991 +.sym 17707 $abc$26600$n997 +.sym 17708 I2C_OUT_DESC_MASK[3] +.sym 17775 I2C_OUTPUT_TYPE[1] +.sym 17776 $abc$26600$n594 +.sym 17777 $abc$26600$n717 +.sym 17778 $abc$26600$n691 +.sym 17781 $abc$26600$n717 +.sym 17782 I2C_OUTPUT_TYPE[2] +.sym 17783 $false +.sym 17784 $false +.sym 17787 I2C.is_read +.sym 17788 $abc$26600$n717 .sym 17789 $false -.sym 17790 $abc$25847$n1892 -.sym 17793 $abc$25847$n26 -.sym 17794 $abc$25847$n53 -.sym 17795 $abc$25847$n52 -.sym 17796 $abc$25847$n1892 -.sym 17799 $abc$25847$n26 -.sym 17800 $abc$25847$n52 -.sym 17801 $abc$25847$n53 -.sym 17802 $abc$25847$n1892 -.sym 17805 $abc$25847$n952_1 -.sym 17806 $abc$25847$n955_1 -.sym 17807 $abc$25847$n416 -.sym 17808 $abc$25847$n958_1 -.sym 17811 I2C_TRANS -.sym 17812 last_trans -.sym 17813 I2C.FLT_SCL.RESET$2 +.sym 17790 $false +.sym 17793 last_trans +.sym 17794 I2C_TRANS +.sym 17795 $false +.sym 17796 $false +.sym 17799 $abc$26600$n725 +.sym 17800 $abc$26600$n720 +.sym 17801 $abc$26600$n727 +.sym 17802 $2\I2C_OUTPUT_TYPE[2:0][0] +.sym 17805 I2C_TRANS +.sym 17806 $false +.sym 17807 $false +.sym 17808 $false +.sym 17811 $abc$26600$n720 +.sym 17812 $abc$26600$n725 +.sym 17813 $abc$26600$n727 .sym 17814 $false -.sym 17817 I2C_TRANS -.sym 17818 $abc$25847$n639 -.sym 17819 last_trans +.sym 17817 I2C_OUTPUT_TYPE[1] +.sym 17818 $abc$26600$n594 +.sym 17819 $abc$26600$n717 .sym 17820 $false -.sym 17821 $abc$25847$n136 +.sym 17821 $abc$26600$n336$2 .sym 17822 CLK$2$2 -.sym 17823 $abc$25847$n21$2 -.sym 17824 $abc$25847$n978 -.sym 17825 $abc$25847$n972_1 -.sym 17826 $abc$25847$n980 -.sym 17827 $abc$25847$n907 -.sym 17828 $abc$25847$n26 -.sym 17829 $abc$25847$n974 -.sym 17830 $abc$25847$n961 -.sym 17831 $abc$25847$n968_1 -.sym 17898 KEYBOARD.IS_RAM_INIT$2 -.sym 17899 $abc$25847$n774 -.sym 17900 $false +.sym 17823 $abc$26600$n21$2 +.sym 17824 $abc$26600$n986_1 +.sym 17825 $abc$26600$n989_1 +.sym 17826 $abc$26600$n1996 +.sym 17827 $abc$26600$n585 +.sym 17828 UART_TX_DATA[2] +.sym 17829 UART_TX_DATA[0] +.sym 17830 UART_TX_DATA[1] +.sym 17831 UART_TX_DATA[7] +.sym 17898 UART_TX_DATA[3] +.sym 17899 UART_TX_DATA[1] +.sym 17900 $abc$26600$n586 .sym 17901 $false -.sym 17904 $abc$25847$n888 -.sym 17905 $abc$25847$n894 -.sym 17906 $abc$25847$n827 +.sym 17904 UART_TX_DATA[7] +.sym 17905 UART_TX_DATA[5] +.sym 17906 $abc$26600$n586 .sym 17907 $false -.sym 17910 $abc$25847$n1755 -.sym 17911 $abc$25847$n877_1 -.sym 17912 $abc$25847$n827 -.sym 17913 $abc$25847$n879 -.sym 17916 $abc$25847$n236 -.sym 17917 $abc$25847$n880_1 -.sym 17918 $abc$25847$n1755 -.sym 17919 $abc$25847$n827 -.sym 17922 $abc$25847$n820 -.sym 17923 $abc$25847$n149 -.sym 17924 $false +.sym 17910 UART_TX_DATA[6] +.sym 17911 UART_TX_DATA[4] +.sym 17912 $abc$26600$n586 +.sym 17913 $false +.sym 17916 I2C_TRANS +.sym 17917 last_trans +.sym 17918 $false +.sym 17919 $false +.sym 17922 I2C.received_byte[3] +.sym 17923 $abc$26600$n987 +.sym 17924 I2C.is_read .sym 17925 $false -.sym 17928 $abc$25847$n888 -.sym 17929 $abc$25847$n820 -.sym 17930 I2C.FLT_SCL.RESET$2 +.sym 17928 I2C.received_byte[6] +.sym 17929 $abc$26600$n998 +.sym 17930 I2C.is_read .sym 17931 $false -.sym 17934 $abc$25847$n758 -.sym 17935 $abc$25847$n887_1 -.sym 17936 $abc$25847$n894 -.sym 17937 $abc$25847$n384$2 -.sym 17940 $0\temp_output_report[2:0][2] -.sym 17941 $false -.sym 17942 $false +.sym 17934 I2C.received_byte[4] +.sym 17935 $abc$26600$n997 +.sym 17936 I2C.is_read +.sym 17937 $false +.sym 17940 I2C.received_byte[5] +.sym 17941 $abc$26600$n991 +.sym 17942 I2C.is_read .sym 17943 $false -.sym 17944 $abc$25847$n184 +.sym 17944 $abc$26600$n351 .sym 17945 CLK$2$2 -.sym 17946 $abc$25847$n21$2 -.sym 17947 $abc$25847$n1053 -.sym 17948 $abc$25847$n991 -.sym 17949 $abc$25847$n971_1 -.sym 17950 $abc$25847$n993 -.sym 17952 $abc$25847$n906 -.sym 17953 $abc$25847$n724_1 -.sym 17954 $abc$25847$n1060 -.sym 18021 $abc$25847$n1700 -.sym 18022 $abc$25847$n805 -.sym 18023 $abc$25847$n822 +.sym 17946 $abc$26600$n342$2 +.sym 17947 $abc$26600$n993 +.sym 17948 $abc$26600$n759 +.sym 17949 $abc$26600$n996_1 +.sym 17950 COM_DCD$2 +.sym 17951 $abc$26600$n985 +.sym 17952 $abc$26600$n984_1 +.sym 17953 $abc$26600$n297 +.sym 17954 I2C_OUT_DESC_MASK[6] +.sym 17983 $true +.sym 18020 I2C.FLT_SDA.counter[0]$2 +.sym 18021 $false +.sym 18022 I2C.FLT_SDA.counter[0] +.sym 18023 $false .sym 18024 $false -.sym 18027 $abc$25847$n751 -.sym 18028 $abc$25847$n1700 -.sym 18029 $false -.sym 18030 $false -.sym 18033 KEYBOARD.is_ghost -.sym 18034 $abc$25847$n805 -.sym 18035 $abc$25847$n822 -.sym 18036 $false -.sym 18039 $abc$25847$n1693 -.sym 18040 $abc$25847$n236 -.sym 18041 $abc$25847$n822 -.sym 18042 $abc$25847$n1755 -.sym 18045 $false -.sym 18046 $false -.sym 18047 KEYBOARD.row_time[0] -.sym 18048 $false -.sym 18051 $abc$25847$n1755 -.sym 18052 $abc$25847$n877_1 -.sym 18053 $abc$25847$n827 -.sym 18054 $abc$25847$n135$2 -.sym 18057 $abc$25847$n236 -.sym 18058 $abc$25847$n1755 -.sym 18059 $abc$25847$n827 -.sym 18060 $false -.sym 18063 $abc$25847$n751 -.sym 18064 $abc$25847$n1693 -.sym 18065 $false -.sym 18066 $false -.sym 18070 $abc$25847$n115 -.sym 18071 $abc$25847$n2019 -.sym 18073 $abc$25847$n2022 -.sym 18144 $abc$25847$n805 -.sym 18145 $abc$25847$n1695 -.sym 18146 $abc$25847$n823 +.sym 18026 $auto$alumacc.cc:474:replace_alu$7392.C[2] +.sym 18028 I2C.FLT_SDA.counter[1] +.sym 18029 $true$2 +.sym 18033 $false +.sym 18034 I2C.FLT_SDA.counter[2] +.sym 18035 $false +.sym 18036 $auto$alumacc.cc:474:replace_alu$7392.C[2] +.sym 18039 $false +.sym 18040 $false +.sym 18041 $false +.sym 18042 $abc$26600$n1995 +.sym 18045 $abc$26600$n150 +.sym 18046 $abc$26600$n177 +.sym 18047 $abc$26600$n176 +.sym 18048 $abc$26600$n1995 +.sym 18051 $abc$26600$n715 +.sym 18052 LED1$2 +.sym 18053 $false +.sym 18054 $false +.sym 18057 $abc$26600$n150 +.sym 18058 $abc$26600$n176 +.sym 18059 $abc$26600$n177 +.sym 18060 $abc$26600$n1995 +.sym 18063 $abc$26600$n719 +.sym 18064 $abc$26600$n593 +.sym 18065 $abc$26600$n728_1 +.sym 18066 I2C.FLT_SCL.RESET$2 +.sym 18067 $abc$26600$n336$2 +.sym 18068 CLK$2$2 +.sym 18069 $false +.sym 18070 $abc$26600$n749 +.sym 18071 $abc$26600$n757 +.sym 18072 $abc$26600$n992_1 +.sym 18073 $abc$26600$n1012 +.sym 18074 $abc$26600$n1020_1 +.sym 18075 $abc$26600$n747 +.sym 18076 $abc$26600$n750 +.sym 18077 $abc$26600$n743_1 +.sym 18144 I2C.FLT_SDA.out +.sym 18145 I2C.SDAF +.sym 18146 $false .sym 18147 $false -.sym 18150 $abc$25847$n805 -.sym 18151 $abc$25847$n808 -.sym 18152 $abc$25847$n1696 -.sym 18153 $false -.sym 18156 $abc$25847$n939 -.sym 18157 $abc$25847$n808 -.sym 18158 $abc$25847$n940 -.sym 18159 $abc$25847$n941 -.sym 18162 $abc$25847$n817 -.sym 18163 $abc$25847$n823 -.sym 18164 $abc$25847$n823_1 +.sym 18156 $abc$26600$n757 +.sym 18157 $abc$26600$n761 +.sym 18158 $abc$26600$n749 +.sym 18159 $false +.sym 18162 $abc$26600$n1004_1 +.sym 18163 $abc$26600$n1005 +.sym 18164 $false .sym 18165 $false -.sym 18168 $abc$25847$n751 -.sym 18169 $abc$25847$n1696 +.sym 18168 I2C_TRANS +.sym 18169 $3\int_tmr[14:0][14] .sym 18170 $false .sym 18171 $false -.sym 18174 $abc$25847$n762_1 -.sym 18175 $abc$25847$n770 -.sym 18176 $abc$25847$n939 -.sym 18177 $false -.sym 18180 $abc$25847$n751 -.sym 18181 $abc$25847$n1695 -.sym 18182 $false +.sym 18180 $abc$26600$n757 +.sym 18181 $abc$26600$n753 +.sym 18182 $abc$26600$n749 .sym 18183 $false -.sym 18186 $abc$25847$n823 -.sym 18187 $abc$25847$n776 -.sym 18188 $abc$25847$n762_1 -.sym 18189 $false -.sym 18197 I2C.i2c_state_machine -.sym 18199 UART.tx_activity -.sym 18267 $abc$25847$n941 -.sym 18268 $false -.sym 18269 $false -.sym 18270 $false -.sym 18279 $abc$25847$n805 -.sym 18280 $false -.sym 18281 $false +.sym 18193 $abc$26600$n1006 +.sym 18194 $abc$26600$n1018 +.sym 18195 $abc$26600$n983_1 +.sym 18196 $abc$26600$n1017_1 +.sym 18197 $abc$26600$n1137 +.sym 18198 $abc$26600$n1008 +.sym 18199 $abc$26600$n999_1 +.sym 18200 $abc$26600$n1011 +.sym 18273 UART.TX_sig_last +.sym 18274 UART_WR +.sym 18275 UART.tx_activity +.sym 18276 $false +.sym 18279 $abc$26600$n1003_1 +.sym 18280 $abc$26600$n1001 +.sym 18281 $abc$26600$n744 .sym 18282 $false -.sym 18285 $abc$25847$n939 -.sym 18286 $false -.sym 18287 $false -.sym 18288 $false -.sym 18291 $abc$25847$n823 -.sym 18292 $false -.sym 18293 $false -.sym 18294 $false -.sym 18303 $abc$25847$n817 -.sym 18304 $false +.sym 18303 $abc$26600$n1001 +.sym 18304 $abc$26600$n1003_1 .sym 18305 $false .sym 18306 $false -.sym 18309 $abc$25847$n808 -.sym 18310 $false -.sym 18311 $false -.sym 18312 $false -.sym 18313 $abc$25847$n384$2 -.sym 18314 CLK$2$2 -.sym 18315 $abc$25847$n21$2 -.sym 18316 KEYBOARD.isr_internal -.sym 18352 $true -.sym 18389 $abc$25847$n808$2 -.sym 18390 $false -.sym 18391 $abc$25847$n808 -.sym 18392 $false -.sym 18393 $false -.sym 18395 $auto$alumacc.cc:474:replace_alu$6709.C[4] -.sym 18397 $abc$25847$n939 -.sym 18398 $false -.sym 18401 $auto$alumacc.cc:474:replace_alu$6709.C[5] -.sym 18403 $abc$25847$n940 -.sym 18404 $true$2 -.sym 18407 $auto$alumacc.cc:474:replace_alu$6709.C[6] -.sym 18409 $abc$25847$n941 -.sym 18410 $true$2 -.sym 18413 $auto$alumacc.cc:474:replace_alu$6709.C[7] -.sym 18415 $abc$25847$n817 -.sym 18416 $true$2 -.sym 18419 $abc$25847$n1950$2 -.sym 18421 $abc$25847$n236 +.sym 18317 $abc$26600$n267 +.sym 18318 $abc$26600$n751 +.sym 18319 $abc$26600$n260 +.sym 18320 $abc$26600$n174 +.sym 18321 $abc$26600$n239 +.sym 18322 $abc$26600$n232 +.sym 18323 $abc$26600$n755 +.sym 18414 $abc$26600$n767 +.sym 18415 I2C.FLT_SCL.RESET$2 +.sym 18416 $false +.sym 18417 $false +.sym 18420 $abc$26600$n715 +.sym 18421 int_tmr[10] .sym 18422 $false -.sym 18429 $abc$25847$n1950$2 -.sym 18432 KEYBOARD.row_time[0] -.sym 18433 KEYBOARD.row_time[1] -.sym 18434 $false +.sym 18423 $false +.sym 18432 $abc$26600$n1358 +.sym 18433 int_tmr[10] +.sym 18434 $3\int_tmr[14:0][14] .sym 18435 $false -.sym 18441 KEYBOARD.is_pressed -.sym 18525 $abc$25847$n943 -.sym 18526 $false -.sym 18527 $false +.sym 18436 $abc$26600$n336$2 +.sym 18437 CLK$2$2 +.sym 18438 $abc$26600$n21$2 +.sym 18442 I2C.received_byte[4] +.sym 18525 $abc$26600$n771 +.sym 18526 $abc$26600$n769 +.sym 18527 $abc$26600$n767 .sym 18528 $false -.sym 18543 $abc$25847$n940 -.sym 18544 $false -.sym 18545 $false -.sym 18546 $false -.sym 18549 $abc$25847$n947 -.sym 18550 $false -.sym 18551 $false -.sym 18552 $false -.sym 18559 $abc$25847$n384$2 +.sym 18549 $abc$26600$n1011 +.sym 18550 $abc$26600$n1010 +.sym 18551 $abc$26600$n748_1 +.sym 18552 $abc$26600$n744 +.sym 18559 $true .sym 18560 CLK$2$2 -.sym 18561 $abc$25847$n21$2 -.sym 18661 KEYBOARD.RAM.r_data[0] -.sym 18663 KEYBOARD.RAM.r_data[1] -.sym 18665 KEYBOARD.RAM.r_data[2] -.sym 18667 KEYBOARD.RAM.r_data[3] -.sym 18754 $abc$25847$n871 -.sym 18755 KEYBOARD.IS_RAM_INIT$2 -.sym 18756 $abc$25847$n1092 -.sym 18757 KEYBOARD.last_adr[8] -.sym 18778 $abc$25847$n1614 -.sym 18779 KEYBOARD.IS_RAM_INIT$2 -.sym 18780 $abc$25847$n1092 -.sym 18781 KEYBOARD.last_adr[7] -.sym 18782 $abc$25847$n135$2 -.sym 18783 CLK$2$2 -.sym 18784 $abc$25847$n21$2 -.sym 18789 KEYBOARD.RAM.r_data[4] -.sym 18791 KEYBOARD.RAM.r_data[5] -.sym 18793 KEYBOARD.RAM.r_data[6] -.sym 18795 KEYBOARD.RAM.r_data[7] -.sym 18861 $true -.sym 18898 KEYBOARD.last_adr[0]$2 -.sym 18899 $false -.sym 18900 KEYBOARD.last_adr[0] -.sym 18901 $false -.sym 18902 $false -.sym 18904 $auto$alumacc.cc:474:replace_alu$6793.C[2] -.sym 18906 $false -.sym 18907 KEYBOARD.last_adr[1] -.sym 18910 $auto$alumacc.cc:474:replace_alu$6793.C[3] -.sym 18911 $false -.sym 18912 $false -.sym 18913 KEYBOARD.last_adr[2] -.sym 18914 $auto$alumacc.cc:474:replace_alu$6793.C[2] -.sym 18916 $auto$alumacc.cc:474:replace_alu$6793.C[4] -.sym 18917 $false -.sym 18918 $false -.sym 18919 KEYBOARD.last_adr[3] -.sym 18920 $auto$alumacc.cc:474:replace_alu$6793.C[3] -.sym 18922 $auto$alumacc.cc:474:replace_alu$6793.C[5] -.sym 18923 $false -.sym 18924 $false -.sym 18925 KEYBOARD.last_adr[4] -.sym 18926 $auto$alumacc.cc:474:replace_alu$6793.C[4] -.sym 18928 $auto$alumacc.cc:474:replace_alu$6793.C[6] -.sym 18929 $false -.sym 18930 $false -.sym 18931 KEYBOARD.last_adr[5] -.sym 18932 $auto$alumacc.cc:474:replace_alu$6793.C[5] -.sym 18934 $auto$alumacc.cc:474:replace_alu$6793.C[7] -.sym 18935 $false -.sym 18936 $false -.sym 18937 KEYBOARD.last_adr[6] -.sym 18938 $auto$alumacc.cc:474:replace_alu$6793.C[6] -.sym 18940 $auto$alumacc.cc:474:replace_alu$6793.C[8] -.sym 18941 $false -.sym 18942 $false -.sym 18943 KEYBOARD.last_adr[7] -.sym 18944 $auto$alumacc.cc:474:replace_alu$6793.C[7] -.sym 19022 $false -.sym 19023 $false -.sym 19024 KEYBOARD.last_adr[8] -.sym 19025 $auto$alumacc.cc:474:replace_alu$6793.C[8] -.sym 19028 $abc$25847$n863 -.sym 19029 $abc$25847$n865 -.sym 19030 $abc$25847$n871 -.sym 19031 $abc$25847$n1614 -.sym 19034 KEYBOARD.RAM.r_data[6] -.sym 19035 KEYBOARD.RAM.r_data[7] -.sym 19036 $abc$25847$n815 -.sym 19037 $abc$25847$n814 -.sym 19046 KEYBOARD.last_adr[1] -.sym 19047 KEYBOARD.row_counter[1] -.sym 19048 $abc$25847$n898 -.sym 19049 $false -.sym 19052 $abc$25847$n751 -.sym 19053 KEYBOARD.row_counter[0] -.sym 19054 KEYBOARD.row_counter[1] -.sym 19055 $false -.sym 19058 $false -.sym 19059 $false -.sym 19060 KEYBOARD.row_counter[0] +.sym 18561 $abc$26600$n21$2 +.sym 18698 $true +.sym 18735 KEYBOARD.report_adress_rd[0]$2 +.sym 18736 $false +.sym 18737 KEYBOARD.report_adress_rd[0] +.sym 18738 $false +.sym 18739 $false +.sym 18741 $auto$alumacc.cc:474:replace_alu$7407.C[2] +.sym 18743 $false +.sym 18744 KEYBOARD.report_adress_rd[1] +.sym 18747 $auto$alumacc.cc:474:replace_alu$7407.C[3] +.sym 18748 $false +.sym 18749 $false +.sym 18750 KEYBOARD.report_adress_rd[2] +.sym 18751 $auto$alumacc.cc:474:replace_alu$7407.C[2] +.sym 18754 $false +.sym 18755 $false +.sym 18756 KEYBOARD.report_adress_rd[3] +.sym 18757 $auto$alumacc.cc:474:replace_alu$7407.C[3] +.sym 18760 $abc$26600$n969 +.sym 18761 $abc$26600$n972 +.sym 18762 $abc$26600$n973 +.sym 18763 KEYBOARD.IS_RAM_INIT$2 +.sym 18766 $abc$26600$n1169_1 +.sym 18767 $abc$26600$n1177 +.sym 18768 $false +.sym 18769 $false +.sym 18772 $false +.sym 18773 $false +.sym 18774 KEYBOARD.report_adress_rd[0] +.sym 18775 $false +.sym 18778 KEYBOARD.report_adress_rd[0] +.sym 18779 KEYBOARD.report_adress_rd[1] +.sym 18780 $false +.sym 18781 $false +.sym 18899 $abc$26600$n1165_1 +.sym 18900 $abc$26600$n809 +.sym 18901 $abc$26600$n969 +.sym 18902 KEYBOARD.IS_RAM_INIT$2 +.sym 18929 $abc$26600$n1166 +.sym 18930 $abc$26600$n1168 +.sym 18931 $abc$26600$n1169_1 +.sym 18932 KEYBOARD.IS_RAM_INIT$2 +.sym 18935 $abc$26600$n1173 +.sym 18936 $abc$26600$n809 +.sym 18937 $abc$26600$n973 +.sym 18938 KEYBOARD.IS_RAM_INIT$2 +.sym 18941 $abc$26600$n809 +.sym 18942 $abc$26600$n1171_1 +.sym 18943 $abc$26600$n972 +.sym 18944 KEYBOARD.IS_RAM_INIT$2 +.sym 18945 $abc$26600$n595 +.sym 18946 CLK$2$2 +.sym 18947 $abc$26600$n21$2 +.sym 18948 KEYBOARD.REPORT.r_data[0] +.sym 18950 KEYBOARD.REPORT.r_data[1] +.sym 18952 KEYBOARD.REPORT.r_data[2] +.sym 18954 KEYBOARD.REPORT.r_data[3] +.sym 19022 wr_cnt[2] +.sym 19023 KEYBOARD.isr_internal +.sym 19024 $abc$26600$n972 +.sym 19025 $abc$26600$n1166 +.sym 19028 I2C.received_byte[4] +.sym 19029 $false +.sym 19030 $false +.sym 19031 $false +.sym 19040 KEYBOARD.report_adress_rd[2] +.sym 19041 KEYBOARD.report_adress_rd[0] +.sym 19042 KEYBOARD.report_adress_rd[1] +.sym 19043 $false +.sym 19052 KEYBOARD.isr_internal +.sym 19053 wr_cnt[3] +.sym 19054 $abc$26600$n1166 +.sym 19055 $abc$26600$n973 +.sym 19058 KEYBOARD.report_adress_rd[3] +.sym 19059 $abc$26600$n829 +.sym 19060 KEYBOARD.isr_internal .sym 19061 $false -.sym 19064 KEYBOARD.row_counter[0] -.sym 19065 $abc$25847$n1708 -.sym 19066 $abc$25847$n751 -.sym 19067 $false -.sym 19145 $abc$25847$n708_1 -.sym 19146 int_tmr[1] -.sym 19147 $false -.sym 19148 $false -.sym 19151 $abc$25847$n708_1 -.sym 19152 int_tmr[2] -.sym 19153 $false -.sym 19154 $false -.sym 19157 $abc$25847$n708_1 -.sym 19158 int_tmr[0] -.sym 19159 $false -.sym 19160 $false -.sym 19163 $abc$25847$n708_1 -.sym 19164 int_tmr[6] -.sym 19165 $false -.sym 19166 $false -.sym 19169 $abc$25847$n1268 -.sym 19170 int_tmr[6] -.sym 19171 $3\int_tmr[14:0][14] -.sym 19172 $false -.sym 19175 $abc$25847$n1249 -.sym 19176 int_tmr[0] -.sym 19177 $3\int_tmr[14:0][14] -.sym 19178 $false -.sym 19181 $abc$25847$n1262 -.sym 19182 int_tmr[4] -.sym 19183 $3\int_tmr[14:0][14] +.sym 19064 KEYBOARD.isr_internal +.sym 19065 wr_cnt[0] +.sym 19066 $abc$26600$n1166 +.sym 19067 $abc$26600$n969 +.sym 19071 KEYBOARD.REPORT.r_data[4] +.sym 19073 KEYBOARD.REPORT.r_data[5] +.sym 19075 KEYBOARD.REPORT.r_data[6] +.sym 19077 KEYBOARD.REPORT.r_data[7] +.sym 19145 KEYBOARD.is_pressed +.sym 19146 KEYBOARD.kbd_code_hid[1] +.sym 19147 $abc$26600$n855 +.sym 19148 $abc$26600$n827 +.sym 19151 KEYBOARD.is_pressed +.sym 19152 KEYBOARD.REPORT.r_data[5] +.sym 19153 $abc$26600$n842 +.sym 19154 KEYBOARD.kbd_code_hid[2] +.sym 19157 $abc$26600$n1337_1 +.sym 19158 $abc$26600$n1338_1 +.sym 19159 $abc$26600$n1304 +.sym 19160 $abc$26600$n1334_1 +.sym 19163 KEYBOARD.REPORT.r_data[6] +.sym 19164 $abc$26600$n845_1 +.sym 19165 $abc$26600$n1298 +.sym 19166 $abc$26600$n847_1 +.sym 19169 KEYBOARD.REPORT.r_data[7] +.sym 19170 $abc$26600$n850_1 +.sym 19171 KEYBOARD.REPORT.r_data[5] +.sym 19172 $abc$26600$n842 +.sym 19175 KEYBOARD.REPORT.r_data[7] +.sym 19176 $abc$26600$n867 +.sym 19177 KEYBOARD.REPORT.r_data[5] +.sym 19178 $abc$26600$n865_1 +.sym 19181 KEYBOARD.kbd_code_hid[1] +.sym 19182 KEYBOARD.kbd_code_hid[0] +.sym 19183 $false .sym 19184 $false -.sym 19187 int_tmr[14] -.sym 19188 int_tmr[0] -.sym 19189 $abc$25847$n708_1 -.sym 19190 int_tmr[1] -.sym 19191 $abc$25847$n135$2 -.sym 19192 CLK$2$2 -.sym 19193 $abc$25847$n21$2 -.sym 19268 $abc$25847$n708_1 -.sym 19269 int_tmr[14] -.sym 19270 $false -.sym 19271 $false -.sym 19274 $abc$25847$n708_1 -.sym 19275 int_tmr[13] -.sym 19276 $false -.sym 19277 $false -.sym 19280 $abc$25847$n708_1 -.sym 19281 int_tmr[9] +.sym 19187 KEYBOARD.is_pressed +.sym 19188 KEYBOARD.REPORT.r_data[7] +.sym 19189 $abc$26600$n850_1 +.sym 19190 KEYBOARD.kbd_code_hid[2] +.sym 19194 KEYBOARD.kbd_code_hid[0] +.sym 19195 KEYBOARD.kbd_code_hid[1] +.sym 19196 KEYBOARD.kbd_code_hid[2] +.sym 19197 KEYBOARD.kbd_code_hid[3] +.sym 19198 KEYBOARD.kbd_code_hid[4] +.sym 19199 KEYBOARD.kbd_code_hid[5] +.sym 19200 KEYBOARD.kbd_code_hid[6] +.sym 19201 KEYBOARD.kbd_code_hid[7] +.sym 19268 KEYBOARD.kbd_code_hid[3] +.sym 19269 KEYBOARD.kbd_code_hid[4] +.sym 19270 KEYBOARD.kbd_code_hid[5] +.sym 19271 KEYBOARD.kbd_code_hid[7] +.sym 19274 KEYBOARD.kbd_code_hid[3] +.sym 19275 KEYBOARD.is_pressed +.sym 19276 $abc$26600$n827 +.sym 19277 $abc$26600$n868_1 +.sym 19280 KEYBOARD.kbd_code_hid[0] +.sym 19281 KEYBOARD.kbd_code_hid[1] .sym 19282 $false .sym 19283 $false -.sym 19286 $abc$25847$n708_1 -.sym 19287 int_tmr[3] -.sym 19288 $false -.sym 19289 $false -.sym 19292 $abc$25847$n1289 -.sym 19293 int_tmr[13] -.sym 19294 $3\int_tmr[14:0][14] -.sym 19295 $false -.sym 19298 $3\int_tmr[14:0][14] -.sym 19299 $abc$25847$n1292 -.sym 19300 $false -.sym 19301 $false -.sym 19304 $abc$25847$n1259 -.sym 19305 int_tmr[3] -.sym 19306 $3\int_tmr[14:0][14] -.sym 19307 $false -.sym 19314 $abc$25847$n135$2 -.sym 19315 CLK$2$2 -.sym 19316 $abc$25847$n21$2 -.sym 19391 KEYBOARD.row_counter[2] -.sym 19392 $abc$25847$n1712 -.sym 19393 $abc$25847$n751 +.sym 19286 KEYBOARD.REPORT.r_data[3] +.sym 19287 KEYBOARD.REPORT.r_data[4] +.sym 19288 KEYBOARD.is_pressed +.sym 19289 KEYBOARD.kbd_code_hid[2] +.sym 19292 KEYBOARD.is_pressed +.sym 19293 KEYBOARD.REPORT.r_data[3] +.sym 19294 KEYBOARD.kbd_code_hid[2] +.sym 19295 $abc$26600$n850_1 +.sym 19298 KEYBOARD.REPORT.r_data[3] +.sym 19299 $abc$26600$n868_1 +.sym 19300 KEYBOARD.REPORT.r_data[2] +.sym 19301 $abc$26600$n861_1 +.sym 19304 $abc$26600$n850_1 +.sym 19305 $abc$26600$n814 +.sym 19306 KEYBOARD.kbd_code_hid[2] +.sym 19307 $abc$26600$n1303_1 +.sym 19310 KEYBOARD.kbd_code_hid[0] +.sym 19311 KEYBOARD.kbd_code_hid[1] +.sym 19312 $false +.sym 19313 $false +.sym 19353 $true +.sym 19390 wr_cnt[0]$2 +.sym 19391 $false +.sym 19392 wr_cnt[0] +.sym 19393 $false .sym 19394 $false -.sym 19397 $abc$25847$n713 -.sym 19398 $abc$25847$n712_1 -.sym 19399 $abc$25847$n715 -.sym 19400 $abc$25847$n714 -.sym 19403 $abc$25847$n685 -.sym 19404 I2C_OUTPUT_TYPE[2] -.sym 19405 $false -.sym 19406 $false -.sym 19409 $abc$25847$n715 -.sym 19410 $abc$25847$n716_1 -.sym 19411 $2\I2C_OUTPUT_TYPE[2:0][0] -.sym 19412 $abc$25847$n718 -.sym 19415 $2\I2C_OUTPUT_TYPE[2:0][0] -.sym 19416 $false -.sym 19417 $false +.sym 19396 $auto$alumacc.cc:474:replace_alu$7365.C[2] +.sym 19398 $false +.sym 19399 wr_cnt[1] +.sym 19402 $auto$alumacc.cc:474:replace_alu$7365.C[3] +.sym 19403 $false +.sym 19404 $false +.sym 19405 wr_cnt[2] +.sym 19406 $auto$alumacc.cc:474:replace_alu$7365.C[2] +.sym 19409 $false +.sym 19410 $false +.sym 19411 wr_cnt[3] +.sym 19412 $auto$alumacc.cc:474:replace_alu$7365.C[3] +.sym 19415 wr_cnt[1] +.sym 19416 KEYBOARD.isr_internal +.sym 19417 $abc$26600$n809 .sym 19418 $false -.sym 19421 $abc$25847$n715 -.sym 19422 $abc$25847$n716_1 -.sym 19423 $abc$25847$n718 +.sym 19421 KEYBOARD.kbd_code_hid[5] +.sym 19422 KEYBOARD.kbd_code_hid[6] +.sym 19423 KEYBOARD.kbd_code_hid[7] .sym 19424 $false -.sym 19433 $2\I2C_OUTPUT_TYPE[2:0][1] -.sym 19434 $false -.sym 19435 $false +.sym 19427 KEYBOARD.kbd_code_hid[3] +.sym 19428 KEYBOARD.kbd_code_hid[4] +.sym 19429 $abc$26600$n828 +.sym 19430 $false +.sym 19433 report_wr_en +.sym 19434 $abc$26600$n779 +.sym 19435 IS_RAM_INIT .sym 19436 $false -.sym 19437 $abc$25847$n135$2 +.sym 19437 $true .sym 19438 CLK$2$2 -.sym 19439 $abc$25847$n21$2 -.sym 19514 $abc$25847$n708_1 -.sym 19515 LED1$2 -.sym 19516 $false +.sym 19439 $abc$26600$n21$2 +.sym 19440 REPORT_DATA.rdata[0] +.sym 19442 REPORT_DATA.rdata[1] +.sym 19444 REPORT_DATA.rdata[2] +.sym 19446 REPORT_DATA.rdata[3] +.sym 19514 $false +.sym 19515 $false +.sym 19516 wr_cnt[0] .sym 19517 $false -.sym 19520 KEYBOARD.row_counter[0] -.sym 19521 KEYBOARD.row_counter[1] -.sym 19522 $abc$25847$n1708 -.sym 19523 $abc$25847$n1712 -.sym 19526 $abc$25847$n687 -.sym 19527 I2C_TRANS -.sym 19528 $3\int_tmr[14:0][14] -.sym 19529 $2\I2C_OUTPUT_TYPE[2:0][1] -.sym 19532 LED1$2 -.sym 19533 $false -.sym 19534 $false -.sym 19535 $false -.sym 19538 $abc$25847$n710 -.sym 19539 $abc$25847$n593 -.sym 19540 $abc$25847$n719_1 -.sym 19541 I2C.FLT_SCL.RESET$2 -.sym 19560 $abc$25847$n135$2 +.sym 19520 $abc$26600$n917 +.sym 19521 $abc$26600$n918 +.sym 19522 $false +.sym 19523 $false +.sym 19526 $abc$26600$n1000 +.sym 19527 $abc$26600$n1003 +.sym 19528 $abc$26600$n1004 +.sym 19529 $false +.sym 19532 KEYBOARD.REPORT.r_data[4] +.sym 19533 KEYBOARD.REPORT.r_data[5] +.sym 19534 KEYBOARD.REPORT.r_data[6] +.sym 19535 KEYBOARD.REPORT.r_data[7] +.sym 19538 KEYBOARD.REPORT.r_data[0] +.sym 19539 KEYBOARD.REPORT.r_data[1] +.sym 19540 KEYBOARD.REPORT.r_data[2] +.sym 19541 KEYBOARD.REPORT.r_data[3] +.sym 19544 IS_RAM_INIT +.sym 19545 $abc$26600$n778 +.sym 19546 $abc$26600$n1097 +.sym 19547 $abc$26600$n1003 +.sym 19550 IS_RAM_INIT +.sym 19551 $abc$26600$n778 +.sym 19552 $abc$26600$n1000 +.sym 19553 $abc$26600$n1097 +.sym 19556 IS_RAM_INIT +.sym 19557 $abc$26600$n778 +.sym 19558 $abc$26600$n1097 +.sym 19559 $abc$26600$n1004 +.sym 19560 $abc$26600$n330 .sym 19561 CLK$2$2 -.sym 19562 $false -.sym 19637 I2C.FLT_SCL.out -.sym 19638 $false -.sym 19639 $false -.sym 19640 $false -.sym 19649 I2C.i2c_state_machine -.sym 19650 $abc$25847$n968_1 -.sym 19651 $abc$25847$n1264_1 -.sym 19652 $false -.sym 19661 $abc$25847$n26 -.sym 19662 $abc$25847$n982 -.sym 19663 $abc$25847$n978 -.sym 19664 $abc$25847$n1914 -.sym 19667 $abc$25847$n982 -.sym 19668 $abc$25847$n45 -.sym 19669 $abc$25847$n978 -.sym 19670 $abc$25847$n1056 -.sym 19673 $abc$25847$n958_1 -.sym 19674 $abc$25847$n1242 -.sym 19675 $abc$25847$n907 -.sym 19676 $abc$25847$n962 -.sym 19679 $abc$25847$n906 -.sym 19680 $abc$25847$n973 -.sym 19681 $abc$25847$n907 -.sym 19682 $abc$25847$n965 -.sym 19683 I2C.FLT_SCL.RESET$2 +.sym 19562 $abc$26600$n21$2 +.sym 19563 REPORT_DATA.rdata[4] +.sym 19565 REPORT_DATA.rdata[5] +.sym 19567 REPORT_DATA.rdata[6] +.sym 19569 REPORT_DATA.rdata[7] +.sym 19637 KEYBOARD.isr +.sym 19638 last_isr +.sym 19639 $abc$26600$n350 +.sym 19640 $abc$26600$n775 +.sym 19643 KEYBOARD.isr +.sym 19644 last_isr +.sym 19645 $abc$26600$n778 +.sym 19646 $abc$26600$n350 +.sym 19649 wr_cnt[0] +.sym 19650 wr_cnt[1] +.sym 19651 wr_cnt[2] +.sym 19652 wr_cnt[3] +.sym 19655 wr_cnt[2] +.sym 19656 wr_cnt[1] +.sym 19657 wr_cnt[0] +.sym 19658 wr_cnt[3] +.sym 19661 IS_RAM_INIT +.sym 19662 KEYBOARD.isr +.sym 19663 last_isr +.sym 19664 $false +.sym 19679 $abc$26600$n1097 +.sym 19680 wr_cnt[0] +.sym 19681 wr_cnt[1] +.sym 19682 $false +.sym 19683 $abc$26600$n330 .sym 19684 CLK$2$2 -.sym 19685 $false -.sym 19760 $abc$25847$n1053 -.sym 19761 I2C.is_ack -.sym 19762 $false +.sym 19685 $abc$26600$n21$2 +.sym 19686 I2C_HID_DESC.VAL[0] +.sym 19687 I2C_HID_DESC.VAL[1] +.sym 19688 I2C_HID_DESC.VAL[2] +.sym 19689 I2C_HID_DESC.VAL[3] +.sym 19690 I2C_HID_DESC.VAL[4] +.sym 19691 I2C_HID_DESC.VAL[5] +.sym 19692 I2C_HID_DESC.VAL[6] +.sym 19693 I2C_HID_DESC.VAL[7] +.sym 19760 $abc$26600$n694 +.sym 19761 ring_rd[0] +.sym 19762 $abc$26600$n703 .sym 19763 $false -.sym 19766 $abc$25847$n964 -.sym 19767 $abc$25847$n962 -.sym 19768 $abc$25847$n968_1 -.sym 19769 $abc$25847$n963 -.sym 19772 $abc$25847$n965 -.sym 19773 $abc$25847$n966 -.sym 19774 $false -.sym 19775 $false -.sym 19778 $abc$25847$n1053 -.sym 19779 I2C.i2c_bit_counter[0] +.sym 19766 $2\ring_wr[3:0][3] +.sym 19767 ring_rd[3] +.sym 19768 $abc$26600$n696 +.sym 19769 $abc$26600$n703 +.sym 19772 $2\ring_wr[3:0][2] +.sym 19773 ring_rd[2] +.sym 19774 ring_rd[0] +.sym 19775 $2\ring_wr[3:0][0] +.sym 19778 $2\ring_wr[3:0][1] +.sym 19779 ring_rd[1] .sym 19780 $false .sym 19781 $false -.sym 19784 I2C.i2c_bit_counter[3] -.sym 19785 $abc$25847$n1053 +.sym 19784 $2\ring_rd[3:0][3] +.sym 19785 $2\ring_wr[3:0][3] .sym 19786 $false .sym 19787 $false -.sym 19790 $abc$25847$n1263_1 -.sym 19791 $abc$25847$n1239_1 -.sym 19792 $abc$25847$n906 -.sym 19793 $abc$25847$n907 -.sym 19796 I2C.is_adress -.sym 19797 $abc$25847$n1053 +.sym 19790 $2\ring_wr[3:0][2] +.sym 19791 $false +.sym 19792 $false +.sym 19793 $false +.sym 19796 $2\ring_wr[3:0][0] +.sym 19797 $false .sym 19798 $false .sym 19799 $false -.sym 19802 $abc$25847$n962 -.sym 19803 I2C.FLT_SCL.out -.sym 19804 $abc$25847$n10 -.sym 19805 $abc$25847$n416 -.sym 19883 $abc$25847$n416 -.sym 19884 $abc$25847$n958_1 -.sym 19885 $abc$25847$n906 +.sym 19802 $2\ring_wr[3:0][3] +.sym 19803 $false +.sym 19804 $false +.sym 19805 $false +.sym 19806 $abc$26600$n336$2 +.sym 19807 CLK$2$2 +.sym 19808 $abc$26600$n21$2 +.sym 19883 REPORT_DATA.rdata[3] +.sym 19884 I2C_HID_DESC.VAL[3] +.sym 19885 I2C_OUT_DESC_MASK[3] .sym 19886 $false -.sym 19889 $abc$25847$n914_1 -.sym 19890 $abc$25847$n912 -.sym 19891 $abc$25847$n904 +.sym 19889 $abc$26600$n1137 +.sym 19890 $false +.sym 19891 $false .sym 19892 $false -.sym 19895 $abc$25847$n965 -.sym 19896 $abc$25847$n906 -.sym 19897 $abc$25847$n973 -.sym 19898 $abc$25847$n907 -.sym 19901 $abc$25847$n962 -.sym 19902 $abc$25847$n416 -.sym 19903 $abc$25847$n958_1 -.sym 19904 $abc$25847$n968_1 -.sym 19907 $abc$25847$n965 -.sym 19908 $abc$25847$n907 -.sym 19909 I2C.received_byte[0] +.sym 19895 REPORT_DATA.rdata[6] +.sym 19896 I2C_HID_DESC.VAL[6] +.sym 19897 I2C_OUT_DESC_MASK[6] +.sym 19898 $false +.sym 19901 $abc$26600$n783 +.sym 19902 $abc$26600$n336$2 +.sym 19903 $false +.sym 19904 $false +.sym 19907 REPORT_DATA.rdata[1] +.sym 19908 I2C_HID_DESC.VAL[1] +.sym 19909 I2C_OUT_DESC_MASK[1] .sym 19910 $false -.sym 19913 I2C.i2c_bit_counter[0] -.sym 19914 I2C.i2c_bit_counter[1] -.sym 19915 $abc$25847$n1053 +.sym 19913 REPORT_DATA.rdata[5] +.sym 19914 I2C_HID_DESC.VAL[5] +.sym 19915 I2C_OUT_DESC_MASK[5] .sym 19916 $false -.sym 19919 $abc$25847$n958_1 -.sym 19920 $abc$25847$n416 -.sym 19921 $false +.sym 19919 REPORT_DATA.rdata[4] +.sym 19920 I2C_HID_DESC.VAL[4] +.sym 19921 I2C_OUT_DESC_MASK[4] .sym 19922 $false -.sym 19925 I2C.SDAF +.sym 19925 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 19926 $false .sym 19927 $false .sym 19928 $false -.sym 19929 $abc$25847$n501 +.sym 19929 $abc$26600$n358 .sym 19930 CLK$2$2 -.sym 19931 $abc$25847$n21$2 -.sym 20006 $abc$25847$n973 -.sym 20007 $abc$25847$n982 -.sym 20008 $abc$25847$n979 -.sym 20009 $abc$25847$n980 -.sym 20012 $abc$25847$n973 -.sym 20013 $abc$25847$n974 -.sym 20014 $false -.sym 20015 $false -.sym 20018 $abc$25847$n962 -.sym 20019 $abc$25847$n963 -.sym 20020 $abc$25847$n906 -.sym 20021 $abc$25847$n907 -.sym 20024 I2C.FLT_SDA.out -.sym 20025 $abc$25847$n8 -.sym 20026 I2C.FLT_SCL.out -.sym 20027 I2C.i2c_state_machine -.sym 20030 $false -.sym 20031 $abc$25847$n1062 -.sym 20032 $false -.sym 20033 $auto$alumacc.cc:474:replace_alu$6769.C[3] -.sym 20036 I2C.FLT_SCL.out -.sym 20037 $abc$25847$n8 -.sym 20038 I2C.SDA_DIR -.sym 20039 I2C.FLT_SDA.out -.sym 20042 $abc$25847$n962 -.sym 20043 $abc$25847$n963 -.sym 20044 $false +.sym 19931 $abc$26600$n21$2 +.sym 20006 $abc$26600$n988 +.sym 20007 $abc$26600$n987 +.sym 20008 $abc$26600$n176 +.sym 20009 $abc$26600$n759 +.sym 20012 $abc$26600$n991 +.sym 20013 $abc$26600$n990_1 +.sym 20014 $abc$26600$n176 +.sym 20015 $abc$26600$n755 +.sym 20018 $abc$26600$n1139 +.sym 20019 $false +.sym 20020 $false +.sym 20021 $false +.sym 20024 UART_TX_DATA[2] +.sym 20025 UART_TX_DATA[0] +.sym 20026 $abc$26600$n586 +.sym 20027 $false +.sym 20030 I2C.received_byte[2] +.sym 20031 $abc$26600$n995_1 +.sym 20032 I2C.is_read +.sym 20033 $false +.sym 20036 I2C.received_byte[0] +.sym 20037 $abc$26600$n994 +.sym 20038 I2C.is_read +.sym 20039 $false +.sym 20042 I2C.received_byte[1] +.sym 20043 $abc$26600$n990_1 +.sym 20044 I2C.is_read .sym 20045 $false -.sym 20048 I2C.FLT_SCL.out -.sym 20049 I2C.FLT_SDA.out -.sym 20050 $abc$25847$n8 -.sym 20051 I2C.SDA_DIR -.sym 20129 I2C.FLT_SDA.out -.sym 20130 $abc$25847$n8 -.sym 20131 I2C.FLT_SCL.out -.sym 20132 $false -.sym 20135 $abc$25847$n972_1 -.sym 20136 $abc$25847$n979 +.sym 20048 I2C.received_byte[7] +.sym 20049 $abc$26600$n988 +.sym 20050 I2C.is_read +.sym 20051 $false +.sym 20052 $abc$26600$n351 +.sym 20053 CLK$2$2 +.sym 20054 $abc$26600$n342$2 +.sym 20129 $abc$26600$n995_1 +.sym 20130 $abc$26600$n994 +.sym 20131 $abc$26600$n174 +.sym 20132 $abc$26600$n752 +.sym 20135 $abc$26600$n752 +.sym 20136 $abc$26600$n174 .sym 20137 $false .sym 20138 $false -.sym 20141 $abc$25847$n961 -.sym 20142 $abc$25847$n964 -.sym 20143 $false -.sym 20144 $false -.sym 20147 I2C.FLT_SCL.out -.sym 20148 I2C.FLT_SDA.out -.sym 20149 $abc$25847$n8 -.sym 20150 I2C.wr -.sym 20159 $abc$25847$n1053 -.sym 20160 I2C.is_read -.sym 20161 $false -.sym 20162 $false -.sym 20165 UART.TX_sig_last -.sym 20166 UART_WR -.sym 20167 UART.tx_activity +.sym 20141 $abc$26600$n998 +.sym 20142 $abc$26600$n997 +.sym 20143 $abc$26600$n174 +.sym 20144 $abc$26600$n752 +.sym 20147 LED1$2 +.sym 20148 $false +.sym 20149 $false +.sym 20150 $false +.sym 20153 $abc$26600$n986_1 +.sym 20154 $abc$26600$n989_1 +.sym 20155 $abc$26600$n220 +.sym 20156 $abc$26600$n992_1 +.sym 20159 $abc$26600$n996_1 +.sym 20160 $abc$26600$n993 +.sym 20161 $abc$26600$n176 +.sym 20162 $abc$26600$n985 +.sym 20165 $abc$26600$n759 +.sym 20166 $abc$26600$n761 +.sym 20167 $abc$26600$n749 .sym 20168 $false -.sym 20171 $abc$25847$n1053 -.sym 20172 I2C.i2c_bit_counter[2] +.sym 20171 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 20172 $false .sym 20173 $false .sym 20174 $false -.sym 20252 $abc$25847$n724_1 -.sym 20253 I2C.FLT_SCL.RESET$2 -.sym 20254 $false +.sym 20175 $abc$26600$n358 +.sym 20176 CLK$2$2 +.sym 20177 $abc$26600$n21$2 +.sym 20252 $abc$26600$n743_1 +.sym 20253 $abc$26600$n750 +.sym 20254 I2C.FLT_SCL.RESET$2 .sym 20255 $false -.sym 20258 $abc$25847$n1712 -.sym 20259 KEYBOARD.row_counter[0] -.sym 20260 KEYBOARD.row_counter[1] -.sym 20261 $abc$25847$n1708 -.sym 20270 $abc$25847$n1708 -.sym 20271 KEYBOARD.row_counter[0] -.sym 20272 KEYBOARD.row_counter[1] -.sym 20273 $abc$25847$n1712 -.sym 20399 $abc$25847$n972_1 -.sym 20400 $abc$25847$n971_1 -.sym 20401 $abc$25847$n906 -.sym 20402 $abc$25847$n907 -.sym 20411 $abc$25847$n728 -.sym 20412 $abc$25847$n726_1 -.sym 20413 $abc$25847$n724_1 -.sym 20414 $false -.sym 20421 $true -.sym 20422 CLK$2$2 -.sym 20423 $abc$25847$n21$2 -.sym 20498 $abc$25847$n1142 -.sym 20499 $abc$25847$n774 -.sym 20500 $false -.sym 20501 $false -.sym 20544 $abc$25847$n410 -.sym 20545 CLK$2$2 -.sym 20546 $abc$25847$n21$2 -.sym 20633 $abc$25847$n1755 -.sym 20634 $false -.sym 20635 $false -.sym 20636 $false -.sym 20667 $abc$25847$n387 +.sym 20258 $abc$26600$n752 +.sym 20259 $abc$26600$n174 +.sym 20260 $false +.sym 20261 $false +.sym 20264 I2C.FLT_SCL.out +.sym 20265 $abc$26600$n10 +.sym 20266 $false +.sym 20267 $false +.sym 20270 $abc$26600$n1002 +.sym 20271 $abc$26600$n747 +.sym 20272 $abc$26600$n220 +.sym 20273 $false +.sym 20276 $abc$26600$n748_1 +.sym 20277 I2C.FLT_SCL.out +.sym 20278 $abc$26600$n10 +.sym 20279 $abc$26600$n743_1 +.sym 20282 I2C.FLT_SCL.out +.sym 20283 $abc$26600$n10 +.sym 20284 $false +.sym 20285 $false +.sym 20288 $abc$26600$n748_1 +.sym 20289 $abc$26600$n747 +.sym 20290 $false +.sym 20291 $false +.sym 20294 $abc$26600$n220 +.sym 20295 $abc$26600$n744 +.sym 20296 $false +.sym 20297 $false +.sym 20375 $abc$26600$n220 +.sym 20376 $abc$26600$n992_1 +.sym 20377 $abc$26600$n1007 +.sym 20378 $false +.sym 20381 $abc$26600$n1002 +.sym 20382 $abc$26600$n992_1 +.sym 20383 $abc$26600$n220 +.sym 20384 $false +.sym 20387 $abc$26600$n984_1 +.sym 20388 $abc$26600$n1008 +.sym 20389 $abc$26600$n748_1 +.sym 20390 $abc$26600$n999_1 +.sym 20393 $abc$26600$n748_1 +.sym 20394 $abc$26600$n1018 +.sym 20395 $abc$26600$n744 +.sym 20396 $false +.sym 20399 $abc$26600$n1134 +.sym 20400 I2C.i2c_bit_counter[0] +.sym 20401 $false +.sym 20402 $false +.sym 20405 $abc$26600$n1002 +.sym 20406 $abc$26600$n220 +.sym 20407 $abc$26600$n992_1 +.sym 20408 $abc$26600$n1007 +.sym 20411 $abc$26600$n1006 +.sym 20412 $abc$26600$n748_1 +.sym 20413 $abc$26600$n744 +.sym 20414 $abc$26600$n1000_1 +.sym 20417 I2C.SDA_DIR +.sym 20418 I2C.FLT_SDA.out +.sym 20419 $abc$26600$n1012 +.sym 20420 $false +.sym 20504 $abc$26600$n755 +.sym 20505 $abc$26600$n761 +.sym 20506 $abc$26600$n749 +.sym 20507 $false +.sym 20510 $abc$26600$n174 +.sym 20511 $abc$26600$n752 +.sym 20512 $false +.sym 20513 $false +.sym 20516 $abc$26600$n751 +.sym 20517 $abc$26600$n761 +.sym 20518 $abc$26600$n749 +.sym 20519 $false +.sym 20522 $false +.sym 20523 $abc$26600$n1137 +.sym 20524 $false +.sym 20525 $false +.sym 20528 $abc$26600$n755 +.sym 20529 $abc$26600$n753 +.sym 20530 $abc$26600$n749 +.sym 20531 $false +.sym 20534 $abc$26600$n751 +.sym 20535 $abc$26600$n753 +.sym 20536 $abc$26600$n749 +.sym 20537 $false +.sym 20540 $abc$26600$n752 +.sym 20541 $abc$26600$n174 +.sym 20542 $false +.sym 20543 $false +.sym 20639 I2C.FLT_SDA.out +.sym 20640 $false +.sym 20641 $false +.sym 20642 $false +.sym 20667 $abc$26600$n260 .sym 20668 CLK$2$2 .sym 20669 $false .sym 20715 $false .sym 20717 KEYBOARD.ROWS_EN[0] .sym 20718 $false .sym 20720 KEYBOARD.ROWS_EN[1] -.sym 20773 KEYBOARD.COLUMN_SHADOW[5] -.sym 20775 KEYBOARD.COLUMN_SHADOW[6] -.sym 20776 KEYBOARD.COLUMN_SHADOW[4] -.sym 20777 KEYBOARD.COLUMN_SHADOW[7] -.sym 20846 $abc$25847$n883_1 -.sym 20847 $abc$25847$n1227 -.sym 20848 $abc$25847$n884 -.sym 20850 $abc$25847$n818 -.sym 20851 $abc$25847$n880_1 -.sym 20852 $abc$25847$n885 -.sym 20854 $undef -.sym 20855 $undef -.sym 20856 $undef -.sym 20857 $undef -.sym 20858 $undef -.sym 20859 $undef -.sym 20860 $undef -.sym 20861 $undef -.sym 20862 KEYBOARD.last_adr[0] -.sym 20863 KEYBOARD.last_adr[1] -.sym 20864 $false -.sym 20865 KEYBOARD.last_adr[2] -.sym 20866 KEYBOARD.last_adr[3] -.sym 20867 KEYBOARD.last_adr[4] -.sym 20868 KEYBOARD.last_adr[5] -.sym 20869 KEYBOARD.last_adr[6] -.sym 20870 KEYBOARD.last_adr[7] -.sym 20871 KEYBOARD.last_adr[8] -.sym 20872 $false -.sym 20873 CLK$2$2 -.sym 20874 KEYBOARD.last_wr -.sym 20875 KEYBOARD.COLUMN_SHADOW[0] -.sym 20876 $undef -.sym 20877 KEYBOARD.COLUMN_SHADOW[1] -.sym 20878 $undef -.sym 20879 KEYBOARD.COLUMN_SHADOW[2] -.sym 20880 $undef -.sym 20881 KEYBOARD.COLUMN_SHADOW[3] -.sym 20882 $undef -.sym 20883 $true$2 -.sym 20984 $abc$25847$n1249 -.sym 20985 $true$2 -.sym 20986 $abc$25847$n896_1 -.sym 20987 $abc$25847$n881_1 -.sym 20988 $abc$25847$n1252_1 -.sym 20992 $undef -.sym 20993 $undef -.sym 20994 $undef -.sym 20995 $undef -.sym 20996 $undef -.sym 20997 $undef -.sym 20998 $undef -.sym 20999 $undef -.sym 21000 KEYBOARD.last_adr[0] -.sym 21001 KEYBOARD.last_adr[1] -.sym 21002 $false -.sym 21003 KEYBOARD.last_adr[2] -.sym 21004 KEYBOARD.last_adr[3] -.sym 21005 KEYBOARD.last_adr[4] -.sym 21006 KEYBOARD.last_adr[5] -.sym 21007 KEYBOARD.last_adr[6] -.sym 21008 KEYBOARD.last_adr[7] -.sym 21009 KEYBOARD.last_adr[8] -.sym 21010 $false -.sym 21011 CLK$2$2 -.sym 21012 $true -.sym 21013 $true$2 -.sym 21014 KEYBOARD.COLUMN_SHADOW[5] -.sym 21015 $undef -.sym 21016 KEYBOARD.COLUMN_SHADOW[6] -.sym 21017 $undef -.sym 21018 KEYBOARD.COLUMN_SHADOW[7] -.sym 21019 $undef -.sym 21020 KEYBOARD.COLUMN_SHADOW[4] -.sym 21021 $undef -.sym 21088 $abc$25847$n1256 -.sym 21089 $abc$25847$n1259 -.sym 21090 $abc$25847$n1262 -.sym 21091 $abc$25847$n1265 -.sym 21092 $abc$25847$n1268 -.sym 21093 $abc$25847$n1271 -.sym 21188 $abc$25847$n1274 -.sym 21189 $abc$25847$n1277 -.sym 21190 $abc$25847$n1280 -.sym 21191 $abc$25847$n1283 -.sym 21192 $abc$25847$n1286 -.sym 21193 $abc$25847$n1289 -.sym 21194 $abc$25847$n1292 -.sym 21195 int_tmr[9] -.sym 21290 $3\int_tmr[14:0][10] -.sym 21291 $3\int_tmr[14:0][12] -.sym 21292 $3\int_tmr[14:0][8] -.sym 21293 $3\int_tmr[14:0][11] -.sym 21294 int_tmr[12] -.sym 21295 int_tmr[8] -.sym 21296 int_tmr[10] -.sym 21297 int_tmr[11] -.sym 21392 $abc$25847$n2023 -.sym 21393 $abc$25847$n714 -.sym 21398 $abc$25847$n1089 -.sym 21399 $abc$25847$n19 -.sym 21498 $abc$25847$n416 -.sym 21500 $abc$25847$n1913 -.sym 21501 I2C.SCLF -.sym 21597 $abc$25847$n1242 -.sym 21598 $0\temp_output_report[2:0][1] -.sym 21601 $abc$25847$n1893 -.sym 21603 temp_output_report[1] -.sym 21700 $abc$25847$n892 -.sym 21701 $abc$25847$n914_1 -.sym 21702 $abc$25847$n476 -.sym 21704 $abc$25847$n1062 -.sym 21705 $abc$25847$n918 -.sym 21802 $auto$alumacc.cc:474:replace_alu$6769.C[2] -.sym 21803 $auto$alumacc.cc:474:replace_alu$6769.C[3] -.sym 21804 $abc$25847$n1892 -.sym 21805 $abc$25847$n1058 -.sym 21806 $abc$25847$n52 -.sym 21807 LED3$2 -.sym 21903 $abc$25847$n8 -.sym 21904 UART.TX_sig_last -.sym 21905 I2C.wr -.sym 21906 I2C.i2c_bit_counter[1] -.sym 21907 I2C.i2c_bit_counter[2] -.sym 21909 I2C.is_read -.sym 22009 KEYBOARD.isr -.sym 22107 KEYBOARD.ROWS_EN[5] -.sym 22108 KEYBOARD.ROWS_EN[4] -.sym 22109 KEYBOARD.ROWS_EN[0] -.sym 22110 KEYBOARD.ROWS_EN[1] -.sym 22113 KEYBOARD.ROWS_EN[2] +.sym 20772 KEYBOARD.report_data_wr[3] +.sym 20777 KEYBOARD.report_data_wr[1] +.sym 20853 $abc$26600$n2049 +.sym 20991 $abc$26600$n2057 +.sym 21086 $abc$26600$n856 +.sym 21087 $abc$26600$n854 +.sym 21088 $abc$26600$n853_1 +.sym 21089 $abc$26600$n813 +.sym 21090 $abc$26600$n845_1 +.sym 21091 $abc$26600$n861_1 +.sym 21092 $abc$26600$n865 +.sym 21093 $abc$26600$n858_1 +.sym 21094 $undef +.sym 21095 $undef +.sym 21096 $undef +.sym 21097 $undef +.sym 21098 $undef +.sym 21099 $undef +.sym 21100 $undef +.sym 21101 $undef +.sym 21102 KEYBOARD.report_adress_rd[0] +.sym 21103 KEYBOARD.report_adress_rd[1] +.sym 21104 $false +.sym 21105 KEYBOARD.report_adress_rd[2] +.sym 21106 KEYBOARD.report_adress_rd[3] +.sym 21107 $false +.sym 21108 $false +.sym 21109 $false +.sym 21110 $false +.sym 21111 $false +.sym 21112 $false +.sym 21113 CLK$2$2 +.sym 21114 KEYBOARD.report_wr_en +.sym 21115 KEYBOARD.report_data_wr[0] +.sym 21116 $undef +.sym 21117 KEYBOARD.report_data_wr[1] +.sym 21118 $undef +.sym 21119 KEYBOARD.report_data_wr[2] +.sym 21120 $undef +.sym 21121 KEYBOARD.report_data_wr[3] +.sym 21122 $undef +.sym 21123 $true$2 +.sym 21188 $abc$26600$n834 +.sym 21189 $abc$26600$n831 +.sym 21190 $abc$26600$n832_1 +.sym 21192 $abc$26600$n871 +.sym 21193 $abc$26600$n2120 +.sym 21194 $abc$26600$n830 +.sym 21195 $abc$26600$n833 +.sym 21196 $undef +.sym 21197 $undef +.sym 21198 $undef +.sym 21199 $undef +.sym 21200 $undef +.sym 21201 $undef +.sym 21202 $undef +.sym 21203 $undef +.sym 21204 KEYBOARD.report_adress_rd[0] +.sym 21205 KEYBOARD.report_adress_rd[1] +.sym 21206 $false +.sym 21207 KEYBOARD.report_adress_rd[2] +.sym 21208 KEYBOARD.report_adress_rd[3] +.sym 21209 $false +.sym 21210 $false +.sym 21211 $false +.sym 21212 $false +.sym 21213 $false +.sym 21214 $false +.sym 21215 CLK$2$2 +.sym 21216 $true +.sym 21217 $true$2 +.sym 21218 KEYBOARD.report_data_wr[5] +.sym 21219 $undef +.sym 21220 KEYBOARD.report_data_wr[6] +.sym 21221 $undef +.sym 21222 KEYBOARD.report_data_wr[7] +.sym 21223 $undef +.sym 21224 KEYBOARD.report_data_wr[4] +.sym 21225 $undef +.sym 21290 $abc$26600$n2121 +.sym 21293 $abc$26600$n874 +.sym 21294 $abc$26600$n779 +.sym 21295 $abc$26600$n332 +.sym 21297 report_wr_en +.sym 21306 $false +.sym 21307 $false +.sym 21308 $false +.sym 21309 $false +.sym 21310 $false +.sym 21311 $false +.sym 21312 $false +.sym 21313 $false +.sym 21314 $false +.sym 21315 $false +.sym 21316 $false +.sym 21317 $false +.sym 21318 $false$2 +.sym 21319 $false +.sym 21320 $false +.sym 21321 $false +.sym 21322 $false +.sym 21323 $false +.sym 21324 $false +.sym 21325 $false +.sym 21326 $false +.sym 21327 $false +.sym 21394 $abc$26600$n1502 +.sym 21395 $abc$26600$n1505 +.sym 21396 $abc$26600$n872 +.sym 21397 $2\ring_rd[3:0][3] +.sym 21399 ring_rd[1] +.sym 21408 KEYBOARD.row_time[8] +.sym 21409 KEYBOARD.row_time[9] +.sym 21410 $false +.sym 21411 KEYBOARD.row_time[10] +.sym 21412 KEYBOARD.row_counter[0] +.sym 21413 KEYBOARD.row_counter[1] +.sym 21414 KEYBOARD.row_counter[2] +.sym 21415 KEYBOARD.row_counter[3] +.sym 21416 $false +.sym 21417 $false +.sym 21418 $false +.sym 21419 CLK$2$2 +.sym 21420 $true +.sym 21421 $true$2 +.sym 21422 $false +.sym 21423 $false +.sym 21424 $false +.sym 21425 $false +.sym 21426 $false +.sym 21427 $false +.sym 21428 $false +.sym 21429 $false +.sym 21494 $abc$26600$n698_1 +.sym 21495 $false$2 +.sym 21496 $2\ring_rd[3:0][2] +.sym 21497 I2C_HID_DESC.CLK +.sym 21498 $abc$26600$n701 +.sym 21499 ring_rd[2] +.sym 21500 last_isr +.sym 21501 ring_rd[3] +.sym 21502 $undef +.sym 21503 $undef +.sym 21504 $undef +.sym 21505 $undef +.sym 21506 $undef +.sym 21507 $undef +.sym 21508 $undef +.sym 21509 $undef +.sym 21510 wr_cnt[0] +.sym 21511 wr_cnt[1] +.sym 21512 $false +.sym 21513 wr_cnt[2] +.sym 21514 wr_cnt[3] +.sym 21515 ring_wr[0] +.sym 21516 ring_wr[1] +.sym 21517 ring_wr[2] +.sym 21518 ring_wr[3] +.sym 21519 $false +.sym 21520 $false +.sym 21521 I2C_HID_DESC.CLK +.sym 21522 report_wr_en +.sym 21523 KEYBOARD.REPORT.r_data[0] +.sym 21524 $undef +.sym 21525 KEYBOARD.REPORT.r_data[1] +.sym 21526 $undef +.sym 21527 KEYBOARD.REPORT.r_data[2] +.sym 21528 $undef +.sym 21529 KEYBOARD.REPORT.r_data[3] +.sym 21530 $undef +.sym 21531 $true$2 +.sym 21596 $2\ring_wr[3:0][1] +.sym 21597 $abc$26600$n699 +.sym 21598 $abc$26600$n700 +.sym 21599 $abc$26600$n708 +.sym 21600 $abc$26600$n1609 +.sym 21601 $2\ring_wr[3:0][3] +.sym 21602 $2\ring_wr[3:0][2] +.sym 21603 $2\ring_wr[3:0][0] +.sym 21604 $undef +.sym 21605 $undef +.sym 21606 $undef +.sym 21607 $undef +.sym 21608 $undef +.sym 21609 $undef +.sym 21610 $undef +.sym 21611 $undef +.sym 21612 I2C_COUNTER[0] +.sym 21613 I2C_COUNTER[1] +.sym 21614 $false +.sym 21615 I2C_COUNTER[2] +.sym 21616 I2C_COUNTER[3] +.sym 21617 ring_rd[0] +.sym 21618 ring_rd[1] +.sym 21619 ring_rd[2] +.sym 21620 ring_rd[3] +.sym 21621 $false +.sym 21622 $false +.sym 21623 I2C_HID_DESC.CLK +.sym 21624 $true +.sym 21625 $true$2 +.sym 21626 KEYBOARD.REPORT.r_data[5] +.sym 21627 $undef +.sym 21628 KEYBOARD.REPORT.r_data[6] +.sym 21629 $undef +.sym 21630 KEYBOARD.REPORT.r_data[7] +.sym 21631 $undef +.sym 21632 KEYBOARD.REPORT.r_data[4] +.sym 21633 $undef +.sym 21698 $abc$26600$n995_1 +.sym 21699 $abc$26600$n988 +.sym 21700 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 21701 I2C_OUT_DESC_MASK[1] +.sym 21702 I2C_OUT_DESC_MASK[5] +.sym 21703 I2C_OUT_DESC_MASK[7] +.sym 21704 I2C_OUT_DESC_MASK[2] +.sym 21705 I2C_OUT_DESC_MASK[4] +.sym 21714 $false +.sym 21715 $false +.sym 21716 $false +.sym 21717 $false +.sym 21718 $false +.sym 21719 $false +.sym 21720 $false +.sym 21721 $false +.sym 21722 $false +.sym 21723 $false +.sym 21724 $false +.sym 21725 $false +.sym 21726 $false$2 +.sym 21727 $false +.sym 21728 $false +.sym 21729 $false +.sym 21730 $false +.sym 21731 $false +.sym 21732 $false +.sym 21733 $false +.sym 21734 $false +.sym 21735 $false +.sym 21801 $0\temp_output_report[2:0][1] +.sym 21802 $abc$26600$n994 +.sym 21805 $abc$26600$n783 +.sym 21806 temp_output_report[1] +.sym 21816 I2C_COUNTER[0] +.sym 21817 I2C_COUNTER[1] +.sym 21818 $false +.sym 21819 I2C_COUNTER[2] +.sym 21820 I2C_COUNTER[3] +.sym 21821 I2C_COUNTER[4] +.sym 21822 I2C_COUNTER[5] +.sym 21823 I2C_COUNTER[6] +.sym 21824 I2C_HID_DESC.DESC_TYPE +.sym 21825 $false +.sym 21826 $false +.sym 21827 I2C_HID_DESC.CLK +.sym 21828 $true +.sym 21829 $true$2 +.sym 21830 $false +.sym 21831 $false +.sym 21832 $false +.sym 21833 $false +.sym 21834 $false +.sym 21835 $false +.sym 21836 $false +.sym 21837 $false +.sym 21905 $abc$26600$n752 +.sym 21906 $abc$26600$n253 +.sym 21907 $abc$26600$n1004_1 +.sym 21908 $abc$26600$n1139 +.sym 21909 I2C_OUT_DESC_MASK[0] +.sym 22004 $abc$26600$n1007 +.sym 22005 $abc$26600$n1014_1 +.sym 22006 $abc$26600$n1029 +.sym 22007 $abc$26600$n1030 +.sym 22009 $abc$26600$n744 +.sym 22010 $abc$26600$n1027 +.sym 22011 I2C.FLT_SDA.out +.sym 22106 I2C.wr +.sym 22108 I2C.SDA_DIR +.sym 22109 I2C.is_ack +.sym 22110 I2C.is_read +.sym 22111 UART.TX_sig_last +.sym 22112 I2C.i2c_bit_counter[0] +.sym 22212 I2C.received_byte[1] +.sym 22311 KEYBOARD.ROWS_EN[2] +.sym 22316 KEYBOARD.ROWS_EN[4] +.sym 22317 KEYBOARD.ROWS_EN[1] .sym 22487 $false .sym 22489 KEYBOARD.ROWS_EN[2] .sym 22490 $false .sym 22492 KEYBOARD.ROWS_EN[3] -.sym 22635 KEYBOARD.IS_RAM_INIT$2 -.sym 22636 KBD_COLUMNS[5]$2 -.sym 22637 $false -.sym 22638 $false -.sym 22647 KEYBOARD.IS_RAM_INIT$2 -.sym 22648 KBD_COLUMNS[6]$2 -.sym 22649 $false -.sym 22650 $false -.sym 22653 KEYBOARD.IS_RAM_INIT$2 -.sym 22654 KBD_COLUMNS[4]$2 -.sym 22655 $false -.sym 22656 $false +.sym 22542 $true$2 +.sym 22629 $abc$26600$n1179 +.sym 22630 KEYBOARD.IS_RAM_INIT$2 +.sym 22631 $abc$26600$n1176 +.sym 22632 $false .sym 22659 KEYBOARD.IS_RAM_INIT$2 -.sym 22660 KBD_COLUMNS[7]$2 -.sym 22661 $false +.sym 22660 $abc$26600$n1175 +.sym 22661 $abc$26600$n1176 .sym 22662 $false -.sym 22663 $abc$25847$n392 +.sym 22663 $abc$26600$n519 .sym 22664 CLK$2$2 -.sym 22665 $abc$25847$n21$2 -.sym 22670 KEYBOARD.last_wr -.sym 22780 KEYBOARD.RAM.r_data[2] -.sym 22781 KEYBOARD.RAM.r_data[3] -.sym 22782 $abc$25847$n814 -.sym 22783 $abc$25847$n815 -.sym 22786 KEYBOARD.COLUMN_SHADOW[6] -.sym 22787 KEYBOARD.COLUMN_SHADOW[7] -.sym 22788 $abc$25847$n814 -.sym 22789 $abc$25847$n815 -.sym 22792 KEYBOARD.RAM.r_data[0] -.sym 22793 KEYBOARD.RAM.r_data[1] -.sym 22794 $abc$25847$n814 -.sym 22795 $abc$25847$n815 -.sym 22804 KEYBOARD.COLUMN_SHADOW[4] -.sym 22805 KEYBOARD.COLUMN_SHADOW[5] -.sym 22806 $abc$25847$n815 -.sym 22807 $abc$25847$n814 -.sym 22810 $abc$25847$n819 -.sym 22811 $abc$25847$n884 -.sym 22812 $abc$25847$n885 -.sym 22813 $abc$25847$n881_1 -.sym 22816 KEYBOARD.RAM.r_data[4] -.sym 22817 KEYBOARD.RAM.r_data[5] -.sym 22818 $abc$25847$n815 -.sym 22819 $abc$25847$n814 -.sym 22829 I2C.received_byte[5] +.sym 22665 $false +.sym 22670 KEYBOARD.ROWS_EN[12] +.sym 22672 KEYBOARD.ROWS_EN[13] +.sym 22676 KEYBOARD.ROWS_EN[15] +.sym 22677 KEYBOARD.ROWS_EN[14] +.sym 22742 $true +.sym 22779 $abc$26600$n874$2 +.sym 22780 $false +.sym 22781 $abc$26600$n874 +.sym 22782 $false +.sym 22783 $false +.sym 22785 $auto$alumacc.cc:474:replace_alu$7310.C[3] +.sym 22787 $true$2 +.sym 22788 $abc$26600$n872 +.sym 22791 $auto$alumacc.cc:474:replace_alu$7310.C[4] +.sym 22793 $false +.sym 22794 $abc$26600$n871 +.sym 22797 $auto$alumacc.cc:474:replace_alu$7310.C[5] +.sym 22799 $false +.sym 22800 $abc$26600$n869 +.sym 22803 $auto$alumacc.cc:474:replace_alu$7310.C[6] +.sym 22805 $false +.sym 22806 $abc$26600$n868 +.sym 22809 $auto$alumacc.cc:474:replace_alu$7310.C[7] +.sym 22811 $false +.sym 22812 $abc$26600$n866 +.sym 22815 $abc$26600$n2049$2 +.sym 22817 $false +.sym 22818 $abc$26600$n865 +.sym 22825 $abc$26600$n2049$2 +.sym 22829 $abc$26600$n2124 +.sym 22830 $abc$26600$n2125 +.sym 22831 $abc$26600$n19 +.sym 22832 $abc$26600$n2123 +.sym 22836 I2C.SCLF +.sym 22865 $true +.sym 22902 $abc$26600$n874$3 .sym 22903 $false -.sym 22904 $false -.sym 22905 $3\int_tmr[14:0][0] +.sym 22904 $abc$26600$n874 +.sym 22905 $false .sym 22906 $false -.sym 22909 $false +.sym 22908 $auto$alumacc.cc:474:replace_alu$7352.C[3] .sym 22910 $false -.sym 22911 $false -.sym 22912 $false -.sym 22915 $abc$25847$n751 -.sym 22916 KEYBOARD.IS_RAM_INIT$2 -.sym 22917 I2C.FLT_SCL.RESET$2 -.sym 22918 $false -.sym 22921 $abc$25847$n882 -.sym 22922 $abc$25847$n883_1 -.sym 22923 $abc$25847$n819 -.sym 22924 $abc$25847$n877_1 -.sym 22927 $abc$25847$n898 -.sym 22928 KEYBOARD.last_wr -.sym 22929 $abc$25847$n1251_1 -.sym 22930 $abc$25847$n759 -.sym 22952 $3\int_tmr[14:0][5] -.sym 22954 $3\int_tmr[14:0][7] -.sym 22955 $3\int_tmr[14:0][4] -.sym 22956 int_tmr[7] -.sym 22958 int_tmr[5] -.sym 22959 int_tmr[2] -.sym 22988 $true -.sym 23025 $3\int_tmr[14:0][0]$2 -.sym 23026 $false -.sym 23027 $3\int_tmr[14:0][0] -.sym 23028 $false -.sym 23029 $false -.sym 23031 $auto$alumacc.cc:474:replace_alu$6757.C[2] -.sym 23033 $false -.sym 23034 $3\int_tmr[14:0][1] -.sym 23037 $auto$alumacc.cc:474:replace_alu$6757.C[3] -.sym 23038 $false -.sym 23039 $false -.sym 23040 $3\int_tmr[14:0][2] -.sym 23041 $auto$alumacc.cc:474:replace_alu$6757.C[2] -.sym 23043 $auto$alumacc.cc:474:replace_alu$6757.C[4] -.sym 23044 $false -.sym 23045 $false -.sym 23046 $3\int_tmr[14:0][3] -.sym 23047 $auto$alumacc.cc:474:replace_alu$6757.C[3] -.sym 23049 $auto$alumacc.cc:474:replace_alu$6757.C[5] -.sym 23050 $false -.sym 23051 $false -.sym 23052 $3\int_tmr[14:0][4] -.sym 23053 $auto$alumacc.cc:474:replace_alu$6757.C[4] -.sym 23055 $auto$alumacc.cc:474:replace_alu$6757.C[6] -.sym 23056 $false -.sym 23057 $false -.sym 23058 $3\int_tmr[14:0][5] -.sym 23059 $auto$alumacc.cc:474:replace_alu$6757.C[5] -.sym 23061 $auto$alumacc.cc:474:replace_alu$6757.C[7] -.sym 23062 $false +.sym 22911 $abc$26600$n872 +.sym 22914 $auto$alumacc.cc:474:replace_alu$7352.C[4] +.sym 22916 $false +.sym 22917 $abc$26600$n871 +.sym 22920 $auto$alumacc.cc:474:replace_alu$7352.C[5] +.sym 22922 $false +.sym 22923 $abc$26600$n869 +.sym 22926 $auto$alumacc.cc:474:replace_alu$7352.C[6] +.sym 22928 $false +.sym 22929 $abc$26600$n868 +.sym 22932 $auto$alumacc.cc:474:replace_alu$7352.C[7] +.sym 22934 $false +.sym 22935 $abc$26600$n866 +.sym 22938 $abc$26600$n2057$2 +.sym 22940 $false +.sym 22941 $abc$26600$n865 +.sym 22948 $abc$26600$n2057$2 +.sym 22952 KEYBOARD.report_data_wr[6] +.sym 22954 KEYBOARD.report_data_wr[7] +.sym 22955 KEYBOARD.report_data_wr[2] +.sym 22956 KEYBOARD.report_data_wr[0] +.sym 22957 KEYBOARD.report_data_wr[5] +.sym 22958 KEYBOARD.report_data_wr[4] +.sym 23026 KEYBOARD.is_pressed +.sym 23027 KEYBOARD.REPORT.r_data[6] +.sym 23028 $abc$26600$n845_1 +.sym 23029 KEYBOARD.kbd_code_hid[2] +.sym 23032 $abc$26600$n856 +.sym 23033 KEYBOARD.REPORT.r_data[6] +.sym 23034 KEYBOARD.REPORT.r_data[1] +.sym 23035 $abc$26600$n855 +.sym 23038 KEYBOARD.REPORT.r_data[0] +.sym 23039 KEYBOARD.is_pressed +.sym 23040 $abc$26600$n813 +.sym 23041 $false +.sym 23044 KEYBOARD.kbd_code_hid[2] +.sym 23045 $abc$26600$n814 +.sym 23046 $false +.sym 23047 $false +.sym 23050 KEYBOARD.kbd_code_hid[0] +.sym 23051 KEYBOARD.kbd_code_hid[1] +.sym 23052 $false +.sym 23053 $false +.sym 23056 KEYBOARD.is_pressed +.sym 23057 KEYBOARD.REPORT.r_data[2] +.sym 23058 KEYBOARD.kbd_code_hid[2] +.sym 23059 $abc$26600$n845_1 +.sym 23062 I2C.received_byte[7] .sym 23063 $false -.sym 23064 $3\int_tmr[14:0][6] -.sym 23065 $auto$alumacc.cc:474:replace_alu$6757.C[6] -.sym 23067 $auto$alumacc.cc:474:replace_alu$6757.C[8] -.sym 23068 $false -.sym 23069 $false -.sym 23070 $3\int_tmr[14:0][7] -.sym 23071 $auto$alumacc.cc:474:replace_alu$6757.C[7] -.sym 23075 KEYBOARD.ROWS_EN[15] -.sym 23076 KEYBOARD.ROWS_EN[8] -.sym 23080 KEYBOARD.ROWS_EN[14] -.sym 23111 $auto$alumacc.cc:474:replace_alu$6757.C[8] -.sym 23148 $auto$alumacc.cc:474:replace_alu$6757.C[9] -.sym 23149 $false -.sym 23150 $false -.sym 23151 $3\int_tmr[14:0][8] -.sym 23152 $auto$alumacc.cc:474:replace_alu$6757.C[8] -.sym 23154 $auto$alumacc.cc:474:replace_alu$6757.C[10] -.sym 23155 $false -.sym 23156 $false -.sym 23157 $3\int_tmr[14:0][9] -.sym 23158 $auto$alumacc.cc:474:replace_alu$6757.C[9] -.sym 23160 $auto$alumacc.cc:474:replace_alu$6757.C[11] -.sym 23161 $false -.sym 23162 $false -.sym 23163 $3\int_tmr[14:0][10] -.sym 23164 $auto$alumacc.cc:474:replace_alu$6757.C[10] -.sym 23166 $auto$alumacc.cc:474:replace_alu$6757.C[12] -.sym 23167 $false -.sym 23168 $false -.sym 23169 $3\int_tmr[14:0][11] -.sym 23170 $auto$alumacc.cc:474:replace_alu$6757.C[11] -.sym 23172 $auto$alumacc.cc:474:replace_alu$6757.C[13] -.sym 23173 $false +.sym 23064 $false +.sym 23065 $false +.sym 23068 KEYBOARD.is_pressed +.sym 23069 KEYBOARD.REPORT.r_data[4] +.sym 23070 $abc$26600$n814 +.sym 23071 KEYBOARD.kbd_code_hid[2] +.sym 23075 KEYBOARD.ROWS_EN[10] +.sym 23076 KEYBOARD.ROWS_EN[11] +.sym 23078 KEYBOARD.ROWS_EN[8] +.sym 23080 KEYBOARD.ROWS_EN[9] +.sym 23149 KEYBOARD.kbd_code_hid[0] +.sym 23150 KEYBOARD.REPORT.r_data[0] +.sym 23151 KEYBOARD.kbd_code_hid[7] +.sym 23152 KEYBOARD.REPORT.r_data[7] +.sym 23155 KEYBOARD.kbd_code_hid[3] +.sym 23156 KEYBOARD.REPORT.r_data[3] +.sym 23157 KEYBOARD.kbd_code_hid[4] +.sym 23158 KEYBOARD.REPORT.r_data[4] +.sym 23161 KEYBOARD.kbd_code_hid[5] +.sym 23162 KEYBOARD.REPORT.r_data[5] +.sym 23163 KEYBOARD.kbd_code_hid[6] +.sym 23164 KEYBOARD.REPORT.r_data[6] +.sym 23173 I2C.received_byte[3] .sym 23174 $false -.sym 23175 $3\int_tmr[14:0][12] -.sym 23176 $auto$alumacc.cc:474:replace_alu$6757.C[12] -.sym 23178 $auto$alumacc.cc:474:replace_alu$6757.C[14] -.sym 23179 $false -.sym 23180 $false -.sym 23181 $3\int_tmr[14:0][13] -.sym 23182 $auto$alumacc.cc:474:replace_alu$6757.C[13] -.sym 23185 $false -.sym 23186 $false -.sym 23187 $3\int_tmr[14:0][14] -.sym 23188 $auto$alumacc.cc:474:replace_alu$6757.C[14] -.sym 23191 $abc$25847$n1277 -.sym 23192 int_tmr[9] -.sym 23193 $3\int_tmr[14:0][14] -.sym 23194 $false -.sym 23195 $abc$25847$n135$2 -.sym 23196 CLK$2$2 -.sym 23197 $abc$25847$n21$2 -.sym 23200 KEYBOARD.ROWS_EN[12] -.sym 23201 KEYBOARD.ROWS_EN[9] -.sym 23202 KEYBOARD.ROWS_EN[10] -.sym 23203 KEYBOARD.ROWS_EN[13] -.sym 23204 KEYBOARD.ROWS_EN[11] -.sym 23272 $abc$25847$n708_1 -.sym 23273 int_tmr[10] -.sym 23274 $false -.sym 23275 $false -.sym 23278 $abc$25847$n708_1 -.sym 23279 int_tmr[12] -.sym 23280 $false -.sym 23281 $false -.sym 23284 $abc$25847$n708_1 -.sym 23285 int_tmr[8] -.sym 23286 $false -.sym 23287 $false -.sym 23290 $abc$25847$n708_1 -.sym 23291 int_tmr[11] +.sym 23175 $false +.sym 23176 $false +.sym 23179 $abc$26600$n1763 +.sym 23180 KEYBOARD.row_counter[0] +.sym 23181 KEYBOARD.row_counter[1] +.sym 23182 $abc$26600$n1759 +.sym 23185 $abc$26600$n831 +.sym 23186 $abc$26600$n832_1 +.sym 23187 $abc$26600$n833 +.sym 23188 $abc$26600$n834 +.sym 23191 KEYBOARD.kbd_code_hid[1] +.sym 23192 KEYBOARD.REPORT.r_data[1] +.sym 23193 KEYBOARD.kbd_code_hid[2] +.sym 23194 KEYBOARD.REPORT.r_data[2] +.sym 23199 I2C.received_byte[7] +.sym 23272 $abc$26600$n1759 +.sym 23273 $abc$26600$n1763 +.sym 23274 KEYBOARD.row_counter[0] +.sym 23275 KEYBOARD.row_counter[1] +.sym 23290 I2C.received_byte[1] +.sym 23291 $false .sym 23292 $false .sym 23293 $false -.sym 23296 $abc$25847$n1286 -.sym 23297 int_tmr[12] -.sym 23298 $3\int_tmr[14:0][14] +.sym 23296 wr_cnt[0] +.sym 23297 wr_cnt[1] +.sym 23298 $abc$26600$n780 .sym 23299 $false -.sym 23302 $abc$25847$n1274 -.sym 23303 int_tmr[8] -.sym 23304 $3\int_tmr[14:0][14] +.sym 23302 $abc$26600$n336$2 +.sym 23303 $abc$26600$n779 +.sym 23304 $abc$26600$n777 .sym 23305 $false -.sym 23308 $abc$25847$n1280 -.sym 23309 int_tmr[10] -.sym 23310 $3\int_tmr[14:0][14] -.sym 23311 $false -.sym 23314 $abc$25847$n1283 -.sym 23315 int_tmr[11] -.sym 23316 $3\int_tmr[14:0][14] -.sym 23317 $false -.sym 23318 $abc$25847$n135$2 +.sym 23314 report_wr_en +.sym 23315 $abc$26600$n1097 +.sym 23316 $abc$26600$n779 +.sym 23317 IS_RAM_INIT +.sym 23318 $abc$26600$n332 .sym 23319 CLK$2$2 -.sym 23320 $abc$25847$n21$2 -.sym 23325 I2C.i2c_start_latency -.sym 23395 KEYBOARD.row_counter[0] -.sym 23396 KEYBOARD.row_counter[1] -.sym 23397 $abc$25847$n1708 -.sym 23398 $abc$25847$n1712 -.sym 23401 $abc$25847$n685 -.sym 23402 I2C_HID_DESC.DESC_TYPE -.sym 23403 $false -.sym 23404 $false -.sym 23431 KEYBOARD.row_counter[3] -.sym 23432 $abc$25847$n18 -.sym 23433 $abc$25847$n751 -.sym 23434 $false -.sym 23437 $abc$25847$n18 -.sym 23438 $false -.sym 23439 $false +.sym 23320 $abc$26600$n21$2 +.sym 23323 I2C.received_byte[3] +.sym 23357 $true +.sym 23394 ring_rd[0]$2 +.sym 23395 $false +.sym 23396 ring_rd[0] +.sym 23397 $false +.sym 23398 $false +.sym 23400 $auto$alumacc.cc:474:replace_alu$7368.C[2] +.sym 23402 $false +.sym 23403 ring_rd[1] +.sym 23406 $auto$alumacc.cc:474:replace_alu$7368.C[3] +.sym 23407 $false +.sym 23408 $false +.sym 23409 ring_rd[2] +.sym 23410 $auto$alumacc.cc:474:replace_alu$7368.C[2] +.sym 23413 $false +.sym 23414 $false +.sym 23415 ring_rd[3] +.sym 23416 $auto$alumacc.cc:474:replace_alu$7368.C[3] +.sym 23419 I2C.received_byte[2] +.sym 23420 $false +.sym 23421 $false +.sym 23422 $false +.sym 23425 ring_rd[3] +.sym 23426 $abc$26600$n1505 +.sym 23427 $abc$26600$n694 +.sym 23428 $false +.sym 23437 $abc$26600$n694 +.sym 23438 ring_rd[0] +.sym 23439 ring_rd[1] .sym 23440 $false -.sym 23444 $abc$25847$n101 -.sym 23447 $abc$25847$n384 -.sym 23448 I2C_TRANS -.sym 23451 $abc$25847$n2018 -.sym 23480 $true -.sym 23517 $abc$25847$n1912$2 -.sym 23518 $false -.sym 23519 $abc$25847$n1912 -.sym 23520 $false -.sym 23521 $false -.sym 23523 $auto$alumacc.cc:474:replace_alu$6719.C[2] +.sym 23441 $abc$26600$n336$2 +.sym 23442 CLK$2$2 +.sym 23443 $abc$26600$n21$2 +.sym 23444 $abc$26600$n2122 +.sym 23446 $abc$26600$n2126 +.sym 23447 $abc$26600$n2119 +.sym 23448 $abc$26600$n336 +.sym 23449 I2C.SDAF +.sym 23518 $abc$26600$n701 +.sym 23519 $abc$26600$n699 +.sym 23520 KEYBOARD.isr +.sym 23521 last_isr +.sym 23524 $false .sym 23525 $false -.sym 23526 $abc$25847$n1893 -.sym 23529 $auto$alumacc.cc:474:replace_alu$6719.C[3] -.sym 23531 $false -.sym 23532 $abc$25847$n1913 -.sym 23535 $abc$25847$n416$2 +.sym 23526 $false +.sym 23527 $false +.sym 23530 ring_rd[2] +.sym 23531 $abc$26600$n1502 +.sym 23532 $abc$26600$n694 +.sym 23533 $false +.sym 23536 CLK$2$2 .sym 23537 $false -.sym 23538 $abc$25847$n1914 -.sym 23545 $abc$25847$n416$2 -.sym 23554 $abc$25847$n1060 +.sym 23538 $false +.sym 23539 $false +.sym 23542 ring_rd[1] +.sym 23543 ring_wr[0] +.sym 23544 ring_wr[1] +.sym 23545 $false +.sym 23548 $2\ring_rd[3:0][2] +.sym 23549 $false +.sym 23550 $false +.sym 23551 $false +.sym 23554 KEYBOARD.isr .sym 23555 $false .sym 23556 $false .sym 23557 $false -.sym 23560 SCL$2 +.sym 23560 $2\ring_rd[3:0][3] .sym 23561 $false .sym 23562 $false .sym 23563 $false -.sym 23564 $true +.sym 23564 $abc$26600$n336$2 .sym 23565 CLK$2$2 -.sym 23566 $false -.sym 23567 $abc$25847$n2021 -.sym 23568 $abc$25847$n141 -.sym 23569 $abc$25847$n926 -.sym 23574 I2C.SDAF -.sym 23647 $abc$25847$n964 -.sym 23648 $abc$25847$n906 -.sym 23649 $abc$25847$n962 -.sym 23650 $abc$25847$n963 -.sym 23653 temp_output_report[1] -.sym 23654 I2C.received_byte[1] -.sym 23655 $abc$25847$n1246 -.sym 23656 $false -.sym 23671 $abc$25847$n1058 -.sym 23672 $false -.sym 23673 $false +.sym 23566 $abc$26600$n21$2 +.sym 23569 $abc$26600$n1615 +.sym 23570 $abc$26600$n1618 +.sym 23571 $abc$26600$n668 +.sym 23574 ring_wr[1] +.sym 23641 $abc$26600$n698_1 +.sym 23642 ring_wr[0] +.sym 23643 ring_wr[1] +.sym 23644 $false +.sym 23647 $abc$26600$n668 +.sym 23648 ring_rd[2] +.sym 23649 $abc$26600$n1615 +.sym 23650 $abc$26600$n700 +.sym 23653 ring_rd[0] +.sym 23654 $abc$26600$n1609 +.sym 23655 ring_rd[3] +.sym 23656 $abc$26600$n1618 +.sym 23659 $2\ring_rd[3:0][2] +.sym 23660 $2\ring_wr[3:0][2] +.sym 23661 $abc$26600$n710 +.sym 23662 $false +.sym 23665 $false +.sym 23666 $false +.sym 23667 ring_wr[0] +.sym 23668 $false +.sym 23671 ring_wr[3] +.sym 23672 $abc$26600$n1618 +.sym 23673 $abc$26600$n698_1 .sym 23674 $false -.sym 23683 $0\temp_output_report[2:0][1] -.sym 23684 $false -.sym 23685 $false +.sym 23677 ring_wr[2] +.sym 23678 $abc$26600$n1615 +.sym 23679 $abc$26600$n698_1 +.sym 23680 $false +.sym 23683 ring_wr[0] +.sym 23684 $abc$26600$n1609 +.sym 23685 $abc$26600$n698_1 .sym 23686 $false -.sym 23687 $abc$25847$n149 -.sym 23688 CLK$2$2 -.sym 23689 $false -.sym 23690 $abc$25847$n1175 -.sym 23691 $abc$25847$n501 -.sym 23692 $abc$25847$n1174 -.sym 23693 $abc$25847$n889 -.sym 23694 $abc$25847$n502 -.sym 23695 I2C.FLT_SDA.counter[2] -.sym 23696 I2C.FLT_SDA.counter[1] -.sym 23697 I2C.FLT_SDA.counter[0] -.sym 23726 $true -.sym 23763 I2C.FLT_SDA.counter[0]$2 -.sym 23764 $false -.sym 23765 I2C.FLT_SDA.counter[0] -.sym 23766 $false +.sym 23694 $abc$26600$n220 +.sym 23695 $abc$26600$n2016 +.sym 23697 $abc$26600$n1143 +.sym 23764 REPORT_DATA.rdata[2] +.sym 23765 I2C_HID_DESC.VAL[2] +.sym 23766 I2C_OUT_DESC_MASK[2] .sym 23767 $false -.sym 23769 $auto$alumacc.cc:474:replace_alu$6775.C[2] -.sym 23771 I2C.FLT_SDA.counter[1] -.sym 23772 $true$2 -.sym 23776 $false -.sym 23777 I2C.FLT_SDA.counter[2] +.sym 23770 REPORT_DATA.rdata[7] +.sym 23771 I2C_HID_DESC.VAL[7] +.sym 23772 I2C_OUT_DESC_MASK[7] +.sym 23773 $false +.sym 23776 $abc$26600$n720 +.sym 23777 $abc$26600$n594 .sym 23778 $false -.sym 23779 $auto$alumacc.cc:474:replace_alu$6775.C[2] -.sym 23782 $abc$25847$n909 -.sym 23783 $abc$25847$n45 +.sym 23779 $false +.sym 23782 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 23783 $false .sym 23784 $false .sym 23785 $false -.sym 23788 $abc$25847$n914_1 -.sym 23789 $abc$25847$n920_1 -.sym 23790 $abc$25847$n904 +.sym 23788 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 23789 $false +.sym 23790 $false .sym 23791 $false -.sym 23800 $abc$25847$n1914 +.sym 23794 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 23795 $false +.sym 23796 $false +.sym 23797 $false +.sym 23800 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 23801 $false .sym 23802 $false .sym 23803 $false -.sym 23806 $abc$25847$n909 -.sym 23807 $abc$25847$n45 +.sym 23806 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 23807 $false .sym 23808 $false .sym 23809 $false -.sym 23814 I2C.received_byte[1] -.sym 23849 $true -.sym 23886 $abc$25847$n1056$2 -.sym 23887 $false -.sym 23888 $abc$25847$n1056 -.sym 23889 $false -.sym 23890 $false -.sym 23892 $auto$alumacc.cc:474:replace_alu$6769.C[2]$2 -.sym 23894 $abc$25847$n1058 -.sym 23895 $true$2 -.sym 23898 $auto$alumacc.cc:474:replace_alu$6769.C[3]$2 -.sym 23900 $abc$25847$n1060 -.sym 23901 $true$2 -.sym 23902 $auto$alumacc.cc:474:replace_alu$6769.C[2]$2 -.sym 23904 $abc$25847$n1892$2 -.sym 23906 $abc$25847$n1062 -.sym 23907 $true$2 -.sym 23908 $auto$alumacc.cc:474:replace_alu$6769.C[3]$2 -.sym 23914 $abc$25847$n1892$2 -.sym 23917 $abc$25847$n1053 -.sym 23918 I2C.i2c_bit_counter[1] +.sym 23810 $abc$26600$n358 +.sym 23811 CLK$2$2 +.sym 23812 $abc$26600$n21$2 +.sym 23815 $auto$alumacc.cc:474:replace_alu$7386.C[2] +.sym 23816 $auto$alumacc.cc:474:replace_alu$7386.C[3] +.sym 23817 $abc$26600$n1995 +.sym 23818 $abc$26600$n176 +.sym 23819 $abc$26600$n150 +.sym 23820 LED3$2 +.sym 23893 temp_output_report[1] +.sym 23894 I2C.received_byte[1] +.sym 23895 $abc$26600$n1091 +.sym 23896 $false +.sym 23899 REPORT_DATA.rdata[0] +.sym 23900 I2C_HID_DESC.VAL[0] +.sym 23901 I2C_OUT_DESC_MASK[0] +.sym 23902 $false +.sym 23917 $abc$26600$n717 +.sym 23918 I2C.FLT_SCL.RESET$2 .sym 23919 $false .sym 23920 $false -.sym 23923 $false -.sym 23924 $abc$25847$n1060 +.sym 23923 $0\temp_output_report[2:0][1] +.sym 23924 $false .sym 23925 $false -.sym 23926 $auto$alumacc.cc:474:replace_alu$6769.C[2] -.sym 23929 $0\temp_output_report[2:0][1] -.sym 23930 $false -.sym 23931 $false -.sym 23932 $false -.sym 23933 $abc$25847$n184 +.sym 23926 $false +.sym 23933 $abc$26600$n350 .sym 23934 CLK$2$2 -.sym 23935 $abc$25847$n21$2 -.sym 23942 KEYBOARD.is_ghost -.sym 24016 I2C.FLT_SDA.out -.sym 24017 $false -.sym 24018 $false -.sym 24019 $false -.sym 24022 UART_WR -.sym 24023 $false -.sym 24024 $false -.sym 24025 $false -.sym 24028 $abc$25847$n992 -.sym 24029 $abc$25847$n993 -.sym 24030 $abc$25847$n991 -.sym 24031 $abc$25847$n980 -.sym 24034 $abc$25847$n909 -.sym 24035 $abc$25847$n982 -.sym 24036 $abc$25847$n978 -.sym 24037 $abc$25847$n1058 -.sym 24040 $abc$25847$n982 -.sym 24041 $abc$25847$n52 -.sym 24042 $abc$25847$n978 -.sym 24043 $abc$25847$n1060 -.sym 24052 $abc$25847$n976 -.sym 24053 $abc$25847$n961 -.sym 24054 $abc$25847$n906 +.sym 23935 $false +.sym 23937 I2C.i2c_bit_counter[2] +.sym 23938 $abc$26600$n10 +.sym 23939 $abc$26600$n8 +.sym 23940 I2C.is_adress +.sym 23941 I2C.i2c_bit_counter[1] +.sym 23943 I2C.i2c_bit_counter[3] +.sym 24028 I2C.i2c_bit_counter[0] +.sym 24029 I2C.i2c_bit_counter[1] +.sym 24030 $abc$26600$n1134 +.sym 24031 $false +.sym 24034 $abc$26600$n759 +.sym 24035 $abc$26600$n753 +.sym 24036 $abc$26600$n749 +.sym 24037 $false +.sym 24040 I2C.is_adress +.sym 24041 $abc$26600$n1134 +.sym 24042 $false +.sym 24043 $false +.sym 24046 $abc$26600$n1134 +.sym 24047 I2C.i2c_bit_counter[1] +.sym 24048 $false +.sym 24049 $false +.sym 24052 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 24053 $false +.sym 24054 $false .sym 24055 $false -.sym 24056 I2C.FLT_SCL.RESET$2 +.sym 24056 $abc$26600$n358 .sym 24057 CLK$2$2 -.sym 24058 $false -.sym 24061 $abc$25847$n1712 -.sym 24062 $abc$25847$n18 -.sym 24063 $abc$25847$n149 -.sym 24064 $abc$25847$n2024 -.sym 24066 $abc$25847$n2020 -.sym 24163 KEYBOARD.isr_internal -.sym 24164 $false -.sym 24165 $false +.sym 24058 $abc$26600$n21$2 +.sym 24059 $abc$26600$n746 +.sym 24061 $abc$26600$n2017 +.sym 24063 $abc$26600$n1141 +.sym 24065 $abc$26600$n1134 +.sym 24133 $abc$26600$n746 +.sym 24134 $abc$26600$n1134 +.sym 24135 I2C.SDA_DIR +.sym 24136 $false +.sym 24139 $abc$26600$n1004_1 +.sym 24140 $abc$26600$n744 +.sym 24141 I2C.received_byte[0] +.sym 24142 $false +.sym 24145 $abc$26600$n746 +.sym 24146 $abc$26600$n1134 +.sym 24147 I2C.wr +.sym 24148 $abc$26600$n1030 +.sym 24151 $abc$26600$n1004_1 +.sym 24152 $abc$26600$n748_1 +.sym 24153 $abc$26600$n744 +.sym 24154 $abc$26600$n1012 +.sym 24163 I2C.i2c_state_machine +.sym 24164 $abc$26600$n1134 +.sym 24165 $abc$26600$n746 .sym 24166 $false -.sym 24179 $abc$25847$n415 +.sym 24169 $abc$26600$n748_1 +.sym 24170 $abc$26600$n744 +.sym 24171 $abc$26600$n992_1 +.sym 24172 $abc$26600$n220 +.sym 24175 I2C.SDAF +.sym 24176 $false +.sym 24177 $false +.sym 24178 $false +.sym 24179 $abc$26600$n643 .sym 24180 CLK$2$2 -.sym 24181 $abc$25847$n21$2 -.sym 24182 KEYBOARD.ROWS_EN[6] -.sym 24184 KEYBOARD.ROWS_EN[3] -.sym 24187 KEYBOARD.ROWS_EN[7] -.sym 24262 $abc$25847$n2023 -.sym 24263 $false -.sym 24264 $false -.sym 24265 $false -.sym 24268 $abc$25847$n2022 -.sym 24269 $false -.sym 24270 $false +.sym 24181 $abc$26600$n21$2 +.sym 24182 $abc$26600$n1019_1 +.sym 24183 $abc$26600$n1002 +.sym 24186 $abc$26600$n1001 +.sym 24187 $abc$26600$n1026_1 +.sym 24189 $abc$26600$n1016_1 +.sym 24256 $abc$26600$n1011 +.sym 24257 $abc$26600$n1019_1 +.sym 24258 $abc$26600$n1017_1 +.sym 24259 $abc$26600$n1029 +.sym 24268 I2C.i2c_state_machine +.sym 24269 $abc$26600$n1007 +.sym 24270 $abc$26600$n983_1 .sym 24271 $false -.sym 24274 $abc$25847$n2018 -.sym 24275 $false -.sym 24276 $false +.sym 24274 $abc$26600$n1026_1 +.sym 24275 $abc$26600$n1000_1 +.sym 24276 $abc$26600$n1027 .sym 24277 $false -.sym 24280 $abc$25847$n2019 -.sym 24281 $false -.sym 24282 $false +.sym 24280 $abc$26600$n1014_1 +.sym 24281 $abc$26600$n1001 +.sym 24282 $abc$26600$n748_1 .sym 24283 $false -.sym 24298 $abc$25847$n2020 -.sym 24299 $false -.sym 24300 $false -.sym 24301 $false -.sym 24302 $abc$25847$n396$2 +.sym 24286 UART_WR +.sym 24287 $false +.sym 24288 $false +.sym 24289 $false +.sym 24292 $abc$26600$n1020_1 +.sym 24293 $abc$26600$n174 +.sym 24294 $abc$26600$n1016_1 +.sym 24295 $abc$26600$n1137 +.sym 24302 I2C.FLT_SCL.RESET$2 .sym 24303 CLK$2$2 -.sym 24304 $abc$25847$n18 +.sym 24304 $false +.sym 24312 I2C.received_byte[0] +.sym 24403 I2C.FLT_SDA.out +.sym 24404 $false +.sym 24405 $false +.sym 24406 $false +.sym 24425 $abc$26600$n239 +.sym 24426 CLK$2$2 +.sym 24427 $false +.sym 24431 KEYBOARD.ROWS_EN[7] +.sym 24432 KEYBOARD.ROWS_EN[0] +.sym 24433 KEYBOARD.ROWS_EN[5] +.sym 24434 KEYBOARD.ROWS_EN[6] +.sym 24435 KEYBOARD.ROWS_EN[3] +.sym 24508 $abc$26600$n2121 +.sym 24509 $false +.sym 24510 $false +.sym 24511 $false +.sym 24538 $abc$26600$n2123 +.sym 24539 $false +.sym 24540 $false +.sym 24541 $false +.sym 24544 $abc$26600$n2120 +.sym 24545 $false +.sym 24546 $false +.sym 24547 $false +.sym 24548 $abc$26600$n617$2 +.sym 24549 CLK$2$2 +.sym 24550 $abc$26600$n18 .sym 24596 $false .sym 24598 KEYBOARD.ROWS_EN[4] .sym 24599 $false .sym 24601 KEYBOARD.ROWS_EN[5] -.sym 24885 KEYBOARD.IS_RAM_INIT$2 -.sym 24886 $abc$25847$n1252_1 -.sym 24887 $abc$25847$n1659 +.sym 24718 $false +.sym 24719 $false +.sym 24720 $false +.sym 24721 $false +.sym 24885 $abc$26600$n2123 +.sym 24886 $false +.sym 24887 $false .sym 24888 $false -.sym 24931 $abc$25847$n149 +.sym 24897 $abc$26600$n2124 +.sym 24898 $false +.sym 24899 $false +.sym 24900 $false +.sym 24921 $abc$26600$n2126 +.sym 24922 $false +.sym 24923 $false +.sym 24924 $false +.sym 24927 $abc$26600$n2125 +.sym 24928 $false +.sym 24929 $false +.sym 24930 $false +.sym 24931 $abc$26600$n617$2 .sym 24932 CLK$2$2 -.sym 24933 $false -.sym 25040 I2C.FLT_SDA.out -.sym 25041 $false -.sym 25042 $false -.sym 25043 $false -.sym 25086 $abc$25847$n476 +.sym 24933 $abc$26600$n19 +.sym 25040 KEYBOARD.row_counter[0] +.sym 25041 KEYBOARD.row_counter[1] +.sym 25042 $abc$26600$n1759 +.sym 25043 $abc$26600$n1763 +.sym 25046 $abc$26600$n1759 +.sym 25047 KEYBOARD.row_counter[0] +.sym 25048 KEYBOARD.row_counter[1] +.sym 25049 $abc$26600$n1763 +.sym 25052 $abc$26600$n18 +.sym 25053 $false +.sym 25054 $false +.sym 25055 $false +.sym 25058 $abc$26600$n1759 +.sym 25059 KEYBOARD.row_counter[0] +.sym 25060 KEYBOARD.row_counter[1] +.sym 25061 $abc$26600$n1763 +.sym 25082 SCL$2 +.sym 25083 $false +.sym 25084 $false +.sym 25085 $false +.sym 25086 $true .sym 25087 CLK$2$2 .sym 25088 $false -.sym 25195 $abc$25847$n708_1 -.sym 25196 int_tmr[5] -.sym 25197 $false -.sym 25198 $false -.sym 25207 $abc$25847$n708_1 -.sym 25208 int_tmr[7] -.sym 25209 $false -.sym 25210 $false -.sym 25213 $abc$25847$n708_1 -.sym 25214 int_tmr[4] -.sym 25215 $false +.sym 25195 KEYBOARD.is_pressed +.sym 25196 KEYBOARD.kbd_code_hid[6] +.sym 25197 $abc$26600$n856 +.sym 25198 $abc$26600$n827 +.sym 25207 KEYBOARD.is_pressed +.sym 25208 KEYBOARD.kbd_code_hid[7] +.sym 25209 $abc$26600$n867 +.sym 25210 $abc$26600$n827 +.sym 25213 $abc$26600$n847_1 +.sym 25214 $abc$26600$n861_1 +.sym 25215 $abc$26600$n827 .sym 25216 $false -.sym 25219 $abc$25847$n1271 -.sym 25220 int_tmr[7] -.sym 25221 $3\int_tmr[14:0][14] -.sym 25222 $false -.sym 25231 $abc$25847$n1265 -.sym 25232 int_tmr[5] -.sym 25233 $3\int_tmr[14:0][14] -.sym 25234 $false -.sym 25237 $abc$25847$n1256 -.sym 25238 int_tmr[2] -.sym 25239 $3\int_tmr[14:0][14] -.sym 25240 $false -.sym 25241 $abc$25847$n135$2 +.sym 25219 KEYBOARD.is_pressed +.sym 25220 KEYBOARD.kbd_code_hid[0] +.sym 25221 $abc$26600$n853_1 +.sym 25222 $abc$26600$n827 +.sym 25225 KEYBOARD.is_pressed +.sym 25226 KEYBOARD.kbd_code_hid[5] +.sym 25227 $abc$26600$n865_1 +.sym 25228 $abc$26600$n827 +.sym 25231 KEYBOARD.kbd_code_hid[4] +.sym 25232 KEYBOARD.is_pressed +.sym 25233 $abc$26600$n858_1 +.sym 25234 $abc$26600$n827 +.sym 25241 $abc$26600$n519 .sym 25242 CLK$2$2 -.sym 25243 $abc$25847$n21$2 -.sym 25350 $abc$25847$n2025 +.sym 25243 KEYBOARD.IS_RAM_INIT$2 +.sym 25350 $abc$26600$n2121 .sym 25351 $false .sym 25352 $false .sym 25353 $false -.sym 25356 $abc$25847$n2018 +.sym 25356 $abc$26600$n2122 .sym 25357 $false .sym 25358 $false .sym 25359 $false -.sym 25380 $abc$25847$n2024 +.sym 25368 $abc$26600$n2119 +.sym 25369 $false +.sym 25370 $false +.sym 25371 $false +.sym 25380 $abc$26600$n2120 .sym 25381 $false .sym 25382 $false .sym 25383 $false -.sym 25396 $abc$25847$n396$2 +.sym 25396 $abc$26600$n617$2 .sym 25397 CLK$2$2 -.sym 25398 $abc$25847$n19 -.sym 25517 $abc$25847$n2022 -.sym 25518 $false -.sym 25519 $false -.sym 25520 $false -.sym 25523 $abc$25847$n2019 -.sym 25524 $false -.sym 25525 $false -.sym 25526 $false -.sym 25529 $abc$25847$n2020 -.sym 25530 $false -.sym 25531 $false -.sym 25532 $false -.sym 25535 $abc$25847$n2023 -.sym 25536 $false -.sym 25537 $false -.sym 25538 $false -.sym 25541 $abc$25847$n2021 -.sym 25542 $false -.sym 25543 $false -.sym 25544 $false -.sym 25551 $abc$25847$n396$2 +.sym 25398 $abc$26600$n19 +.sym 25511 I2C.FLT_SDA.out +.sym 25512 $false +.sym 25513 $false +.sym 25514 $false +.sym 25551 $abc$26600$n297 .sym 25552 CLK$2$2 -.sym 25553 $abc$25847$n19 +.sym 25553 $false .sym 25556 SCL$2 -.sym 25684 $abc$25847$n1053 -.sym 25685 $false -.sym 25686 $false -.sym 25687 $false -.sym 25706 $abc$25847$n101 +.sym 25672 I2C.FLT_SDA.out +.sym 25673 $false +.sym 25674 $false +.sym 25675 $false +.sym 25706 $abc$26600$n253 .sym 25707 CLK$2$2 .sym 25708 $false .sym 25709 I2C.SDA_IN -.sym 25815 I2C.i2c_start_latency -.sym 25816 I2C.i2c_state_machine -.sym 25817 $abc$25847$n1053 -.sym 25818 I2C.FLT_SCL.RESET$2 -.sym 25833 IS_RAM_INIT -.sym 25834 KEYBOARD.IS_RAM_INIT$2 -.sym 25835 I2C.FLT_SCL.RESET$2 -.sym 25836 $false -.sym 25839 I2C.i2c_state_machine -.sym 25840 I2C.i2c_start_latency +.sym 25815 $abc$26600$n1763 +.sym 25816 KEYBOARD.row_counter[0] +.sym 25817 KEYBOARD.row_counter[1] +.sym 25818 $abc$26600$n1759 +.sym 25827 KEYBOARD.row_counter[0] +.sym 25828 KEYBOARD.row_counter[1] +.sym 25829 $abc$26600$n1759 +.sym 25830 $abc$26600$n1763 +.sym 25833 $abc$26600$n1759 +.sym 25834 $abc$26600$n1763 +.sym 25835 KEYBOARD.row_counter[1] +.sym 25836 KEYBOARD.row_counter[0] +.sym 25839 I2C.FLT_SCL.RESET$2 +.sym 25840 IS_RAM_INIT .sym 25841 $false .sym 25842 $false -.sym 25857 $abc$25847$n1708 -.sym 25858 $abc$25847$n1712 -.sym 25859 KEYBOARD.row_counter[1] -.sym 25860 KEYBOARD.row_counter[0] -.sym 25970 $abc$25847$n1712 -.sym 25971 KEYBOARD.row_counter[0] -.sym 25972 KEYBOARD.row_counter[1] -.sym 25973 $abc$25847$n1708 -.sym 25976 last_trans -.sym 25977 I2C_TRANS -.sym 25978 $false -.sym 25979 $false -.sym 25982 I2C.FLT_SDA.out -.sym 25983 I2C.SDAF -.sym 25984 $false -.sym 25985 $false -.sym 26012 I2C.SDA_IN +.sym 25845 I2C.SDA_IN +.sym 25846 $false +.sym 25847 $false +.sym 25848 $false +.sym 25861 $true +.sym 25862 CLK$2$2 +.sym 25863 $false +.sym 25932 $true +.sym 25969 ring_wr[0]$2 +.sym 25970 $false +.sym 25971 ring_wr[0] +.sym 25972 $false +.sym 25973 $false +.sym 25975 $auto$alumacc.cc:474:replace_alu$7380.C[2] +.sym 25977 $false +.sym 25978 ring_wr[1] +.sym 25981 $auto$alumacc.cc:474:replace_alu$7380.C[3] +.sym 25982 $false +.sym 25983 $false +.sym 25984 ring_wr[2] +.sym 25985 $auto$alumacc.cc:474:replace_alu$7380.C[2] +.sym 25987 $auto$alumacc.cc:474:replace_alu$7380.C[4] +.sym 25988 $false +.sym 25989 $false +.sym 25990 ring_wr[3] +.sym 25991 $auto$alumacc.cc:474:replace_alu$7380.C[3] +.sym 25994 $false +.sym 25995 $false +.sym 25996 $false +.sym 25997 $auto$alumacc.cc:474:replace_alu$7380.C[4] +.sym 26012 $2\ring_wr[3:0][1] .sym 26013 $false .sym 26014 $false .sym 26015 $false -.sym 26016 $true +.sym 26016 $abc$26600$n336$2 .sym 26017 CLK$2$2 -.sym 26018 $false -.sym 26125 I2C.FLT_SDA.counter[0] -.sym 26126 I2C.FLT_SDA.counter[1] +.sym 26018 $abc$26600$n21$2 +.sym 26087 $true +.sym 26124 $abc$26600$n2015$2 +.sym 26125 $false +.sym 26126 $abc$26600$n2015 .sym 26127 $false .sym 26128 $false -.sym 26131 $abc$25847$n1174 -.sym 26132 $abc$25847$n926 -.sym 26133 $abc$25847$n1175 -.sym 26134 $abc$25847$n892 -.sym 26137 I2C.FLT_SDA.counter[0] -.sym 26138 I2C.FLT_SDA.counter[1] -.sym 26139 I2C.FLT_SDA.counter[2] -.sym 26140 $abc$25847$n889 -.sym 26143 $false -.sym 26144 I2C.FLT_SDA.counter[0] -.sym 26145 $false -.sym 26146 $false -.sym 26149 I2C.FLT_SDA.counter[0] -.sym 26150 I2C.FLT_SDA.counter[1] -.sym 26151 I2C.FLT_SDA.counter[2] -.sym 26152 $abc$25847$n926 -.sym 26155 I2C.FLT_SDA.counter[0] -.sym 26156 I2C.FLT_SDA.counter[1] -.sym 26157 I2C.FLT_SDA.counter[2] -.sym 26158 $abc$25847$n892 -.sym 26161 $abc$25847$n1175 -.sym 26162 $false -.sym 26163 $false -.sym 26164 $false -.sym 26167 $abc$25847$n1174 +.sym 26130 $auto$alumacc.cc:474:replace_alu$7336.C[2] +.sym 26132 $false +.sym 26133 $abc$26600$n1996 +.sym 26136 $auto$alumacc.cc:474:replace_alu$7336.C[3] +.sym 26138 $false +.sym 26139 $abc$26600$n2016 +.sym 26142 $abc$26600$n220$2 +.sym 26144 $false +.sym 26145 $abc$26600$n2017 +.sym 26152 $abc$26600$n220$2 +.sym 26155 $abc$26600$n1141 +.sym 26156 $false +.sym 26157 $false +.sym 26158 $false +.sym 26167 $abc$26600$n2017 .sym 26168 $false .sym 26169 $false .sym 26170 $false -.sym 26171 $abc$25847$n502 -.sym 26172 CLK$2$2 -.sym 26173 $abc$25847$n21$2 -.sym 26286 I2C.FLT_SDA.out -.sym 26287 $false -.sym 26288 $false -.sym 26289 $false -.sym 26326 $abc$25847$n441 +.sym 26242 $true +.sym 26279 $abc$26600$n1137$2 +.sym 26280 $false +.sym 26281 $abc$26600$n1137 +.sym 26282 $false +.sym 26283 $false +.sym 26285 $auto$alumacc.cc:474:replace_alu$7386.C[2]$2 +.sym 26287 $abc$26600$n1139 +.sym 26288 $true$2 +.sym 26291 $auto$alumacc.cc:474:replace_alu$7386.C[3]$2 +.sym 26293 $abc$26600$n1141 +.sym 26294 $true$2 +.sym 26295 $auto$alumacc.cc:474:replace_alu$7386.C[2]$2 +.sym 26297 $abc$26600$n1995$2 +.sym 26299 $abc$26600$n1143 +.sym 26300 $true$2 +.sym 26301 $auto$alumacc.cc:474:replace_alu$7386.C[3]$2 +.sym 26307 $abc$26600$n1995$2 +.sym 26310 $false +.sym 26311 $abc$26600$n1141 +.sym 26312 $false +.sym 26313 $auto$alumacc.cc:474:replace_alu$7386.C[2] +.sym 26316 $false +.sym 26317 $abc$26600$n1143 +.sym 26318 $false +.sym 26319 $auto$alumacc.cc:474:replace_alu$7386.C[3] +.sym 26322 $0\temp_output_report[2:0][1] +.sym 26323 $false +.sym 26324 $false +.sym 26325 $false +.sym 26326 $abc$26600$n385 .sym 26327 CLK$2$2 -.sym 26328 $false -.sym 26471 $false -.sym 26472 $false -.sym 26473 $false -.sym 26474 $false -.sym 26481 $abc$25847$n280 +.sym 26328 $abc$26600$n21$2 +.sym 26441 $abc$26600$n1020_1 +.sym 26442 $abc$26600$n176 +.sym 26443 $abc$26600$n1016_1 +.sym 26444 $abc$26600$n1141 +.sym 26447 I2C.FLT_SCL.out +.sym 26448 $false +.sym 26449 $false +.sym 26450 $false +.sym 26453 I2C.FLT_SDA.out +.sym 26454 $false +.sym 26455 $false +.sym 26456 $false +.sym 26459 $abc$26600$n748_1 +.sym 26460 $abc$26600$n744 +.sym 26461 $abc$26600$n1012 +.sym 26462 $abc$26600$n1004_1 +.sym 26465 $abc$26600$n752 +.sym 26466 $abc$26600$n1020_1 +.sym 26467 $abc$26600$n1016_1 +.sym 26468 $abc$26600$n1139 +.sym 26477 $abc$26600$n150 +.sym 26478 $abc$26600$n1020_1 +.sym 26479 $abc$26600$n1016_1 +.sym 26480 $abc$26600$n2017 +.sym 26481 I2C.FLT_SCL.RESET$2 .sym 26482 CLK$2$2 .sym 26483 $false -.sym 26552 $true -.sym 26589 KEYBOARD.row_counter[0]$2 -.sym 26590 $false -.sym 26591 KEYBOARD.row_counter[0] -.sym 26592 $false +.sym 26590 I2C.FLT_SDA.out +.sym 26591 I2C.FLT_SCL.out +.sym 26592 $abc$26600$n8 .sym 26593 $false -.sym 26595 $auto$alumacc.cc:474:replace_alu$6781.C[2] -.sym 26597 $false -.sym 26598 KEYBOARD.row_counter[1] -.sym 26601 $auto$alumacc.cc:474:replace_alu$6781.C[3] -.sym 26602 $false -.sym 26603 $false -.sym 26604 KEYBOARD.row_counter[2] -.sym 26605 $auto$alumacc.cc:474:replace_alu$6781.C[2] -.sym 26608 $false -.sym 26609 $false -.sym 26610 KEYBOARD.row_counter[3] -.sym 26611 $auto$alumacc.cc:474:replace_alu$6781.C[3] -.sym 26614 IS_RAM_INIT -.sym 26615 I2C.FLT_SCL.RESET$2 +.sym 26602 I2C.i2c_bit_counter[3] +.sym 26603 $abc$26600$n1134 +.sym 26604 $false +.sym 26605 $false +.sym 26614 $abc$26600$n1134 +.sym 26615 I2C.i2c_bit_counter[2] .sym 26616 $false .sym 26617 $false -.sym 26620 $abc$25847$n1708 -.sym 26621 KEYBOARD.row_counter[0] -.sym 26622 KEYBOARD.row_counter[1] -.sym 26623 $abc$25847$n1712 -.sym 26632 $abc$25847$n1708 -.sym 26633 $abc$25847$n1712 -.sym 26634 KEYBOARD.row_counter[0] -.sym 26635 KEYBOARD.row_counter[1] -.sym 26745 $abc$25847$n2024 -.sym 26746 $false -.sym 26747 $false +.sym 26626 I2C.FLT_SDA.out +.sym 26627 $abc$26600$n8 +.sym 26628 I2C.FLT_SCL.out +.sym 26629 $false +.sym 26745 $abc$26600$n220 +.sym 26746 $abc$26600$n992_1 +.sym 26747 $abc$26600$n748_1 .sym 26748 $false -.sym 26757 $abc$25847$n2021 -.sym 26758 $false -.sym 26759 $false -.sym 26760 $false -.sym 26775 $abc$25847$n2025 -.sym 26776 $false -.sym 26777 $false +.sym 26751 $abc$26600$n1134 +.sym 26752 I2C.is_ack +.sym 26753 $false +.sym 26754 $false +.sym 26769 $abc$26600$n1002 +.sym 26770 $abc$26600$n992_1 +.sym 26771 $abc$26600$n220 +.sym 26772 $false +.sym 26775 $abc$26600$n992_1 +.sym 26776 $abc$26600$n1017_1 +.sym 26777 $abc$26600$n1002 .sym 26778 $false -.sym 26791 $abc$25847$n396$2 -.sym 26792 CLK$2$2 -.sym 26793 $abc$25847$n18 +.sym 26787 $abc$26600$n1012 +.sym 26788 $abc$26600$n1020_1 +.sym 26789 $abc$26600$n1019_1 +.sym 26790 $abc$26600$n1017_1 +.sym 26942 I2C.FLT_SDA.out +.sym 26943 $false +.sym 26944 $false +.sym 26945 $false +.sym 26946 $abc$26600$n232 +.sym 26947 CLK$2$2 +.sym 26948 $false +.sym 27073 $abc$26600$n2126 +.sym 27074 $false +.sym 27075 $false +.sym 27076 $false +.sym 27079 $abc$26600$n2119 +.sym 27080 $false +.sym 27081 $false +.sym 27082 $false +.sym 27085 $abc$26600$n2124 +.sym 27086 $false +.sym 27087 $false +.sym 27088 $false +.sym 27091 $abc$26600$n2125 +.sym 27092 $false +.sym 27093 $false +.sym 27094 $false +.sym 27097 $abc$26600$n2122 +.sym 27098 $false +.sym 27099 $false +.sym 27100 $false +.sym 27101 $abc$26600$n617$2 +.sym 27102 CLK$2$2 +.sym 27103 $abc$26600$n18 .sym 27189 $false .sym 27191 KEYBOARD.ROWS_EN[6] .sym 27192 $false @@ -9285,10 +9569,10 @@ .sym 27373 $false .sym 27375 KEYBOARD.ROWS_EN[12] .sym 27400 COM_DCD$2 -.sym 27429 $abc$25847$n384 +.sym 27429 $abc$26600$n336 .sym 27430 $false .sym 27432 I2C.SDA_DIR -.sym 27459 $abc$25847$n141 +.sym 27459 $abc$26600$n342 .sym 27463 I2C_TRANS .sym 27519 LED4$2 .sym 27522 LED3$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin index a8ee5fb..9515b98 100644 Binary files a/i2c_keyboard/hardware.bin and b/i2c_keyboard/hardware.bin differ diff --git a/i2c_keyboard/hardware.blif b/i2c_keyboard/hardware.blif index e63ec6b..59cbf10 100644 --- a/i2c_keyboard/hardware.blif +++ b/i2c_keyboard/hardware.blif @@ -7,2354 +7,2570 @@ .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$25847$n1173 I1=$abc$25847$n589 I2=$abc$25847$n584_1 I3=UART.tx_activity O=$abc$25847$n5 +.gate SB_LUT4 I0=$abc$26600$n1254 I1=$abc$26600$n589 I2=$abc$26600$n584 I3=UART.tx_activity O=$abc$26600$n5 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$25847$n588 I1=$abc$25847$n585 I2=$abc$25847$n1245 I3=$abc$25847$n1231 O=$abc$25847$n584_1 +.gate SB_LUT4 I0=$abc$26600$n588 I1=$abc$26600$n585 I2=$abc$26600$n1323 I3=$abc$26600$n1309 O=$abc$26600$n584 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$25847$n586_1 I3=$false O=$abc$25847$n585 +.param LUT_INIT 0000101000000011 +.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$26600$n586 I3=$false O=$abc$26600$n585 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n1907 I1=$abc$25847$n1908 I2=$false I3=$false O=$abc$25847$n586_1 +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$26600$n2010 I1=$abc$26600$n2011 I2=$false I3=$false O=$abc$26600$n586 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$25847$n1907 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$26600$n2010 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$25847$n586_1 I3=$false O=$abc$25847$n588 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$26600$n586 I3=$false O=$abc$26600$n588 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n591_1 I1=$abc$25847$n590 I2=$abc$25847$n1231 I3=$abc$25847$n1245 O=$abc$25847$n589 +.gate SB_LUT4 I0=$abc$26600$n591 I1=$abc$26600$n590 I2=$abc$26600$n1309 I3=$abc$26600$n1323 O=$abc$26600$n589 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$25847$n586_1 I3=$false O=$abc$25847$n590 +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$26600$n586 I3=$false O=$abc$26600$n590 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$25847$n586_1 I3=$false O=$abc$25847$n591_1 +.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$26600$n586 I3=$false O=$abc$26600$n591 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n710 I1=$abc$25847$n593 I2=$abc$25847$n719_1 I3=I2C.FLT_SCL.RESET O=$abc$25847$n7 +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$26600$n719 I1=$abc$26600$n593 I2=$abc$26600$n728_1 I3=I2C.FLT_SCL.RESET O=$abc$26600$n7 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=$abc$25847$n687 I1=I2C_TRANS I2=$3\int_tmr[14:0][14] I3=$2\I2C_OUTPUT_TYPE[2:0][1] O=$abc$25847$n593 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$25847$n595 I1=$abc$25847$n683 I2=I2C_OUTPUT_TYPE[1] I3=$abc$25847$n685 O=$2\I2C_OUTPUT_TYPE[2:0][1] +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$26600$n594 I2=$abc$26600$n717 I3=$abc$26600$n691 O=$abc$26600$n593 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011101111110000 -.gate SB_LUT4 I0=$abc$25847$n681 I1=I2C_OUTPUT_TYPE[1] I2=$abc$25847$n679 I3=$abc$25847$n596 O=$abc$25847$n595 +.param LUT_INIT 0011101000000000 +.gate SB_LUT4 I0=$abc$26600$n687_1 I1=$abc$26600$n792 I2=I2C.is_read I3=$abc$26600$n595_1 O=$abc$26600$n594 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110000011101110 -.gate SB_LUT4 I0=$abc$25847$n1911 I1=$abc$25847$n716 I2=$false I3=$false O=$abc$25847$n596 +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n686 I1=$abc$26600$n596 I2=$false I3=$false O=$abc$26600$n595_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n2014 I1=$abc$26600$n858 I2=$false I3=$false O=$abc$26600$n596 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n1223_1 I1=$abc$25847$n720 I2=$abc$25847$n598_1 I3=$abc$25847$n671 O=$abc$25847$n597_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000011111 -.gate SB_LUT4 I0=$abc$25847$n642 I1=$abc$25847$n599 I2=$abc$25847$n644 I3=$abc$25847$n716 O=$abc$25847$n598_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$25847$n607 I1=$abc$25847$n627 I2=$abc$25847$n600 I3=$abc$25847$n633 O=$abc$25847$n599 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000100000000 -.gate SB_LUT4 I0=I2C_COUNTER[1] I1=$abc$25847$n601_1 I2=$false I3=$false O=$abc$25847$n600 +.gate SB_LUT4 I0=$abc$26600$n2014 I1=$abc$26600$n858 I2=$false I3=$false O=$abc$26600$n598 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n602 I1=I2C_COUNTER[0] I2=$false I3=$false O=$abc$25847$n601_1 +.gate SB_LUT4 I0=$abc$26600$n613 I1=$abc$26600$n621 I2=$abc$26600$n607_1 I3=$abc$26600$n601 O=$abc$26600$n600 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$26600$n602 I1=$abc$26600$n605_1 I2=$false I3=$false O=$abc$26600$n601 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$25847$n604 I2=$abc$25847$n603_1 I3=$false O=$abc$25847$n602 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$26600$n604_1 I2=$abc$26600$n603 I3=$false O=$abc$26600$n602 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[0] I2=I2C.received_byte[3] I3=I2C.received_byte[6] O=$abc$25847$n603_1 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[0] I2=I2C.received_byte[3] I3=I2C.received_byte[6] O=$abc$26600$n603 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[5] I3=$false O=$abc$25847$n604 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[5] I3=$false O=$abc$26600$n604_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$25847$n606_1 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$26600$n606 I3=I2C_COUNTER[0] O=$abc$26600$n605_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$26600$n606 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n610 I1=$abc$25847$n608 I2=$abc$25847$n1218 I3=$false O=$abc$25847$n607 +.gate SB_LUT4 I0=$abc$26600$n610 I1=$abc$26600$n608 I2=$abc$26600$n605_1 I3=$false O=$abc$26600$n607_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$25847$n602 I2=$false I3=$false O=$abc$25847$n608 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$25847$n614 I1=$abc$25847$n611 I2=$abc$25847$n617 I3=$abc$25847$n618 O=$abc$25847$n610 +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$26600$n604_1 I1=$abc$26600$n609 I2=$abc$26600$n603 I3=$false O=$abc$26600$n608 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[5] O=$abc$26600$n609 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$abc$25847$n612 I3=$abc$25847$n613 O=$abc$25847$n611 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$26600$n611_1 I2=$abc$26600$n612 I3=$abc$26600$n606 O=$abc$26600$n610 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$26600$n611_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$25847$n612 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[2] I2=$false I3=$false O=$abc$25847$n613 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$26600$n612 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n616 I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$abc$25847$n603_1 O=$abc$25847$n614 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[7] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$25847$n616 +.gate SB_LUT4 I0=$abc$26600$n615 I1=$abc$26600$n611_1 I2=$abc$26600$n618 I3=$abc$26600$n614 O=$abc$26600$n613 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_COUNTER[1] I1=$abc$25847$n613 I2=$abc$25847$n612 I3=$false O=$abc$25847$n617 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$25847$n618 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$26600$n606 I3=$false O=$abc$26600$n614 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n622 I1=$abc$25847$n616 I2=$abc$25847$n621 I3=$abc$25847$n618 O=$abc$25847$n620 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$26600$n617_1 I2=$abc$26600$n616 I3=I2C.received_byte[0] O=$abc$26600$n615 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[3] I2=$false I3=$false O=$abc$25847$n621 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[7] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$26600$n616 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[3] I2=$false I3=$false O=$abc$26600$n617_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=I2C.received_byte[1] I3=$false O=$abc$25847$n622 +.gate SB_LUT4 I0=$abc$26600$n620 I1=$abc$26600$n617_1 I2=$abc$26600$n616 I3=$abc$26600$n619 O=$abc$26600$n618 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$26600$n619 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=I2C.received_byte[1] I3=$false O=$abc$26600$n620 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$25847$n625 I1=$abc$25847$n621 I2=$abc$25847$n616 I3=$abc$25847$n624 O=$abc$25847$n623 +.gate SB_LUT4 I0=$abc$26600$n628 I1=$abc$26600$n626 I2=$abc$26600$n625 I3=$abc$26600$n622 O=$abc$26600$n621 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$25847$n624 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$26600$n624 I2=I2C_COUNTER[2] I3=$abc$26600$n623 O=$abc$26600$n622 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$25847$n625 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n628 I1=$abc$25847$n629 I2=$abc$25847$n631 I3=$false O=$abc$25847$n627 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$26600$n623 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$25847$n603_1 I1=$abc$25847$n604 I2=$false I3=$false O=$abc$25847$n628 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n603_1 I1=$abc$25847$n630 I2=$false I3=$false O=$abc$25847$n629 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[5] O=$abc$25847$n630 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=I2C_COUNTER[1] I2=i2c_input_data_type[1] I3=i2c_input_data_type[2] O=$abc$26600$n624 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$25847$n624 I2=$abc$25847$n632 I3=$abc$25847$n606_1 O=$abc$25847$n631 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$26600$n604_1 I2=$abc$26600$n603 I3=I2C_COUNTER[0] O=$abc$26600$n625 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$25847$n632 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n634 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$25847$n633 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$26600$n627_1 I2=I2C_COUNTER[2] I3=$abc$26600$n623 O=$abc$26600$n626 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$26600$n627_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=I2C_COUNTER[1] I3=$abc$25847$n606_1 O=$abc$25847$n634 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$26600$n616 I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$abc$26600$n603 O=$abc$26600$n628 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$25847$n639 I2=$false I3=$false O=$abc$25847$n638 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$26600$n2057 I1=$abc$26600$n615 I2=$abc$26600$n2049 I3=$abc$26600$n632_1 O=$abc$26600$n631 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$26600$n627_1 I2=$abc$26600$n606 I3=$false O=$abc$26600$n632_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$26600$n634 I2=$false I3=$false O=$abc$26600$n633 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$25847$n639 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$26600$n634 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n641 I1=$abc$25847$n634 I2=$abc$25847$n638 I3=$abc$25847$n1951 O=$abc$25847$n640 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$25847$n616 I1=$abc$25847$n621 I2=$abc$25847$n625 I3=$abc$25847$n1955 O=$abc$25847$n641 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$26600$n639 I2=$abc$26600$n638 I3=$false O=$abc$26600$n858 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$26600$n631 I1=$abc$26600$n633 I2=I2C.received_byte[3] I3=$false O=$abc$26600$n638 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n625 I1=I2C_COUNTER[1] I2=$abc$26600$n633 I3=$abc$26600$n640 O=$abc$26600$n639 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$25847$n643 I1=$abc$25847$n638 I2=$false I3=$false O=$abc$25847$n642 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$26600$n606 I2=$false I3=$false O=$abc$26600$n640 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n641 I1=$abc$25847$n634 I2=I2C.received_byte[2] I3=$abc$25847$n1951 O=$abc$25847$n643 +.gate SB_LUT4 I0=$abc$26600$n672 I1=i2c_input_data_type[1] I2=$abc$26600$n644_1 I3=$abc$26600$n647 O=$abc$26600$n642 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$25847$n638 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$25847$n644 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$26600$n610 I1=$abc$26600$n645 I2=$abc$26600$n632_1 I3=$false O=$abc$26600$n644_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$26600$n608 I1=I2C.received_byte[4] I2=$abc$26600$n646 I3=$false O=$abc$26600$n645 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$26600$n603 I1=$abc$26600$n609 I2=$false I3=$false O=$abc$26600$n646 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n600 I1=$abc$25847$n607 I2=$abc$25847$n649 I3=$abc$25847$n647 O=$abc$25847$n719 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100010011110000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$25847$n648 I2=$abc$25847$n634 I3=$abc$25847$n638 O=$abc$25847$n647 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111000000000 -.gate SB_LUT4 I0=$abc$25847$n628 I1=I2C.received_byte[4] I2=$abc$25847$n629 I3=$abc$25847$n631 O=$abc$25847$n648 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=$abc$25847$n638 I1=i2c_input_data_type[1] I2=$abc$25847$n640 I3=I2C.received_byte[1] O=$abc$25847$n649 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101110111011 -.gate SB_LUT4 I0=$abc$25847$n1923 I1=$abc$25847$n1921 I2=$abc$25847$n1922 I3=$false O=$abc$25847$n652 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n632_1 I1=$abc$26600$n648 I2=$abc$26600$n633 I3=$false O=$abc$26600$n647 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C_COUNTER[6] I1=$abc$25847$n1415 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1923 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$26600$n2057 I1=$abc$26600$n2049 I2=I2C.received_byte[1] I3=$false O=$abc$26600$n648 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n2022 I1=$abc$26600$n665 I2=$abc$26600$n650 I3=$false O=$abc$26600$n649 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n2021 I1=$abc$26600$n655_1 I2=$false I3=$false O=$abc$26600$n650 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_COUNTER[2] I1=$abc$26600$n1485 I2=$abc$26600$n652 I3=$false O=$abc$26600$n2021 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n656 I1=$abc$25847$n655 I2=$abc$25847$n639 I3=$false O=$abc$25847$n654 +.gate SB_LUT4 I0=$abc$26600$n654_1 I1=$abc$26600$n653_1 I2=$abc$26600$n634 I3=$false O=$abc$26600$n652 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$25847$n655 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$26600$n653_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$26600$n654_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$25847$n656 +.gate SB_LUT4 I0=$abc$26600$n2025 I1=$abc$26600$n2023 I2=$abc$26600$n2024 I3=$false O=$abc$26600$n655_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n654_1 I1=$abc$26600$n653_1 I2=$abc$26600$n657 I3=$abc$26600$n658_1 O=$abc$26600$n2025 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C_COUNTER[4] I1=$abc$25847$n1411 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1921 +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=last_wr I1=$abc$26600$n1493 I2=I2C.wr I3=$false O=$abc$26600$n657 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C_COUNTER[5] I1=$abc$25847$n1413 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1922 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[6] I3=$false O=$abc$26600$n658_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$25847$n1409 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1920 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$26600$n654_1 I1=$abc$26600$n653_1 I2=$abc$26600$n660 I3=$abc$26600$n661 O=$abc$26600$n2023 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=last_wr I1=$abc$26600$n1489 I2=I2C.wr I3=$false O=$abc$26600$n660 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C_COUNTER[2] I1=$abc$25847$n1407 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1919 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[4] I3=$false O=$abc$26600$n661 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$25847$n654 I2=I2C_COUNTER[1] I3=$false O=$abc$25847$n1918 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$26600$n654_1 I1=$abc$26600$n653_1 I2=$abc$26600$n663 I3=$abc$26600$n664 O=$abc$26600$n2024 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=last_wr I1=$abc$26600$n1491 I2=I2C.wr I3=$false O=$abc$26600$n663 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[5] I3=$false O=$abc$26600$n664 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$26600$n2020 I1=$abc$26600$n667 I2=$false I3=$false O=$abc$26600$n665 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$26600$n652 I2=I2C_COUNTER[1] I3=$false O=$abc$26600$n2020 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000111 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$25847$n1403 I2=$abc$25847$n654 I3=$false O=$abc$25847$n663 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$26600$n1481 I2=$abc$26600$n652 I3=$false O=$abc$26600$n667 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n1919 I1=$abc$25847$n1920 I2=$false I3=$false O=$abc$25847$n665 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$26600$n1487 I2=$abc$26600$n652 I3=$false O=$abc$26600$n2022 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$26600$n633 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$26600$n669 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n667 I1=$abc$25847$n669 I2=i2c_input_data_type[0] I3=$abc$25847$n670_1 O=$abc$25847$n720 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$abc$25847$n610 I1=$abc$25847$n608 I2=$abc$25847$n1218 I3=$abc$25847$n668 O=$abc$25847$n667 +.gate SB_LUT4 I0=$abc$26600$n613 I1=$abc$26600$n621 I2=$abc$26600$n673_1 I3=$abc$26600$n601 O=$abc$26600$n672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$25847$n629 I1=$abc$25847$n602 I2=$abc$25847$n631 I3=$abc$25847$n634 O=$abc$25847$n668 +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$26600$n602 I1=$abc$26600$n646 I2=$abc$26600$n610 I3=$abc$26600$n605_1 O=$abc$26600$n673_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=I2C_COUNTER[1] I1=$abc$25847$n601_1 I2=$abc$25847$n638 I3=$false O=$abc$25847$n669 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$25847$n640 I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$25847$n670_1 +.gate SB_LUT4 I0=$abc$26600$n667 I1=$abc$26600$n2020 I2=$abc$26600$n2022 I3=$abc$26600$n678 O=$abc$26600$n677 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$26600$n2021 I1=$abc$26600$n655_1 I2=$false I3=$false O=$abc$26600$n678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n1918 I1=$abc$25847$n672 I2=$abc$25847$n652 I3=$abc$25847$n1952 O=$abc$25847$n671 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n680 I1=$abc$26600$n650 I2=$abc$26600$n2054 I3=$false O=$abc$26600$n679 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$26600$n2020 I1=$abc$26600$n667 I2=$abc$26600$n2022 I3=$false O=$abc$26600$n680 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$26600$n683 I1=$abc$26600$n685 I2=$abc$26600$n684 I3=$abc$26600$n861 O=$abc$26600$n681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$25847$n663 I1=$abc$25847$n1919 I2=$abc$25847$n1920 I3=$false O=$abc$25847$n672 +.param LUT_INIT 1000100011110000 +.gate SB_LUT4 I0=$abc$26600$n642 I1=$abc$26600$n669 I2=$false I3=$false O=$abc$26600$n861 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$26600$n2014 I1=$abc$26600$n858 I2=$abc$26600$n862 I3=$false O=$abc$26600$n683 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n599 I1=$abc$25847$n642 I2=$abc$25847$n644 I3=$false O=$abc$25847$n1911 +.gate SB_LUT4 I0=$abc$26600$n2054 I1=$abc$26600$n680 I2=$abc$26600$n678 I3=$abc$26600$n862 O=$abc$26600$n684 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$26600$n665 I1=$abc$26600$n678 I2=$abc$26600$n2022 I3=$false O=$abc$26600$n685 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$25847$n597_1 I1=$abc$25847$n1226_1 I2=$abc$25847$n719 I3=$22\i2c_input_data_type[3:0][0] O=$abc$25847$n679 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n862 I1=$abc$26600$n681 I2=$abc$26600$n1286 I3=$abc$26600$n861 O=$abc$26600$n686 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011110100 -.gate SB_LUT4 I0=$abc$25847$n1223_1 I1=$abc$25847$n598_1 I2=$abc$25847$n720 I3=$abc$25847$n671 O=$22\i2c_input_data_type[3:0][0] +.param LUT_INIT 1010111100000011 +.gate SB_LUT4 I0=$abc$26600$n861 I1=$abc$26600$n688 I2=$abc$26600$n683 I3=I2C_OUTPUT_TYPE[1] O=$abc$26600$n687_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$25847$n1226_1 I1=$abc$25847$n597_1 I2=$abc$25847$n719 I3=$false O=$abc$25847$n681 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$25847$n684 I1=$abc$25847$n596 I2=I2C.is_read I3=$false O=$abc$25847$n683 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$25847$n719 I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$false O=$abc$25847$n684 +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$26600$n1286 I1=$abc$26600$n681 I2=$false I3=$false O=$abc$26600$n688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$25847$n685 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$26600$n862 I1=$abc$26600$n1286 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_rd[3:0][3] I1=$2\ring_wr[3:0][3] I2=$abc$25847$n688 I3=$abc$25847$n703 O=$abc$25847$n687 +.gate SB_LUT4 I0=$abc$26600$n692 I1=$abc$26600$n708 I2=$abc$26600$n711 I3=$abc$26600$n713 O=$abc$26600$n691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$25847$n689 I1=$2\ring_wr[3:0][1] I2=ring_rd[1] I3=$false O=$abc$25847$n688 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$2\ring_rd[3:0][3] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$26600$n692 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=ring_rd[3] I1=$abc$26600$n1505 I2=$abc$26600$n694 I3=$false O=$2\ring_rd[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01101001 -.gate SB_LUT4 I0=$abc$25847$n690_1 I1=ring_rd[0] I2=$false I3=$false O=$abc$25847$n689 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$26600$n695 I1=$abc$26600$n706 I2=$false I3=$false O=$abc$26600$n694 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n698 I1=$abc$25847$n691 I2=$abc$25847$n701 I3=I2C.is_read O=$abc$25847$n690_1 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=$abc$26600$n696 I3=$abc$26600$n703 O=$abc$26600$n695 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=ring_rd[2] I3=$2\ring_wr[3:0][2] O=$abc$25847$n691 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=ring_rd[2] I2=ring_rd[0] I3=$2\ring_wr[3:0][0] O=$abc$26600$n696 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=ring_wr[3] I1=$abc$25847$n1540 I2=$abc$25847$n693_1 I3=$false O=$2\ring_wr[3:0][3] +.gate SB_LUT4 I0=ring_wr[2] I1=$abc$26600$n1615 I2=$abc$26600$n698_1 I3=$false O=$2\ring_wr[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n696 I1=$abc$25847$n694 I2=KEYBOARD.isr I3=last_isr O=$abc$25847$n693_1 +.gate SB_LUT4 I0=$abc$26600$n701 I1=$abc$26600$n699 I2=KEYBOARD.isr I3=last_isr O=$abc$26600$n698_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$25847$n526 I1=ring_rd[2] I2=$abc$25847$n1537 I3=$abc$25847$n695 O=$abc$25847$n694 +.gate SB_LUT4 I0=$abc$26600$n668 I1=ring_rd[2] I2=$abc$26600$n1615 I3=$abc$26600$n700 O=$abc$26600$n699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$25847$n1531 I2=ring_rd[3] I3=$abc$25847$n1540 O=$abc$25847$n695 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$26600$n1609 I2=ring_rd[3] I3=$abc$26600$n1618 O=$abc$26600$n700 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$25847$n696 +.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$26600$n701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101001 -.gate SB_LUT4 I0=ring_wr[2] I1=$abc$25847$n1537 I2=$abc$25847$n693_1 I3=$false O=$2\ring_wr[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=ring_rd[0] I2=$2\ring_wr[3:0][1] I3=ring_rd[1] O=$abc$25847$n698 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=ring_wr[0] I1=$abc$25847$n1531 I2=$abc$25847$n693_1 I3=$false O=$2\ring_wr[3:0][0] +.gate SB_LUT4 I0=ring_wr[0] I1=$abc$26600$n1609 I2=$abc$26600$n698_1 I3=$false O=$2\ring_wr[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n693_1 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=ring_rd[1] I2=$false I3=$false O=$abc$26600$n703 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$26600$n698_1 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111000 -.gate SB_LUT4 I0=$abc$25847$n634 I1=$abc$25847$n702_1 I2=$abc$25847$n639 I3=$false O=$abc$25847$n701 +.gate SB_LUT4 I0=ring_wr[3] I1=$abc$26600$n1618 I2=$abc$26600$n698_1 I3=$false O=$2\ring_wr[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$25847$n702_1 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$26600$n632_1 I1=$abc$26600$n707 I2=$abc$26600$n634 I3=I2C.is_read O=$abc$26600$n706 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$26600$n707 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$2\ring_rd[3:0][0] I3=$2\ring_wr[3:0][0] O=$abc$25847$n703 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=ring_rd[2] I1=$abc$25847$n1424 I2=$abc$25847$n690_1 I3=$false O=$2\ring_rd[3:0][2] +.gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$abc$26600$n710 I3=$false O=$abc$26600$n708 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$25847$n1418 I2=$abc$25847$n690_1 I3=$false O=$2\ring_rd[3:0][0] +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=ring_rd[2] I1=$abc$26600$n1502 I2=$abc$26600$n694 I3=$false O=$2\ring_rd[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=ring_rd[3] I1=$abc$25847$n1427 I2=$abc$25847$n690_1 I3=$false O=$2\ring_rd[3:0][3] +.gate SB_LUT4 I0=$abc$26600$n694 I1=ring_rd[0] I2=$abc$26600$n703 I3=$false O=$abc$26600$n710 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$26600$n711 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$26600$n1496 I2=$abc$26600$n694 I3=$false O=$2\ring_rd[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] +.gate SB_LUT4 I0=I2C_TRANS I1=$3\int_tmr[14:0][14] I2=$false I3=$false O=$abc$26600$n713 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1947 I1=$abc$25847$n702_1 I2=$abc$25847$n709 I3=$false O=$abc$25847$n708_1 +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n2050 I1=$abc$26600$n707 I2=$abc$26600$n716 I3=$false O=$abc$26600$n715 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n685 I1=I2C.is_read I2=$false I3=$false O=$abc$25847$n709 +.gate SB_LUT4 I0=$abc$26600$n717 I1=I2C.is_read I2=$false I3=$false O=$abc$26600$n716 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$26600$n717 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n715 I1=$abc$25847$n716_1 I2=$2\I2C_OUTPUT_TYPE[2:0][0] I3=$abc$25847$n718 O=$abc$25847$n710 +.gate SB_LUT4 I0=$abc$26600$n725 I1=$abc$26600$n720 I2=$abc$26600$n727 I3=$2\I2C_OUTPUT_TYPE[2:0][0] O=$abc$26600$n719 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$25847$n713 I1=$abc$25847$n712_1 I2=$abc$25847$n715 I3=$abc$25847$n714 O=$2\I2C_OUTPUT_TYPE[2:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100010000 -.gate SB_LUT4 I0=$abc$25847$n679 I1=$abc$25847$n1333 I2=$abc$25847$n596 I3=$false O=$abc$25847$n712_1 +.gate SB_LUT4 I0=$abc$26600$n721 I1=$abc$26600$n1413 I2=$abc$26600$n595_1 I3=$false O=$abc$26600$n720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$25847$n681 I1=I2C_HID_DESC.DESC_TYPE I2=$abc$25847$n679 I3=$abc$25847$n596 O=$abc$25847$n713 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$26600$n861 I1=$abc$26600$n688 I2=$abc$26600$n683 I3=I2C_OUTPUT_TYPE[2] O=$abc$26600$n721 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=$abc$25847$n685 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$25847$n714 +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$26600$n723 I1=$abc$26600$n595_1 I2=$abc$26600$n724_1 I3=$abc$26600$n726_1 O=$2\I2C_OUTPUT_TYPE[2:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111111100000 +.gate SB_LUT4 I0=$abc$26600$n861 I1=$abc$26600$n688 I2=$abc$26600$n683 I3=I2C_HID_DESC.DESC_TYPE O=$abc$26600$n723 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$26600$n686 I1=$abc$26600$n1411 I2=$abc$26600$n596 I3=$abc$26600$n725 O=$abc$26600$n724_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$26600$n717 I2=$false I3=$false O=$abc$26600$n725 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$25847$n685 I2=$false I3=$false O=$abc$25847$n715 +.gate SB_LUT4 I0=$abc$26600$n717 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$26600$n726_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1335 I1=$abc$25847$n717 I2=$abc$25847$n679 I3=$abc$25847$n596 O=$abc$25847$n716_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$25847$n681 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$25847$n717 +.gate SB_LUT4 I0=$abc$26600$n717 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$26600$n727 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n685 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$25847$n718 +.gate SB_LUT4 I0=$abc$26600$n715 I1=LED1 I2=$false I3=$false O=$abc$26600$n728_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=LED1 I2=$false I3=$false O=$abc$25847$n719_1 +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1744 I2=$false I3=$false O=$abc$26600$n41 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET +.gate SB_LUT4 I0=$abc$26600$n732 I1=$abc$26600$n734 I2=$abc$26600$n735 I3=$false O=$abc$26600$n731 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n733_1 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[13] O=$abc$26600$n732 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$25847$n1053 I3=I2C.FLT_SCL.RESET O=$abc$25847$n101 +.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$26600$n733_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$25847$n8 I2=I2C.FLT_SCL.out I3=$false O=$abc$25847$n1053 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$26600$n734 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[14] O=$abc$26600$n735 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1746 I2=$false I3=$false O=$abc$26600$n44 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1750 I2=$false I3=$false O=$abc$26600$n54 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1751 I2=$false I3=$false O=$abc$26600$n55 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$26600$n98 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$26600$n751 I1=$abc$26600$n753 I2=$abc$26600$n749 I3=$false O=$abc$26600$n232 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n220 I1=$abc$26600$n744 I2=$false I3=$false O=$abc$26600$n743_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$26600$n1134 I2=$abc$26600$n746 I3=$false O=$abc$26600$n744 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$26600$n8 I2=I2C.FLT_SCL.out I3=$false O=$abc$26600$n1134 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11101111 -.gate SB_LUT4 I0=$abc$25847$n724_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$25847$n115 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$26600$n8 I3=$false O=$abc$26600$n746 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$26600$n10 I2=$false I3=$false O=$abc$26600$n747 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n1134 I1=I2C.is_read I2=$false I3=$false O=$abc$26600$n748_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n743_1 I1=$abc$26600$n750 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$26600$n749 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n748_1 I1=$abc$26600$n747 I2=$false I3=$false O=$abc$26600$n750 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n174 I1=$abc$26600$n752 I2=$false I3=$false O=$abc$26600$n751 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$25847$n724_1 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$26600$n1134 I3=$false O=$abc$26600$n752 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$26600$n150 I1=$abc$26600$n176 I2=$abc$26600$n177 I3=$abc$26600$n1995 O=$abc$26600$n753 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$26600$n755 I1=$abc$26600$n753 I2=$abc$26600$n749 I3=$false O=$abc$26600$n239 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n752 I1=$abc$26600$n174 I2=$false I3=$false O=$abc$26600$n755 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n757 I1=$abc$26600$n753 I2=$abc$26600$n749 I3=$false O=$abc$26600$n246 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n752 I1=$abc$26600$n174 I2=$false I3=$false O=$abc$26600$n757 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$26600$n759 I1=$abc$26600$n753 I2=$abc$26600$n749 I3=$false O=$abc$26600$n253 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n752 I1=$abc$26600$n174 I2=$false I3=$false O=$abc$26600$n759 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n751 I1=$abc$26600$n761 I2=$abc$26600$n749 I3=$false O=$abc$26600$n260 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n150 I1=$abc$26600$n177 I2=$abc$26600$n176 I3=$abc$26600$n1995 O=$abc$26600$n761 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$26600$n755 I1=$abc$26600$n761 I2=$abc$26600$n749 I3=$false O=$abc$26600$n267 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n757 I1=$abc$26600$n761 I2=$abc$26600$n749 I3=$false O=$abc$26600$n274 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n759 I1=$abc$26600$n761 I2=$abc$26600$n749 I3=$false O=$abc$26600$n297 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$26600$n1134 I3=I2C.FLT_SCL.RESET O=$abc$26600$n302 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$26600$n767 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$26600$n316 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$26600$n767 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=UART.tx_activity I1=$abc$25847$n726_1 I2=$abc$25847$n728 I3=$abc$25847$n115 O=$abc$25847$n116 +.gate SB_LUT4 I0=UART.tx_activity I1=$abc$26600$n769 I2=$abc$26600$n771 I3=$abc$26600$n316 O=$abc$26600$n317 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$25847$n1169 I1=$abc$25847$n883 I2=$abc$25847$n886 I3=$abc$25847$n887 O=$abc$25847$n726_1 +.gate SB_LUT4 I0=$abc$26600$n1250 I1=$abc$26600$n986 I2=$abc$26600$n989 I3=$abc$26600$n990 O=$abc$26600$n769 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$25847$n1169 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$26600$n1250 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$25847$n729_1 I2=$false I3=$false O=$abc$25847$n728 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$26600$n772 I2=$false I3=$false O=$abc$26600$n771 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$25847$n729_1 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$26600$n772 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$25847$n149 I3=$abc$25847$n732_1 O=$abc$25847$n129 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$26600$n350 I3=$abc$26600$n775 O=$abc$26600$n330 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111111111111 -.gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$25847$n149 +.gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$26600$n350 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$25847$n732_1 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$26600$n775 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n135 I1=$abc$25847$n736 I2=$abc$25847$n734 I3=$false O=$abc$25847$n131 +.gate SB_LUT4 I0=$abc$26600$n336 I1=$abc$26600$n779 I2=$abc$26600$n777 I3=$false O=$abc$26600$n332 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$25847$n735 I3=$abc$25847$n149 O=$abc$25847$n734 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$26600$n778 I3=$abc$26600$n350 O=$abc$26600$n777 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$25847$n735 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$26600$n778 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$25847$n737 I3=$false O=$abc$25847$n736 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$26600$n780 I3=$false O=$abc$26600$n779 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$25847$n897 I1=$abc$25847$n900 I2=$abc$25847$n901 I3=$false O=$abc$25847$n737 +.gate SB_LUT4 I0=$abc$26600$n1000 I1=$abc$26600$n1003 I2=$abc$26600$n1004 I3=$false O=$abc$26600$n780 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$25847$n135 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$26600$n336 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$25847$n740 I1=I2C.wr I2=last_wr I3=$abc$25847$n135 O=$abc$25847$n136 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n740 +.gate SB_LUT4 I0=$abc$26600$n784 I1=$abc$26600$n783 I2=$abc$26600$n336 I3=$false O=$abc$26600$n337 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$25847$n141 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$26600$n717 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$26600$n783 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$25847$n141 I3=$abc$25847$n149 O=$abc$25847$n150 +.gate SB_LUT4 I0=$abc$26600$n342 I1=I2C.wr I2=last_wr I3=$false O=$abc$26600$n784 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000001 +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$26600$n342 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$26600$n342 I3=$abc$26600$n350 O=$abc$26600$n351 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n685 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n157 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n715 I2=I2C.FLT_SCL.RESET I3=$abc$25847$n745 O=$abc$25847$n184 +.gate SB_LUT4 I0=$abc$26600$n783 I1=$abc$26600$n336 I2=$false I3=$false O=$abc$26600$n358 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$26600$n716 I2=$abc$26600$n789 I3=I2C.FLT_SCL.RESET O=$abc$26600$n385 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$abc$25847$n679 I1=$abc$25847$n684 I2=$abc$25847$n746 I3=I2C.FLT_SCL.RESET O=$abc$25847$n745 +.param LUT_INIT 0001000011111111 +.gate SB_LUT4 I0=$abc$26600$n792 I1=$abc$26600$n790 I2=I2C.FLT_SCL.RESET I3=$abc$26600$n783 O=$abc$26600$n789 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][2] I1=$abc$25847$n716 I2=$false I3=$false O=$abc$25847$n746 +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][2] I1=$abc$26600$n858 I2=$false I3=$false O=$abc$26600$n790 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n748 I1=$abc$25847$n1911 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] +.gate SB_LUT4 I0=$abc$26600$n688 I1=$abc$26600$n2014 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n597_1 I1=$abc$25847$n1226_1 I2=$false I3=$false O=$abc$25847$n748 +.gate SB_LUT4 I0=$abc$26600$n861 I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$false O=$abc$26600$n792 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1700 I2=$false I3=$false O=$abc$25847$n236 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n752 I1=$abc$25847$n754 I2=$abc$25847$n755 I3=$false O=$abc$25847$n751 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n753 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[13] O=$abc$25847$n752 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$25847$n753 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$25847$n754 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[14] O=$abc$25847$n755 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n757 I1=$abc$25847$n787 I2=$abc$25847$n794 I3=$abc$25847$n828_1 O=$abc$25847$n267 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$26600$n605 I2=$abc$26600$n798 I3=$abc$26600$n797 O=$abc$26600$n796 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$25847$n783 I1=$abc$25847$n780 I2=$abc$25847$n758 I3=$abc$25847$n149 O=$abc$25847$n757 +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$26600$n812_1 I2=$abc$26600$n816_1 I3=$abc$26600$n798 O=$abc$26600$n797 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$25847$n778 I1=$abc$25847$n759 I2=$abc$25847$n779 I3=$false O=$abc$25847$n758 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$25847$n760 I1=$abc$25847$n775 I2=$false I3=$false O=$abc$25847$n759 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$26600$n810 I1=$abc$26600$n799 I2=$false I3=$false O=$abc$26600$n798 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n761 I1=$abc$25847$n774 I2=$false I3=$false O=$abc$25847$n760 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n800 I1=$abc$26600$n809 I2=$false I3=$false O=$abc$26600$n799 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n762_1 I1=$abc$25847$n770 I2=$abc$25847$n939 I3=$false O=$abc$25847$n761 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n763 I1=$abc$25847$n768_1 I2=$abc$25847$n1697 I3=$false O=$abc$25847$n762_1 +.gate SB_LUT4 I0=$abc$26600$n1744 I1=$abc$26600$n1746 I2=$abc$26600$n801 I3=$abc$26600$n98 O=$abc$26600$n800 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$26600$n802 I1=$abc$26600$n940 I2=$abc$26600$n808 I3=$false O=$abc$26600$n801 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n943 I1=$abc$25847$n944 I2=$abc$25847$n946 I3=$abc$25847$n947 O=$abc$25847$n763 +.gate SB_LUT4 I0=$abc$26600$n944 I1=$abc$26600$n945 I2=$abc$26600$n947 I3=$abc$26600$n948 O=$abc$26600$n802 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1704 I2=$false I3=$false O=$abc$25847$n943 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1705 I2=$false I3=$false O=$abc$25847$n944 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1706 I2=$false I3=$false O=$abc$25847$n946 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1707 I2=$false I3=$false O=$abc$25847$n947 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n817 I1=$abc$25847$n1698 I2=$abc$25847$n1700 I3=$false O=$abc$25847$n768_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1699 I2=$false I3=$false O=$abc$25847$n817 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n805 I1=$abc$25847$n1695 I2=$abc$25847$n823 I3=$false O=$abc$25847$n770 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1693 I2=$false I3=$false O=$abc$25847$n805 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1755 I2=$false I3=$false O=$abc$26600$n944 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$25847$n823 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1696 I2=$false I3=$false O=$abc$25847$n939 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1756 I2=$false I3=$false O=$abc$26600$n945 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1950 I1=$abc$25847$n763 I2=$false I3=$false O=$abc$25847$n774 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1757 I2=$false I3=$false O=$abc$26600$n947 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n823 I1=$abc$25847$n776 I2=$abc$25847$n762_1 I3=$false O=$abc$25847$n775 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n805 I1=$abc$25847$n808 I2=$abc$25847$n1696 I3=$false O=$abc$25847$n776 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1695 I2=$false I3=$false O=$abc$25847$n808 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1758 I2=$false I3=$false O=$abc$26600$n948 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n774 I1=$abc$25847$n761 I2=$false I3=$false O=$abc$25847$n778 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1748 I2=$false I3=$false O=$abc$26600$n940 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n149 I2=$false I3=$false O=$abc$25847$n779 +.gate SB_LUT4 I0=$abc$26600$n1747 I1=$abc$26600$n1749 I2=$abc$26600$n1750 I3=$abc$26600$n1751 O=$abc$26600$n808 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$26600$n2053 I1=$abc$26600$n802 I2=$false I3=$false O=$abc$26600$n809 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n781 I1=$abc$25847$n779 I2=$abc$25847$n782 I3=$false O=$abc$25847$n780 +.gate SB_LUT4 I0=$abc$26600$n801 I1=$abc$26600$n811 I2=$abc$26600$n1746 I3=$false O=$abc$26600$n810 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n775 I1=$abc$25847$n760 I2=$false I3=$false O=$abc$25847$n781 +.gate SB_LUT4 I0=$abc$26600$n41 I1=$abc$26600$n98 I2=$false I3=$false O=$abc$26600$n811 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$25847$n782 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$25847$n786_1 I2=$abc$25847$n784 I3=$false O=$abc$25847$n783 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$26600$n815 I2=$abc$26600$n813 I3=$false O=$abc$26600$n812_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=$abc$25847$n785_1 I2=$false I3=$false O=$abc$25847$n784 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=$abc$26600$n814 I2=$false I3=$false O=$abc$26600$n813 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$25847$n785_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$26600$n814 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$25847$n786_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$26600$n815 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n790 I1=$abc$25847$n789 I2=KEYBOARD.isr_internal I3=$abc$25847$n788 O=$abc$25847$n787 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000001110111 -.gate SB_LUT4 I0=$abc$25847$n781 I1=$abc$25847$n779 I2=$false I3=$false O=$abc$25847$n788 +.gate SB_LUT4 I0=$abc$26600$n605 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$26600$n816_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$26600$n818 I2=$false I3=$false O=$abc$26600$n605 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n779 I1=$abc$25847$n781 I2=$abc$25847$n782 I3=$false O=$abc$25847$n789 +.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$26600$n818 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$26600$n798 I1=$abc$26600$n821 I2=$abc$26600$n825 I3=$false O=$abc$26600$n820 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n791 I1=KEYBOARD.report_adress_rd[2] I2=$abc$25847$n793 I3=KEYBOARD.report_adress_rd[3] O=$abc$25847$n790 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$25847$n792 I3=$false O=$abc$25847$n791 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$25847$n792 +.gate SB_LUT4 I0=$abc$26600$n822 I1=$abc$26600$n824 I2=KEYBOARD.is_pressed I3=$false O=$abc$26600$n821 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$false I3=$false O=$abc$25847$n793 +.gate SB_LUT4 I0=$abc$26600$n823 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$26600$n822 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n812_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$26600$n823 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n795 I1=$abc$25847$n801 I2=$abc$25847$n809 I3=$abc$25847$n280 O=$abc$25847$n794 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n796 I1=$abc$25847$n800 I2=$abc$25847$n781 I3=$false O=$abc$25847$n795 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n783 I1=$abc$25847$n797 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n796 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n799 I1=$abc$25847$n798 I2=$false I3=$false O=$abc$25847$n797 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.report_wr_en I2=$false I3=$false O=$abc$26600$n824 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$26600$n830 I1=$abc$26600$n826 I2=$false I3=$false O=$abc$26600$n825 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=$abc$25847$n793 I2=KEYBOARD.report_adress_rd[3] I3=$abc$25847$n791 O=$abc$25847$n798 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000010111111 -.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[3] I2=$abc$25847$n793 I3=$false O=$abc$25847$n799 +.gate SB_LUT4 I0=$abc$26600$n827 I1=$abc$26600$n829 I2=IS_RAM_INIT I3=$false O=$abc$26600$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n782 I3=$false O=$abc$25847$n800 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$26600$n828 I3=$false O=$abc$26600$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$25847$n802 I1=$abc$25847$n808_1 I2=$abc$25847$n781 I3=$false O=$abc$25847$n801 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$26600$n828 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n803 I1=$abc$25847$n796 I2=$false I3=$false O=$abc$25847$n802 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n804 I1=$abc$25847$n805_1 I2=$abc$25847$n806 I3=$abc$25847$n807 O=$abc$25847$n803 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[0] I2=KEYBOARD.report_adress_rd[1] I3=$false O=$abc$26600$n829 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$26600$n831 I1=$abc$26600$n832_1 I2=$abc$26600$n833 I3=$abc$26600$n834 O=$abc$26600$n830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$25847$n804 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.REPORT.r_data[4] O=$abc$26600$n831 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$25847$n805_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.REPORT.r_data[6] O=$abc$26600$n832_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$25847$n806 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.REPORT.r_data[2] O=$abc$26600$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$25847$n807 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.REPORT.r_data[0] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.REPORT.r_data[7] O=$abc$26600$n834 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.is_pressed I2=$abc$25847$n782 I3=$false O=$abc$25847$n808_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n821 I1=$abc$25847$n820 I2=$abc$25847$n810 I3=I2C.FLT_SCL.RESET O=$abc$25847$n809 +.gate SB_LUT4 I0=$abc$26600$n798 I1=$abc$26600$n836 I2=$abc$26600$n1340_1 I3=$abc$26600$n350 O=$abc$26600$n835_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n236 I2=$abc$25847$n1755 I3=$false O=$abc$25847$n810 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$26600$n824 I2=$abc$26600$n822 I3=$false O=$abc$26600$n836 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n817_1 I1=$abc$25847$n818 I2=$abc$25847$n1228 I3=$abc$25847$n819 O=$abc$25847$n1755 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000000010001 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1703 I2=$false I3=$false O=$abc$25847$n814 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=$abc$26600$n842 I2=$false I3=$false O=$abc$26600$n841 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1701 I2=$false I3=$false O=$abc$25847$n815 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$26600$n842 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[0] I1=KEYBOARD.COLUMN_SHADOW[1] I2=$abc$25847$n814 I3=$abc$25847$n815 O=$abc$25847$n817_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[4] I1=KEYBOARD.COLUMN_SHADOW[5] I2=$abc$25847$n815 I3=$abc$25847$n814 O=$abc$25847$n818 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1702 I2=$false I3=$false O=$abc$25847$n819 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n774 I2=$false I3=$false O=$abc$25847$n820 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.is_ghost I1=$abc$25847$n805 I2=$abc$25847$n822 I3=$false O=$abc$25847$n821 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n817 I1=$abc$25847$n823 I2=$abc$25847$n823_1 I3=$false O=$abc$25847$n822 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$25847$n939 I1=$abc$25847$n808 I2=$abc$25847$n940 I3=$abc$25847$n941 O=$abc$25847$n823_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1697 I2=$false I3=$false O=$abc$25847$n940 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$26600$n845_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1698 I2=$false I3=$false O=$abc$25847$n941 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$26600$n847_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n236 I1=$abc$25847$n1755 I2=$abc$25847$n827 I3=$false O=$abc$25847$n280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n820 I1=$abc$25847$n149 I2=$false I3=$false O=$abc$25847$n827 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$26600$n850_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n1262_1 I1=$abc$25847$n859_1 I2=$abc$25847$n789 I3=$false O=$abc$25847$n828_1 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$26600$n813 I3=$false O=$abc$26600$n853_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00011111 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$25847$n836 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[2] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$25847$n838 O=$abc$25847$n837 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$26600$n856 I1=KEYBOARD.REPORT.r_data[6] I2=KEYBOARD.REPORT.r_data[1] I3=$abc$26600$n855 O=$abc$26600$n854 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$25847$n838 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$25847$n784 I3=$false O=$abc$25847$n840_1 +.param LUT_INIT 0111000001110111 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=KEYBOARD.is_pressed I2=$abc$26600$n841 I3=$false O=$abc$26600$n855 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$25847$n842 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$25847$n842 O=$abc$25847$n844 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[6] I2=$abc$26600$n845_1 I3=KEYBOARD.kbd_code_hid[2] O=$abc$26600$n856 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[5] I2=$abc$25847$n836 I3=KEYBOARD.kbd_code_hid[2] O=$abc$25847$n846_1 +.param LUT_INIT 0101001100110011 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[4] I2=$abc$26600$n814 I3=KEYBOARD.kbd_code_hid[2] O=$abc$26600$n858_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=KEYBOARD.is_pressed I2=$abc$25847$n850 I3=$false O=$abc$25847$n849 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=$abc$25847$n836 I2=$false I3=$false O=$abc$25847$n850 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[6] I2=$abc$25847$n838 I3=KEYBOARD.kbd_code_hid[2] O=$abc$25847$n851 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[2] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$26600$n845_1 O=$abc$26600$n861_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[5] I2=$abc$26600$n842 I3=KEYBOARD.kbd_code_hid[2] O=$abc$26600$n865_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[4] I2=$abc$25847$n785_1 I3=KEYBOARD.kbd_code_hid[2] O=$abc$25847$n855 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[7] I2=$abc$26600$n850_1 I3=KEYBOARD.kbd_code_hid[2] O=$abc$26600$n867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100110011 -.gate SB_LUT4 I0=$abc$25847$n842 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$25847$n857 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n791 I1=$abc$25847$n799 I2=$false I3=$false O=$abc$25847$n859_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n788 I1=$abc$25847$n820 I2=$abc$25847$n149 I3=$false O=$abc$25847$n284 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$26600$n850_1 O=$abc$26600$n868_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=$abc$26600$n872_1 I1=IS_RAM_INIT I2=$abc$26600$n55 I3=$false O=$abc$26600$n871_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$25847$n781 I1=KEYBOARD.isr_internal I2=$abc$25847$n779 I3=$abc$25847$n135 O=$abc$25847$n290 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$25847$n757 I1=$abc$25847$n787 I2=$abc$25847$n863_1 I3=$abc$25847$n868 O=$abc$25847$n318 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$25847$n864 I1=$abc$25847$n865_1 I2=$abc$25847$n867 I3=$abc$25847$n820 O=$abc$25847$n863_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n802 I1=$abc$25847$n800 I2=$false I3=$false O=$abc$25847$n864 +.gate SB_LUT4 I0=$abc$26600$n873 I1=$abc$26600$n877 I2=$false I3=$false O=$abc$26600$n872_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n866 I1=$abc$25847$n808_1 I2=$abc$25847$n781 I3=$false O=$abc$25847$n865_1 +.gate SB_LUT4 I0=$abc$26600$n44 I1=$abc$26600$n54 I2=$abc$26600$n874_1 I3=$false O=$abc$26600$n873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n796 I1=$abc$25847$n803 I2=$false I3=$false O=$abc$25847$n866 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$26600$n940 I1=$abc$26600$n941 I2=$abc$26600$n939 I3=$false O=$abc$26600$n874_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1749 I2=$false I3=$false O=$abc$26600$n941 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n781 I1=$abc$25847$n779 I2=KEYBOARD.report_wr_en I3=KEYBOARD.isr_internal O=$abc$25847$n867 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$25847$n869 I1=$abc$25847$n801 I2=$abc$25847$n789 I3=$abc$25847$n859_1 O=$abc$25847$n868 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101110111011 -.gate SB_LUT4 I0=$abc$25847$n870 I1=$abc$25847$n871_1 I2=$false I3=$false O=$abc$25847$n869 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1747 I2=$false I3=$false O=$abc$26600$n939 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$25847$n870 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$25847$n871_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n874 I1=$abc$25847$n873 I2=$abc$25847$n875 I3=$abc$25847$n878_1 O=$abc$25847$n367 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n98 I1=$abc$26600$n41 I2=$false I3=$false O=$abc$26600$n877 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[0] I1=$abc$26600$n882 I2=$abc$26600$n880 I3=KEYBOARD.COLUMN_SHADOW[7] O=$abc$26600$n879 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$25847$n783 I1=$abc$25847$n780 I2=$abc$25847$n758 I3=$false O=$abc$25847$n873 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$26600$n881 I1=$abc$26600$n1752 I2=$abc$26600$n1754 I3=$false O=$abc$26600$n880 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$25847$n798 I1=$abc$25847$n789 I2=$false I3=$false O=$abc$25847$n874 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1753 I2=$false I3=$false O=$abc$26600$n881 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n869 I1=$abc$25847$n801 I2=$abc$25847$n867 I3=$abc$25847$n876 O=$abc$25847$n875 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$25847$n1755 I1=$abc$25847$n877_1 I2=$abc$25847$n827 I3=$abc$25847$n135 O=$abc$25847$n876 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$25847$n1700 I1=$abc$25847$n805 I2=$abc$25847$n822 I3=$false O=$abc$25847$n877_1 +.gate SB_LUT4 I0=$abc$26600$n881 I1=$abc$26600$n883 I2=$abc$26600$n884 I3=$false O=$abc$26600$n882 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n865_1 I1=$abc$25847$n886_1 I2=$abc$25847$n887_1 I3=$abc$25847$n879 O=$abc$25847$n878_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$25847$n236 I1=$abc$25847$n880_1 I2=$abc$25847$n1755 I3=$abc$25847$n827 O=$abc$25847$n879 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010001111111111 -.gate SB_LUT4 I0=$abc$25847$n819 I1=$abc$25847$n884 I2=$abc$25847$n885 I3=$abc$25847$n881_1 O=$abc$25847$n880_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111000000000 -.gate SB_LUT4 I0=$abc$25847$n882 I1=$abc$25847$n883_1 I2=$abc$25847$n819 I3=$abc$25847$n877_1 O=$abc$25847$n881_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.RAM.r_data[7] I2=$abc$25847$n815 I3=$abc$25847$n814 O=$abc$25847$n882 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.RAM.r_data[3] I2=$abc$25847$n814 I3=$abc$25847$n815 O=$abc$25847$n883_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.RAM.r_data[1] I2=$abc$25847$n814 I3=$abc$25847$n815 O=$abc$25847$n884 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=KEYBOARD.RAM.r_data[5] I2=$abc$25847$n815 I3=$abc$25847$n814 O=$abc$25847$n885 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1752 I2=$false I3=$false O=$abc$26600$n883 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1754 I2=$false I3=$false O=$abc$26600$n884 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[1] I1=KEYBOARD.COLUMN_SHADOW[5] I2=$abc$26600$n884 I3=$abc$26600$n887 O=$abc$26600$n886_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$25847$n866 I1=$abc$25847$n800 I2=$abc$25847$n781 I3=$false O=$abc$25847$n886_1 +.gate SB_LUT4 I0=$abc$26600$n731 I1=$abc$26600$n1753 I2=$abc$26600$n1752 I3=$false O=$abc$26600$n887 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n888 I1=$abc$25847$n820 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n887_1 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$26600$n1752 I1=$abc$26600$n881 I2=$abc$26600$n1754 I3=$false O=$abc$26600$n891 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n889_1 I1=$abc$25847$n821 I2=$abc$25847$n810 I3=$false O=$abc$25847$n888 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n873 I1=$abc$26600$n811 I2=$false I3=$false O=$abc$26600$n892 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n827 I1=KEYBOARD.report_adress_rd[3] I2=$abc$26600$n829 I3=$false O=$abc$26600$n900 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$26600$n906 I1=$abc$26600$n925 I2=$abc$26600$n902 I3=$false O=$abc$26600$n901 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01010011 +.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$26600$n798 I2=$false I3=$false O=$abc$26600$n902 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n812_1 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$26600$n905 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n827 I1=$abc$26600$n829 I2=KEYBOARD.is_pressed I3=$abc$26600$n605 O=$abc$26600$n906 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$26600$n605 I1=$abc$26600$n798 I2=$abc$26600$n908_1 I3=$false O=$abc$26600$n479 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I2=$abc$25847$n890 I3=$false O=$abc$25847$n889_1 +.gate SB_LUT4 I0=$abc$26600$n818 I1=$abc$26600$n809 I2=$abc$26600$n350 I3=$false O=$abc$26600$n908_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I1=$abc$25847$n891 I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I3=$false O=$abc$25847$n890 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$26600$n798 I1=KEYBOARD.isr_internal I2=$abc$26600$n605 I3=$abc$26600$n336 O=$abc$26600$n484 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$26600$n912 I1=KEYBOARD.report_wr_en I2=$abc$26600$n816_1 I3=$false O=$abc$26600$n911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] O=$abc$25847$n891 +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=$abc$26600$n798 I1=$abc$26600$n821 I2=$abc$26600$n826 I3=$abc$26600$n830 O=$abc$26600$n912 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$26600$n917 I1=$abc$26600$n918 I2=$false I3=$false O=$abc$26600$n916 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$26600$n917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n384 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$26600$n918 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$26600$n1322 I1=$abc$26600$n925 I2=$abc$26600$n928_1 I3=$false O=$abc$26600$n924 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00011111 -.gate SB_LUT4 I0=$abc$25847$n888 I1=$abc$25847$n894 I2=$abc$25847$n827 I3=$false O=$abc$25847$n387 +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=$abc$26600$n55 I1=$abc$26600$n926 I2=$abc$26600$n605 I3=$false O=$abc$26600$n925 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n1755 I1=$abc$25847$n877_1 I2=$abc$25847$n827 I3=$abc$25847$n879 O=$abc$25847$n894 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$25847$n896_1 I1=$abc$25847$n135 I2=$false I3=$false O=$abc$25847$n392 +.gate SB_LUT4 I0=$abc$26600$n1822 I1=$abc$26600$n809 I2=$false I3=$false O=$abc$26600$n926 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n55 I1=$abc$26600$n872_1 I2=$false I3=$false O=$abc$26600$n927_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n751 I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n896_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n898 I2=$abc$25847$n896_1 I3=$false O=$abc$25847$n396 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n899 I1=$abc$25847$n754 I2=$abc$25847$n755 I3=$false O=$abc$25847$n898 +.gate SB_LUT4 I0=$abc$26600$n1822 I1=$abc$26600$n929 I2=$false I3=$false O=$abc$26600$n928_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n809 I1=I2C.FLT_SCL.RESET I2=$abc$26600$n818 I3=$false O=$abc$26600$n929 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=KEYBOARD.row_time[13] I3=$abc$25847$n900_1 O=$abc$25847$n899 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$25847$n900_1 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[3] I1=$abc$26600$n935_1 I2=$abc$26600$n880 I3=KEYBOARD.RAM.r_data[7] O=$abc$26600$n934_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n758 I1=$abc$25847$n887_1 I2=$abc$25847$n894 I3=$abc$25847$n384 O=$abc$25847$n410 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$26600$n1754 I1=$abc$26600$n1752 I2=$abc$26600$n881 I3=$false O=$abc$26600$n935_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[1] I1=KEYBOARD.RAM.r_data[5] I2=$abc$26600$n884 I3=$abc$26600$n887 O=$abc$26600$n936 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$25847$n782 I1=$abc$25847$n781 I2=$abc$25847$n779 I3=$abc$25847$n384 O=$abc$25847$n415 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=$abc$26600$n938 I1=$abc$26600$n945_1 I2=$false I3=$false O=$abc$26600$n937 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n939_1 I1=$abc$26600$n943 I2=$abc$26600$n809 I3=$abc$26600$n605 O=$abc$26600$n938 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=$abc$25847$n908 I1=$abc$25847$n912 I2=$abc$25847$n904 I3=$false O=$abc$25847$n434 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$26600$n942 I1=$abc$26600$n941_1 I2=$abc$26600$n940_1 I3=$false O=$abc$26600$n939_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n906 I1=$abc$25847$n905 I2=I2C.FLT_SCL.RESET I3=$abc$25847$n907 O=$abc$25847$n904 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=KEYBOARD.is_ghost I1=$abc$26600$n872_1 I2=$false I3=$false O=$abc$26600$n940_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] O=$abc$26600$n941_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$25847$n10 I2=$abc$25847$n906 I3=$abc$25847$n416 O=$abc$25847$n905 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] O=$abc$26600$n942 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011111000 -.gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.is_read I2=$false I3=$false O=$abc$25847$n906 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$26600$n892 I1=$abc$26600$n1822 I2=$false I3=$false O=$abc$26600$n943 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$25847$n8 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$25847$n907 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n929 I1=$abc$26600$n947_1 I2=$abc$26600$n948_1 I3=$abc$26600$n946 O=$abc$26600$n945_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100010000 -.gate SB_LUT4 I0=$abc$25847$n45 I1=$abc$25847$n909 I2=$false I3=$false O=$abc$25847$n908 +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$26600$n927_1 I1=$abc$26600$n928_1 I2=$false I3=$false O=$abc$26600$n946 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$25847$n1053 I3=$false O=$abc$25847$n909 +.gate SB_LUT4 I0=$abc$26600$n940_1 I1=$abc$26600$n55 I2=$false I3=$false O=$abc$26600$n947_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n800 I1=$abc$26600$n810 I2=$abc$26600$n605 I3=$false O=$abc$26600$n948_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$25847$n26 I1=$abc$25847$n52 I2=$abc$25847$n53 I3=$abc$25847$n1892 O=$abc$25847$n912 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$26600$n827 I1=$abc$26600$n823 I2=$abc$26600$n952 I3=$abc$26600$n829 O=$abc$26600$n951_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$25847$n914_1 I1=$abc$25847$n912 I2=$abc$25847$n904 I3=$false O=$abc$25847$n441 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$26600$n818 I1=KEYBOARD.isr_internal I2=KEYBOARD.report_adress_rd[3] I3=$false O=$abc$26600$n952 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n909 I1=$abc$25847$n45 I2=$false I3=$false O=$abc$25847$n914_1 +.gate SB_LUT4 I0=$abc$26600$n827 I1=$abc$26600$n1188 I2=$abc$26600$n902 I3=$abc$26600$n816_1 O=$abc$26600$n953_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100111111111111 +.gate SB_LUT4 I0=$abc$26600$n818 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$26600$n604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n916_1 I1=$abc$25847$n912 I2=$abc$25847$n904 I3=$false O=$abc$25847$n452 +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$26600$n938 I1=$abc$26600$n924 I2=$abc$26600$n957 I3=$false O=$abc$26600$n607 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n909 I1=$abc$25847$n45 I2=$false I3=$false O=$abc$25847$n916_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n918 I1=$abc$25847$n912 I2=$abc$25847$n904 I3=$false O=$abc$25847$n462 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n946 I1=$abc$26600$n947_1 I2=$abc$26600$n929 I3=$false O=$abc$26600$n957 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$26600$n731 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$26600$n611 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111011111111 +.gate SB_LUT4 I0=$abc$26600$n960 I1=I2C.FLT_SCL.RESET I2=$abc$26600$n818 I3=$false O=$abc$26600$n617 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n909 I1=$abc$25847$n45 I2=$false I3=$false O=$abc$25847$n918 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n908 I1=$abc$25847$n920_1 I2=$abc$25847$n904 I3=$false O=$abc$25847$n469 +.gate SB_LUT4 I0=$abc$26600$n961_1 I1=$abc$26600$n734 I2=$abc$26600$n735 I3=$false O=$abc$26600$n960 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n26 I1=$abc$25847$n53 I2=$abc$25847$n52 I3=$abc$25847$n1892 O=$abc$25847$n920_1 +.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=KEYBOARD.row_time[13] I3=$abc$26600$n962 O=$abc$26600$n961_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$25847$n914_1 I1=$abc$25847$n920_1 I2=$abc$25847$n904 I3=$false O=$abc$25847$n476 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$26600$n962 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$26600$n937 I1=$abc$26600$n924 I2=$abc$26600$n604 I3=$false O=$abc$26600$n627 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n916_1 I1=$abc$25847$n920_1 I2=$abc$25847$n904 I3=$false O=$abc$25847$n485 +.gate SB_LUT4 I0=$abc$26600$n816_1 I1=$abc$26600$n902 I2=$abc$26600$n965 I3=$false O=$abc$26600$n632 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n918 I1=$abc$25847$n920_1 I2=$abc$25847$n904 I3=$false O=$abc$25847$n492 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$26600$n605 I1=$abc$26600$n798 I2=$abc$26600$n604 I3=$false O=$abc$26600$n965 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n1174 I1=$abc$25847$n926 I2=$abc$25847$n1175 I3=$abc$25847$n892 O=$abc$25847$n501 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$26600$n1255 I1=$abc$26600$n968 I2=$abc$26600$n1256 I3=$abc$26600$n995 O=$abc$26600$n643 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$25847$n889 O=$abc$25847$n1174 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$26600$n992 O=$abc$26600$n1255 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$25847$n926 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$26600$n968 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$25847$n1175 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$26600$n1256 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$25847$n926 O=$abc$25847$n502 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$26600$n968 O=$abc$26600$n644 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111011111111 -.gate SB_LUT4 I0=$abc$25847$n1177 I1=$abc$25847$n931 I2=$abc$25847$n1178 I3=$abc$25847$n896 O=$abc$25847$n511 +.gate SB_LUT4 I0=$abc$26600$n1258 I1=$abc$26600$n973_1 I2=$abc$26600$n1259 I3=$abc$26600$n999 O=$abc$26600$n653 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$25847$n893 O=$abc$25847$n1177 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$26600$n996 O=$abc$26600$n1258 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$25847$n931 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$26600$n973_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$25847$n1178 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$26600$n1259 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$25847$n931 O=$abc$25847$n512 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$26600$n973_1 O=$abc$26600$n654 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111011111111 -.gate SB_LUT4 I0=$abc$25847$n748 I1=$abc$25847$n719 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] +.gate SB_LUT4 I0=$abc$26600$n688 I1=$abc$26600$n861 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n715 I1=$abc$25847$n716_1 I2=$abc$25847$n718 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.gate SB_LUT4 I0=$abc$26600$n720 I1=$abc$26600$n725 I2=$abc$26600$n727 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 -.gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$25847$n1056 +.gate SB_LUT4 I0=$abc$26600$n1134 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$26600$n1137 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$25847$n1058 +.gate SB_LUT4 I0=$abc$26600$n1134 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$26600$n1139 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$25847$n1060 +.gate SB_LUT4 I0=$abc$26600$n1134 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$26600$n1141 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$25847$n1053 I2=$false I3=$false O=$abc$25847$n1914 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$26600$n1134 I2=$false I3=$false O=$abc$26600$n2017 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$25847$n945 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$26600$n1007 I2=$abc$26600$n983_1 I3=$false O=$abc$26600$n1153 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$26600$n984_1 I1=$abc$26600$n1008 I2=$abc$26600$n748_1 I3=$abc$26600$n999_1 O=$abc$26600$n983_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$26600$n996_1 I1=$abc$26600$n993 I2=$abc$26600$n176 I3=$abc$26600$n985 O=$abc$26600$n984_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$26600$n986_1 I1=$abc$26600$n989_1 I2=$abc$26600$n220 I3=$abc$26600$n992_1 O=$abc$26600$n985 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$26600$n988 I1=$abc$26600$n987 I2=$abc$26600$n176 I3=$abc$26600$n759 O=$abc$26600$n986_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=REPORT_DATA.rdata[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$26600$n987 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$25847$n946_1 +.gate SB_LUT4 I0=REPORT_DATA.rdata[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$26600$n988 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$25847$n949 +.gate SB_LUT4 I0=$abc$26600$n991 I1=$abc$26600$n990_1 I2=$abc$26600$n176 I3=$abc$26600$n755 O=$abc$26600$n989_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=REPORT_DATA.rdata[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$26600$n990_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$25847$n950_1 +.gate SB_LUT4 I0=REPORT_DATA.rdata[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$26600$n991 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n952_1 I1=$abc$25847$n955_1 I2=$abc$25847$n416 I3=$abc$25847$n958_1 O=$abc$25847$n951 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$25847$n954 I1=$abc$25847$n953_1 I2=$abc$25847$n52 I3=$abc$25847$n918 O=$abc$25847$n952_1 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$26600$n10 I2=$false I3=$false O=$abc$26600$n992_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$26600$n995_1 I1=$abc$26600$n994 I2=$abc$26600$n174 I3=$abc$26600$n752 O=$abc$26600$n993 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$25847$n953_1 +.param LUT_INIT 1111110011111010 +.gate SB_LUT4 I0=REPORT_DATA.rdata[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$26600$n994 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$25847$n954 +.gate SB_LUT4 I0=REPORT_DATA.rdata[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$26600$n995_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n957_1 I1=$abc$25847$n956 I2=$abc$25847$n52 I3=$abc$25847$n914_1 O=$abc$25847$n955_1 +.gate SB_LUT4 I0=$abc$26600$n998 I1=$abc$26600$n997 I2=$abc$26600$n174 I3=$abc$26600$n752 O=$abc$26600$n996_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$25847$n956 +.param LUT_INIT 1111110011111010 +.gate SB_LUT4 I0=REPORT_DATA.rdata[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$26600$n997 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$25847$n957_1 +.gate SB_LUT4 I0=REPORT_DATA.rdata[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$26600$n998 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$25847$n10 I2=$false I3=$false O=$abc$25847$n958_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n962 I1=$abc$25847$n963 I2=$false I3=$false O=$abc$25847$n961 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.is_ack I2=$false I3=$false O=$abc$25847$n962 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n958_1 I1=$abc$25847$n416 I2=$false I3=$false O=$abc$25847$n963 +.gate SB_LUT4 I0=$abc$26600$n1006 I1=$abc$26600$n748_1 I2=$abc$26600$n744 I3=$abc$26600$n1000_1 O=$abc$26600$n999_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000011111 +.gate SB_LUT4 I0=$abc$26600$n1003_1 I1=$abc$26600$n1001 I2=$abc$26600$n744 I3=$false O=$abc$26600$n1000_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n1002 I1=$abc$26600$n992_1 I2=$abc$26600$n220 I3=$false O=$abc$26600$n1001 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n1134 I1=I2C.is_ack I2=$false I3=$false O=$abc$26600$n1002 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n965 I1=$abc$25847$n966 I2=$false I3=$false O=$abc$25847$n964 +.gate SB_LUT4 I0=$abc$26600$n1004_1 I1=$abc$26600$n1005 I2=$false I3=$false O=$abc$26600$n1003_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C.is_adress I1=$abc$25847$n1053 I2=$false I3=$false O=$abc$25847$n965 +.gate SB_LUT4 I0=I2C.is_adress I1=$abc$26600$n1134 I2=$false I3=$false O=$abc$26600$n1004_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$25847$n630 I2=I2C.received_byte[3] I3=I2C.received_byte[6] O=$abc$25847$n966 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$26600$n609 I2=I2C.received_byte[3] I3=I2C.received_byte[6] O=$abc$26600$n1005 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$25847$n8 I3=I2C.SDA_DIR O=$abc$25847$n968_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$25847$n962 I1=$abc$25847$n416 I2=$abc$25847$n958_1 I3=$abc$25847$n968_1 O=$abc$25847$n969 +.gate SB_LUT4 I0=$abc$26600$n220 I1=$abc$26600$n992_1 I2=$abc$26600$n1007 I3=$false O=$abc$26600$n1006 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$26600$n746 I1=$abc$26600$n1134 I2=I2C.SDA_DIR I3=$false O=$abc$26600$n1007 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n1002 I1=$abc$26600$n220 I2=$abc$26600$n992_1 I3=$abc$26600$n1007 O=$abc$26600$n1008 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$25847$n972_1 I1=$abc$25847$n971_1 I2=$abc$25847$n906 I3=$abc$25847$n907 O=$abc$25847$n1074 +.gate SB_LUT4 I0=$abc$26600$n1011 I1=$abc$26600$n1010 I2=$abc$26600$n748_1 I3=$abc$26600$n744 O=$abc$26600$n1155 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=$abc$25847$n961 I1=$abc$25847$n964 I2=$false I3=$false O=$abc$25847$n971_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n973 I1=$abc$25847$n974 I2=$false I3=$false O=$abc$25847$n972_1 +.gate SB_LUT4 I0=$abc$26600$n1001 I1=$abc$26600$n1003_1 I2=$false I3=$false O=$abc$26600$n1010 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n962 I1=I2C.FLT_SCL.out I2=$abc$25847$n10 I3=$abc$25847$n416 O=$abc$25847$n973 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$25847$n8 I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$25847$n974 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$25847$n976 I1=$abc$25847$n961 I2=$abc$25847$n906 I3=$false O=$abc$25847$n1076 +.gate SB_LUT4 I0=I2C.SDA_DIR I1=I2C.FLT_SDA.out I2=$abc$26600$n1012 I3=$false O=$abc$26600$n1011 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n1002 I1=$abc$26600$n747 I2=$abc$26600$n220 I3=$false O=$abc$26600$n1012 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n1014_1 I1=$abc$26600$n1001 I2=$abc$26600$n748_1 I3=$false O=$abc$26600$n1157 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 -.gate SB_LUT4 I0=$abc$25847$n965 I1=$abc$25847$n907 I2=I2C.received_byte[0] I3=$false O=$abc$25847$n976 +.gate SB_LUT4 I0=$abc$26600$n1004_1 I1=$abc$26600$n744 I2=I2C.received_byte[0] I3=$false O=$abc$26600$n1014_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n982 I1=$abc$25847$n45 I2=$abc$25847$n978 I3=$abc$25847$n1056 O=$abc$25847$n1078 +.gate SB_LUT4 I0=$abc$26600$n1020_1 I1=$abc$26600$n174 I2=$abc$26600$n1016_1 I3=$abc$26600$n1137 O=$abc$26600$n1159 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$25847$n973 I1=$abc$25847$n982 I2=$abc$25847$n979 I3=$abc$25847$n980 O=$abc$25847$n978 +.gate SB_LUT4 I0=$abc$26600$n1012 I1=$abc$26600$n1020_1 I2=$abc$26600$n1019_1 I3=$abc$26600$n1017_1 O=$abc$26600$n1016_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110010101111 -.gate SB_LUT4 I0=$abc$25847$n416 I1=$abc$25847$n958_1 I2=$abc$25847$n906 I3=$false O=$abc$25847$n979 +.param LUT_INIT 1010111100001100 +.gate SB_LUT4 I0=$abc$26600$n748_1 I1=$abc$26600$n1018 I2=$abc$26600$n744 I3=$false O=$abc$26600$n1017_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$26600$n1002 I1=$abc$26600$n992_1 I2=$abc$26600$n220 I3=$false O=$abc$26600$n1018 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$26600$n220 I1=$abc$26600$n992_1 I2=$abc$26600$n748_1 I3=$false O=$abc$26600$n1019_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$25847$n962 I1=$abc$25847$n963 I2=$abc$25847$n906 I3=$abc$25847$n907 O=$abc$25847$n980 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011111111111 -.gate SB_LUT4 I0=$abc$25847$n958_1 I1=$abc$25847$n906 I2=$abc$25847$n907 I3=$abc$25847$n905 O=$abc$25847$n982 +.gate SB_LUT4 I0=$abc$26600$n748_1 I1=I2C.FLT_SCL.out I2=$abc$26600$n10 I3=$abc$26600$n743_1 O=$abc$26600$n1020_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$25847$n909 I1=$abc$25847$n982 I2=$abc$25847$n978 I3=$abc$25847$n1058 O=$abc$25847$n1080 +.param LUT_INIT 0100001000000000 +.gate SB_LUT4 I0=$abc$26600$n752 I1=$abc$26600$n1020_1 I2=$abc$26600$n1016_1 I3=$abc$26600$n1139 O=$abc$26600$n1161 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=$abc$25847$n982 I1=$abc$25847$n52 I2=$abc$25847$n978 I3=$abc$25847$n1060 O=$abc$25847$n1082 +.gate SB_LUT4 I0=$abc$26600$n1020_1 I1=$abc$26600$n176 I2=$abc$26600$n1016_1 I3=$abc$26600$n1141 O=$abc$26600$n1163 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$25847$n26 I1=$abc$25847$n982 I2=$abc$25847$n978 I3=$abc$25847$n1914 O=$abc$25847$n1084 +.gate SB_LUT4 I0=$abc$26600$n150 I1=$abc$26600$n1020_1 I2=$abc$26600$n1016_1 I3=$abc$26600$n2017 O=$abc$26600$n1165 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=$abc$25847$n906 I1=$abc$25847$n973 I2=$abc$25847$n907 I3=$abc$25847$n965 O=$abc$25847$n1086 +.gate SB_LUT4 I0=$abc$26600$n748_1 I1=$abc$26600$n744 I2=$abc$26600$n1012 I3=$abc$26600$n1004_1 O=$abc$26600$n1167 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111111 -.gate SB_LUT4 I0=$abc$25847$n992 I1=$abc$25847$n993 I2=$abc$25847$n991 I3=$abc$25847$n980 O=$abc$25847$n1090 +.gate SB_LUT4 I0=$abc$26600$n1026_1 I1=$abc$26600$n1000_1 I2=$abc$26600$n1027 I3=$false O=$abc$26600$n1169 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111110 +.gate SB_LUT4 I0=$abc$26600$n992_1 I1=$abc$26600$n1017_1 I2=$abc$26600$n1002 I3=$false O=$abc$26600$n1026_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$26600$n748_1 I1=$abc$26600$n744 I2=$abc$26600$n992_1 I3=$abc$26600$n220 O=$abc$26600$n1027 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011100000 -.gate SB_LUT4 I0=$abc$25847$n972_1 I1=$abc$25847$n979 I2=$false I3=$false O=$abc$25847$n991 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n965 I1=$abc$25847$n906 I2=$abc$25847$n973 I3=$abc$25847$n907 O=$abc$25847$n992 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$26600$n1011 I1=$abc$26600$n1019_1 I2=$abc$26600$n1017_1 I3=$abc$26600$n1029 O=$abc$26600$n1171 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110000000000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$25847$n8 I3=I2C.wr O=$abc$25847$n993 +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$abc$26600$n746 I1=$abc$26600$n1134 I2=I2C.wr I3=$abc$26600$n1030 O=$abc$26600$n1029 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$25847$n728 I1=$abc$25847$n726_1 I2=$abc$25847$n724_1 I3=$false O=$abc$25847$n1166 +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=$abc$26600$n1004_1 I1=$abc$26600$n748_1 I2=$abc$26600$n744 I3=$abc$26600$n1012 O=$abc$26600$n1030 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110000000000000 +.gate SB_LUT4 I0=$abc$26600$n771 I1=$abc$26600$n769 I2=$abc$26600$n767 I3=$false O=$abc$26600$n1247 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$25847$n726_1 I1=$abc$25847$n883 I2=$false I3=$false O=$abc$25847$n1168 +.gate SB_LUT4 I0=$abc$26600$n769 I1=$abc$26600$n986 I2=$false I3=$false O=$abc$26600$n1249 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$25847$n726_1 I1=$abc$25847$n886 I2=$false I3=$false O=$abc$25847$n1170 +.gate SB_LUT4 I0=$abc$26600$n769 I1=$abc$26600$n989 I2=$false I3=$false O=$abc$26600$n1251 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$25847$n726_1 I1=$abc$25847$n887 I2=$false I3=$false O=$abc$25847$n1171 +.gate SB_LUT4 I0=$abc$26600$n769 I1=$abc$26600$n990 I2=$false I3=$false O=$abc$26600$n1252 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$25847$n892 O=$abc$25847$n1176 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$26600$n995 O=$abc$26600$n1257 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$25847$n896 O=$abc$25847$n1179 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$26600$n999 O=$abc$26600$n1260 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1249 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] +.gate SB_LUT4 I0=$abc$26600$n1327 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$25847$n708_1 I3=int_tmr[1] O=$2\int_tmr[14:0][1] +.gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$26600$n715 I3=int_tmr[1] O=$2\int_tmr[14:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000100 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1256 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] +.gate SB_LUT4 I0=$abc$26600$n1334 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1259 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] +.gate SB_LUT4 I0=$abc$26600$n1337 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1262 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] +.gate SB_LUT4 I0=$abc$26600$n1340 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1265 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] +.gate SB_LUT4 I0=$abc$26600$n1343 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1268 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] +.gate SB_LUT4 I0=$abc$26600$n1346 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1271 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] +.gate SB_LUT4 I0=$abc$26600$n1349 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1274 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] +.gate SB_LUT4 I0=$abc$26600$n1352 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1277 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] +.gate SB_LUT4 I0=$abc$26600$n1355 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1280 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] +.gate SB_LUT4 I0=$abc$26600$n1358 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1283 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] +.gate SB_LUT4 I0=$abc$26600$n1361 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1286 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] +.gate SB_LUT4 I0=$abc$26600$n1364 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] +.gate SB_LUT4 I0=$abc$26600$n715 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1289 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] +.gate SB_LUT4 I0=$abc$26600$n1367 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$25847$n1292 I2=$false I3=$false O=$2\int_tmr[14:0][14] +.gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$26600$n1370 I2=$false I3=$false O=$2\int_tmr[14:0][14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$25847$n716_1 I1=$abc$25847$n595 I2=$abc$25847$n683 I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$25847$n1031 I2=$abc$25847$n709 I3=$false O=$20\i2c_input_data_type[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$25847$n685 I1=$abc$25847$n597_1 I2=$abc$25847$n720 I3=$false O=$abc$25847$n1031 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$25847$n709 I1=$abc$25847$n1033_1 I2=$abc$25847$n719 I3=$false O=$20\i2c_input_data_type[3:0][1] +.gate SB_LUT4 I0=$abc$26600$n720 I1=$abc$26600$n594 I2=$false I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n716 I1=$abc$26600$n1070 I2=$abc$26600$n861 I3=$false O=$20\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$25847$n748 I1=$abc$25847$n685 I2=$false I3=$false O=$abc$25847$n1033_1 +.gate SB_LUT4 I0=$abc$26600$n688 I1=$abc$26600$n717 I2=$false I3=$false O=$abc$26600$n1070 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$25847$n709 I1=$abc$25847$n1033_1 I2=$abc$25847$n1911 I3=$false O=$20\i2c_input_data_type[3:0][2] +.gate SB_LUT4 I0=$abc$26600$n716 I1=$abc$26600$n1070 I2=$abc$26600$n2014 I3=$false O=$20\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$25847$n748 I1=$abc$25847$n715 I2=$abc$25847$n716 I3=$false O=$20\i2c_input_data_type[3:0][3] +.gate SB_LUT4 I0=$abc$26600$n716 I1=$abc$26600$n1070 I2=$abc$26600$n858 I3=$false O=$20\i2c_input_data_type[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$25847$n141 I1=$abc$25847$n663 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$26600$n342 I1=$abc$26600$n667 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1918 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] +.gate SB_LUT4 I0=$abc$26600$n2020 I1=$abc$26600$n342 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n1919 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] +.gate SB_LUT4 I0=$abc$26600$n2021 I1=$abc$26600$n342 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n1920 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] +.gate SB_LUT4 I0=$abc$26600$n2022 I1=$abc$26600$n342 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n1921 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] +.gate SB_LUT4 I0=$abc$26600$n2023 I1=$abc$26600$n342 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n1922 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] +.gate SB_LUT4 I0=$abc$26600$n2024 I1=$abc$26600$n342 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$25847$n1923 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] +.gate SB_LUT4 I0=$abc$26600$n2025 I1=$abc$26600$n342 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C_TRANS I1=$abc$25847$n639 I2=last_trans I3=$false O=$2\UART_WR[0:0] +.gate SB_LUT4 I0=I2C_TRANS I1=$abc$26600$n634 I2=last_trans I3=$false O=$2\UART_WR[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00101011 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$25847$n946_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$26600$n594 I2=$abc$26600$n717 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$26600$n994 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$25847$n956 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$26600$n990_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$25847$n945 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$26600$n995_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$25847$n953_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$26600$n987 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$25847$n949 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$26600$n997 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$25847$n957_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$26600$n991 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$25847$n950_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$26600$n998 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$25847$n954 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$26600$n988 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$25847$n1246 I3=$false O=$0\temp_output_report[2:0][1] +.gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$26600$n1091 I3=$false O=$0\temp_output_report[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$25847$n1246 I3=$false O=$0\temp_output_report[2:0][2] +.gate SB_LUT4 I0=$abc$26600$n1093 I1=$abc$26600$n1092_1 I2=i2c_input_data_type[0] I3=$abc$26600$n633 O=$abc$26600$n1091 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=$abc$26600$n626 I3=i2c_input_data_type[2] O=$abc$26600$n1092_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$26600$n606 I1=$abc$26600$n619 I2=$abc$26600$n627_1 I3=I2C_COUNTER[3] O=$abc$26600$n1093 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$26600$n1091 I3=$false O=$0\temp_output_report[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n689 I1=ring_rd[1] I2=$false I3=$false O=$2\ring_rd[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=report_wr_en I1=$abc$25847$n1061_1 I2=$abc$25847$n736 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] +.gate SB_LUT4 I0=$abc$26600$n694 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$2\ring_rd[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=report_wr_en I1=$abc$26600$n1097 I2=$abc$26600$n779 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101000011001100 -.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$25847$n1061_1 +.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$26600$n1097 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=report_wr_en I1=$abc$25847$n736 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] +.gate SB_LUT4 I0=report_wr_en I1=$abc$26600$n779 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n735 I2=$abc$25847$n897 I3=$abc$25847$n1061_1 O=$2\wr_cnt[3:0][0] +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$26600$n778 I2=$abc$26600$n1000 I3=$abc$26600$n1097 O=$2\wr_cnt[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110110000 -.gate SB_LUT4 I0=$abc$25847$n1061_1 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] +.gate SB_LUT4 I0=$abc$26600$n1097 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010100 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n735 I2=$abc$25847$n1061_1 I3=$abc$25847$n900 O=$2\wr_cnt[3:0][2] +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$26600$n778 I2=$abc$26600$n1097 I3=$abc$26600$n1003 O=$2\wr_cnt[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n735 I2=$abc$25847$n1061_1 I3=$abc$25847$n901 O=$2\wr_cnt[3:0][3] +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$26600$n778 I2=$abc$26600$n1097 I3=$abc$26600$n1004 O=$2\wr_cnt[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=$abc$25847$n1068 I1=$abc$25847$n1558 I2=$false I3=$false O=$0\rststate[3:0][0] +.gate SB_LUT4 I0=$abc$26600$n1104 I1=$abc$26600$n1636 I2=$false I3=$false O=$0\rststate[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n746 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$25847$n719 I3=$abc$25847$n1069 O=$abc$25847$n1068 +.gate SB_LUT4 I0=$abc$26600$n790 I1=$abc$26600$n1105 I2=$false I3=$false O=$abc$26600$n1104 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$26600$n861 I2=$abc$26600$n725 I3=$abc$26600$n350 O=$abc$26600$n1105 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$25847$n715 I1=$abc$25847$n149 I2=$false I3=$false O=$abc$25847$n1069 +.gate SB_LUT4 I0=$abc$26600$n1104 I1=$abc$26600$n1638 I2=$false I3=$false O=$0\rststate[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$25847$n1068 I1=$abc$25847$n1560 I2=$false I3=$false O=$0\rststate[3:0][1] +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n1104 I1=$abc$26600$n1640 I2=$false I3=$false O=$0\rststate[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1068 I1=$abc$25847$n1562 I2=$false I3=$false O=$0\rststate[3:0][2] +.gate SB_LUT4 I0=$abc$26600$n1104 I1=$abc$26600$n1642 I2=$false I3=$false O=$0\rststate[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1068 I1=$abc$25847$n1564 I2=$false I3=$false O=$0\rststate[3:0][3] +.gate SB_LUT4 I0=$abc$26600$n1117 I1=$abc$26600$n1110 I2=$abc$26600$n129 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1677 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=$abc$26600$n1116_1 I1=$abc$26600$n943 I2=$abc$26600$n1113 I3=$abc$26600$n809 O=$abc$26600$n1110 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000100000000 +.gate SB_LUT4 I0=$abc$26600$n55 I1=$abc$26600$n1822 I2=$false I3=$false O=$abc$26600$n1112_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n856 I1=$abc$25847$n1074_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1599 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$25847$n1078_1 I1=$abc$25847$n1077 I2=$abc$25847$n1075 I3=$false O=$abc$25847$n1074_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n1076_1 I1=$abc$25847$n774 I2=$false I3=$false O=$abc$25847$n1075 +.gate SB_LUT4 I0=$abc$26600$n1114 I1=$abc$26600$n1112_1 I2=$false I3=$false O=$abc$26600$n1113 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1693 I1=$abc$25847$n236 I2=$abc$25847$n822 I3=$abc$25847$n1755 O=$abc$25847$n1076_1 +.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=$abc$26600$n1747 I2=$abc$26600$n731 I3=$abc$26600$n1115_1 O=$abc$26600$n1114 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$25847$n1708 I2=$abc$25847$n751 I3=$false O=$abc$25847$n1077 +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n44 I1=$abc$26600$n811 I2=$false I3=$false O=$abc$26600$n1115_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$26600$n1759 I2=$abc$26600$n731 I3=$false O=$abc$26600$n1116_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.row_counter[0] I2=$abc$25847$n898 I3=$false O=$abc$25847$n1078_1 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=$abc$26600$n731 I2=$abc$26600$n1118 I3=$false O=$abc$26600$n1117 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n1080_1 I1=KEYBOARD.last_adr[0] I2=KEYBOARD.last_adr[1] I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1602 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$abc$25847$n1082_1 I1=$abc$25847$n1081 I2=$abc$25847$n1075 I3=$false O=$abc$25847$n1080_1 +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$26600$n55 I1=$abc$26600$n943 I2=$abc$26600$n809 I3=$false O=$abc$26600$n1118 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n751 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$25847$n1081 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$26600$n1124 I1=$abc$26600$n1120 I2=$abc$26600$n1125 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1680 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111100010001 +.gate SB_LUT4 I0=$abc$26600$n943 I1=$abc$26600$n1123 I2=$abc$26600$n1121 I3=$abc$26600$n809 O=$abc$26600$n1120 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$26600$n1122 I1=$abc$26600$n1112_1 I2=$false I3=$false O=$abc$26600$n1121 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=$abc$26600$n1748 I2=$abc$26600$n731 I3=$abc$26600$n1115_1 O=$abc$26600$n1122 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n731 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$26600$n1123 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000111 -.gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=KEYBOARD.row_counter[1] I2=$abc$25847$n898 I3=$false O=$abc$25847$n1082_1 +.gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=$abc$26600$n731 I2=$abc$26600$n1118 I3=$false O=$abc$26600$n1124 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n859 I1=$abc$25847$n1084_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1604 +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.last_adr[1] I2=$false I3=$false O=$abc$26600$n1125 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$26600$n132 I1=$abc$26600$n1127 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$26600$n1682 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$25847$n1086_1 I1=$abc$25847$n1085 I2=$abc$25847$n1075 I3=$false O=$abc$25847$n1084_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$25847$n1712 I2=$abc$25847$n751 I3=$false O=$abc$25847$n1085 +.gate SB_LUT4 I0=$abc$26600$n1130 I1=$abc$26600$n1118 I2=$abc$26600$n1128 I3=$false O=$abc$26600$n1127 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=KEYBOARD.last_adr[2] I1=KEYBOARD.row_counter[2] I2=$abc$25847$n898 I3=$false O=$abc$25847$n1086_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n860 I1=$abc$25847$n1088_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1606 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$25847$n1090_1 I1=$abc$25847$n1089 I2=$abc$25847$n1075 I3=$false O=$abc$25847$n1088_1 +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$26600$n943 I1=$abc$26600$n1131 I2=$abc$26600$n1129 I3=$abc$26600$n809 O=$abc$26600$n1128 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$26600$n1130 I1=$abc$26600$n941 I2=$abc$26600$n1115_1 I3=$abc$26600$n1112_1 O=$abc$26600$n1129 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$26600$n731 I1=KEYBOARD.last_adr[2] I2=$false I3=$false O=$abc$26600$n1130 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$26600$n1763 I2=$abc$26600$n731 I3=$false O=$abc$26600$n1131 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$25847$n18 I2=$abc$25847$n751 I3=$false O=$abc$25847$n1089 +.gate SB_LUT4 I0=$abc$26600$n1137_1 I1=$abc$26600$n1133 I2=$abc$26600$n133 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1684 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=$abc$26600$n1136 I1=$abc$26600$n943 I2=$abc$26600$n1134_1 I3=$abc$26600$n809 O=$abc$26600$n1133 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000100000000 +.gate SB_LUT4 I0=$abc$26600$n1135_1 I1=$abc$26600$n1112_1 I2=$false I3=$false O=$abc$26600$n1134_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.last_adr[3] I1=$abc$26600$n1750 I2=$abc$26600$n731 I3=$abc$26600$n1115_1 O=$abc$26600$n1135_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$26600$n18 I2=$abc$26600$n731 I3=$false O=$abc$26600$n1136 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=KEYBOARD.last_adr[3] I1=KEYBOARD.row_counter[3] I2=$abc$25847$n898 I3=$false O=$abc$25847$n1090_1 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.last_adr[3] I1=$abc$26600$n731 I2=$abc$26600$n1118 I3=$false O=$abc$26600$n1137_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n862 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[4] O=$abc$25847$n1608 +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$26600$n1139_1 I1=$abc$26600$n1140_1 I2=$abc$26600$n135 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1686 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111100010001000 -.gate SB_LUT4 I0=$abc$25847$n1075 I1=$abc$25847$n898 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1092 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$25847$n863 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[5] O=$abc$25847$n1610 +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$26600$n55 I1=$abc$26600$n1115_1 I2=$abc$26600$n943 I3=$abc$26600$n809 O=$abc$26600$n1139_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111100010001000 -.gate SB_LUT4 I0=$abc$25847$n865 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[6] O=$abc$25847$n1612 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$26600$n731 I1=KEYBOARD.last_adr[4] I2=$false I3=$false O=$abc$26600$n1140_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n1139_1 I1=$abc$26600$n1142_1 I2=$abc$26600$n136 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111100010001000 -.gate SB_LUT4 I0=$abc$25847$n1614 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[7] O=$abc$25847$n1615 +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$26600$n731 I1=KEYBOARD.last_adr[5] I2=$false I3=$false O=$abc$26600$n1142_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n1139_1 I1=$abc$26600$n1144_1 I2=$abc$26600$n138 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1690 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111100010001000 -.gate SB_LUT4 I0=$abc$25847$n871 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[8] O=$abc$25847$n1617 +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$26600$n731 I1=KEYBOARD.last_adr[6] I2=$false I3=$false O=$abc$26600$n1144_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n1139_1 I1=$abc$26600$n1146_1 I2=$abc$26600$n1692 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1693 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111100010001000 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[0] I2=$false I3=$false O=$abc$25847$n1619 +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$26600$n731 I1=KEYBOARD.last_adr[7] I2=$false I3=$false O=$abc$26600$n1146_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$26600$n1139_1 I1=$abc$26600$n1148_1 I2=$abc$26600$n144 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1695 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$26600$n731 I1=KEYBOARD.last_adr[8] I2=$false I3=$false O=$abc$26600$n1148_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[0] I2=$false I3=$false O=$abc$26600$n1697 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[1] I2=$false I3=$false O=$abc$25847$n1621 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[1] I2=$false I3=$false O=$abc$26600$n1699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[2] I2=$false I3=$false O=$abc$25847$n1623 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[2] I2=$false I3=$false O=$abc$26600$n1701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[3] I2=$false I3=$false O=$abc$25847$n1625 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[3] I2=$false I3=$false O=$abc$26600$n1703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[4] I2=$false I3=$false O=$abc$25847$n1627 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[4] I2=$false I3=$false O=$abc$26600$n1705 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[5] I2=$false I3=$false O=$abc$25847$n1629 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[5] I2=$false I3=$false O=$abc$26600$n1707 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[6] I2=$false I3=$false O=$abc$25847$n1631 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[6] I2=$false I3=$false O=$abc$26600$n1709 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[7] I2=$false I3=$false O=$abc$25847$n1633 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[7] I2=$false I3=$false O=$abc$26600$n1711 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$25847$n860 I1=$abc$25847$n1077 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1635 +.gate SB_LUT4 I0=$abc$26600$n133 I1=$abc$26600$n1116_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$26600$n1713 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$25847$n862 I1=$abc$25847$n1081 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1637 +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$26600$n135 I1=$abc$26600$n1123 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$26600$n1715 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$25847$n863 I1=$abc$25847$n1085 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1639 +.gate SB_LUT4 I0=$abc$26600$n136 I1=$abc$26600$n1131 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$26600$n1717 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$25847$n865 I1=$abc$25847$n1089 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1641 +.gate SB_LUT4 I0=$abc$26600$n138 I1=$abc$26600$n1136 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$26600$n1719 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$25847$n856 I1=$abc$25847$n815 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1642 +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$26600$n129 I1=$abc$26600$n883 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$26600$n1720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$25847$n819 I1=KEYBOARD.last_adr[0] I2=KEYBOARD.last_adr[1] I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1643 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$abc$25847$n859 I1=$abc$25847$n814 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1644 +.gate SB_LUT4 I0=$abc$26600$n1125 I1=$abc$26600$n881 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$26600$n1721 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$26600$n132 I1=$abc$26600$n884 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$26600$n1722 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$25847$n799 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1114 +.gate SB_LUT4 I0=$abc$26600$n1165_1 I1=$abc$26600$n809 I2=$abc$26600$n969 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1724 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[0] I2=$abc$26600$n1166 I3=$abc$26600$n969 O=$abc$26600$n1165_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$26600$n829 I2=KEYBOARD.isr_internal I3=$false O=$abc$26600$n1166 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$25847$n971 I1=$abc$25847$n1114 I2=$abc$25847$n1120 I3=$abc$25847$n820 O=$abc$25847$n1650 +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$26600$n1166 I1=$abc$26600$n1168 I2=$abc$26600$n1169_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1726 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000001110 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.isr_internal I2=wr_cnt[2] I3=$false O=$abc$25847$n1120 +.param LUT_INIT 0000111100111011 +.gate SB_LUT4 I0=wr_cnt[1] I1=KEYBOARD.isr_internal I2=$abc$26600$n809 I3=$false O=$abc$26600$n1168 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$25847$n1122 I1=$abc$25847$n774 I2=$abc$25847$n972 I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1652 +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$false I3=$false O=$abc$26600$n1169_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$26600$n809 I1=$abc$26600$n1171_1 I2=$abc$26600$n972 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1728 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=wr_cnt[2] I1=KEYBOARD.isr_internal I2=$abc$26600$n972 I3=$abc$26600$n1166 O=$abc$26600$n1171_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110000011101110 +.gate SB_LUT4 I0=$abc$26600$n1173 I1=$abc$26600$n809 I2=$abc$26600$n973 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000000010001 -.gate SB_LUT4 I0=$abc$25847$n799 I1=$abc$25847$n972 I2=wr_cnt[3] I3=KEYBOARD.isr_internal O=$abc$25847$n1122 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[3] I2=$abc$26600$n1166 I3=$abc$26600$n973 O=$abc$26600$n1173 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011101100001111 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n1124 I2=$abc$25847$n1125 I3=$false O=$abc$25847$n1654 +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$26600$n1175 I2=$abc$26600$n1176 I3=$false O=$abc$26600$n1732 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[1] I2=$abc$25847$n849 I3=$abc$25847$n791 O=$abc$25847$n1124 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[1] I2=$abc$26600$n855 I3=$abc$26600$n827 O=$abc$26600$n1175 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$25847$n1126 I3=$false O=$abc$25847$n1125 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$25847$n968 I1=$abc$25847$n971 I2=$abc$25847$n972 I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1126 +.gate SB_LUT4 I0=$abc$26600$n1169_1 I1=$abc$26600$n1177 I2=$false I3=$false O=$abc$26600$n1176 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n969 I1=$abc$26600$n972 I2=$abc$26600$n973 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1177 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$25847$n1128 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1125 I3=$false O=$abc$25847$n1656 +.gate SB_LUT4 I0=$abc$26600$n1179 I1=KEYBOARD.IS_RAM_INIT I2=$abc$26600$n1176 I3=$false O=$abc$26600$n1734 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110001 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$25847$n791 I3=$abc$25847$n844 O=$abc$25847$n1128 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.is_pressed I2=$abc$26600$n827 I3=$abc$26600$n868_1 O=$abc$26600$n1179 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$26600$n1181 I1=$abc$26600$n1737 I2=$false I3=$false O=$abc$26600$n1736 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$26600$n810 I1=$abc$26600$n799 I2=$abc$26600$n1182 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1181 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$26600$n960 I1=KEYBOARD.last_wr I2=$abc$26600$n800 I3=$abc$26600$n1183 O=$abc$26600$n1182 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$25847$n1134 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$25847$n1659 +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$26600$n880 I1=$abc$26600$n2053 I2=$false I3=$false O=$abc$26600$n1183 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.last_adr[1] I2=$abc$25847$n1135 I3=$abc$25847$n1136 O=$abc$25847$n1134 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n1125 I1=$abc$26600$n1186 I2=$abc$26600$n1185 I3=KEYBOARD.IS_RAM_INIT O=$abc$26600$n1737 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=$abc$25847$n863 I1=$abc$25847$n865 I2=$abc$25847$n871 I3=$abc$25847$n1614 O=$abc$25847$n1135 +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$26600$n136 I1=$abc$26600$n138 I2=$abc$26600$n144 I3=$abc$26600$n1692 O=$abc$26600$n1185 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$25847$n856 I1=$abc$25847$n859 I2=$abc$25847$n860 I3=$abc$25847$n862 O=$abc$25847$n1136 +.gate SB_LUT4 I0=$abc$26600$n129 I1=$abc$26600$n132 I2=$abc$26600$n133 I3=$abc$26600$n135 O=$abc$26600$n1186 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$25847$n1138 I1=$abc$25847$n1262_1 I2=$abc$25847$n1139 I3=$abc$25847$n1659 O=$abc$25847$n1661 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111111100000 -.gate SB_LUT4 I0=$abc$25847$n869 I1=$abc$25847$n803 I2=KEYBOARD.is_pressed I3=$abc$25847$n797 O=$abc$25847$n1138 +.gate SB_LUT4 I0=$abc$26600$n812_1 I1=$abc$26600$n1188 I2=$abc$26600$n824 I3=$abc$26600$n1737 O=$abc$26600$n1739 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0010110000000000 -.gate SB_LUT4 I0=$abc$25847$n783 I1=KEYBOARD.report_wr_en I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1139 +.param LUT_INIT 1111111100010000 +.gate SB_LUT4 I0=$abc$26600$n1190 I1=$abc$26600$n1189 I2=$abc$26600$n1340_1 I3=$false O=$abc$26600$n1188 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n761 I2=$abc$25847$n1659 I3=$false O=$abc$25847$n1662 +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$26600$n916 I2=$abc$26600$n830 I3=$false O=$abc$26600$n1189 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$26600$n830 I2=$abc$26600$n829 I3=$abc$26600$n827 O=$abc$26600$n1190 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$26600$n800 I2=$abc$26600$n1737 I3=$false O=$abc$26600$n1740 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$25847$n1142 I1=$abc$25847$n774 I2=$false I3=$false O=$abc$25847$n1717 +.gate SB_LUT4 I0=$abc$26600$n1193 I1=KEYBOARD.report_wr_en I2=$abc$26600$n905 I3=$abc$26600$n809 O=$abc$26600$n1782 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100010000 +.gate SB_LUT4 I0=$abc$26600$n1340_1 I1=$abc$26600$n900 I2=$abc$26600$n1190 I3=$false O=$abc$26600$n1193 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$26600$n1195 I1=$abc$26600$n1197 I2=$false I3=$false O=$abc$26600$n1798 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$25847$n1143 I1=$abc$25847$n1262_1 I2=$abc$25847$n783 I3=$abc$25847$n782 O=$abc$25847$n1142 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I1=$abc$26600$n1893 I2=$abc$26600$n1196 I3=$abc$26600$n926 O=$abc$26600$n1195 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$25847$n803 I1=KEYBOARD.is_pressed I2=$abc$25847$n798 I3=$abc$25847$n859_1 O=$abc$25847$n1143 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$25847$n1145 I1=$abc$25847$n1755 I2=$abc$25847$n1146 I3=$abc$25847$n774 O=$abc$25847$n1733 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100010011110000 -.gate SB_LUT4 I0=$abc$25847$n889_1 I1=$abc$25847$n1782 I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I3=$abc$25847$n1948 O=$abc$25847$n1145 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=$abc$26600$n939_1 I1=$abc$26600$n2051 I2=$false I3=$false O=$abc$26600$n1196 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n1952 I1=KEYBOARD.tmr_to_ram[0] I2=$abc$26600$n809 I3=$abc$26600$n1198 O=$abc$26600$n1197 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011101100001111 -.gate SB_LUT4 I0=$abc$25847$n1824 I1=KEYBOARD.tmr_to_ram[0] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1146 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$25847$n791 I2=KEYBOARD.is_pressed I3=$false O=$abc$25847$n1147 +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$26600$n827 I2=KEYBOARD.is_pressed I3=$false O=$abc$26600$n1198 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$25847$n1150 I1=$abc$25847$n1149 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1736 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I1=$abc$25847$n1948 I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I3=$abc$25847$n1755 O=$abc$25847$n1149 +.gate SB_LUT4 I0=$abc$26600$n1200 I1=$abc$26600$n809 I2=$abc$26600$n1201 I3=KEYBOARD.tmr_to_ram[1] O=$abc$26600$n1801 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111100000000000 -.gate SB_LUT4 I0=$abc$25847$n1147 I1=KEYBOARD.tmr_to_ram[0] I2=KEYBOARD.tmr_to_ram[1] I3=$false O=$abc$25847$n1150 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=$abc$25847$n1154 I1=$abc$25847$n1152 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1739 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I1=$abc$25847$n1786 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1152 +.param LUT_INIT 1010101110111010 +.gate SB_LUT4 I0=$abc$26600$n1196 I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I3=$abc$26600$n926 O=$abc$26600$n1200 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$25847$n889_1 I1=$abc$25847$n1948 I2=$false I3=$false O=$abc$25847$n1153 +.param LUT_INIT 0111100000000000 +.gate SB_LUT4 I0=$abc$26600$n1198 I1=KEYBOARD.tmr_to_ram[0] I2=$false I3=$false O=$abc$26600$n1201 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$25847$n1828 I1=KEYBOARD.tmr_to_ram[2] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1154 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n1157 I1=$abc$25847$n1156 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1742 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I1=$abc$25847$n1788 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1156 +.gate SB_LUT4 I0=$abc$26600$n1895 I1=$abc$26600$n1196 I2=$abc$26600$n1203 I3=$abc$26600$n1204 O=$abc$26600$n1804 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111110110000 +.gate SB_LUT4 I0=$abc$26600$n940_1 I1=$abc$26600$n2051 I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I3=$abc$26600$n926 O=$abc$26600$n1203 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=$abc$26600$n1954 I1=KEYBOARD.tmr_to_ram[2] I2=$abc$26600$n809 I3=$abc$26600$n1198 O=$abc$26600$n1204 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n1206 I1=$abc$26600$n1207 I2=$false I3=$false O=$abc$26600$n1807 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I1=$abc$26600$n1896 I2=$abc$26600$n1196 I3=$abc$26600$n926 O=$abc$26600$n1206 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$25847$n1830 I1=KEYBOARD.tmr_to_ram[3] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1157 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n1160 I1=$abc$25847$n1159 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1745 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=$abc$25847$n1790 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1159 +.gate SB_LUT4 I0=$abc$26600$n1955 I1=KEYBOARD.tmr_to_ram[3] I2=$abc$26600$n809 I3=$abc$26600$n1198 O=$abc$26600$n1207 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n1209 I1=$abc$26600$n1210 I2=$false I3=$false O=$abc$26600$n1810 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=$abc$26600$n1897 I2=$abc$26600$n1196 I3=$abc$26600$n926 O=$abc$26600$n1209 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$25847$n1832 I1=KEYBOARD.tmr_to_ram[4] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1160 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n1163 I1=$abc$25847$n1162 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1748 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I1=$abc$25847$n1792 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1162 +.gate SB_LUT4 I0=$abc$26600$n1956 I1=KEYBOARD.tmr_to_ram[4] I2=$abc$26600$n809 I3=$abc$26600$n1198 O=$abc$26600$n1210 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n1212 I1=$abc$26600$n1213 I2=$false I3=$false O=$abc$26600$n1813 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I1=$abc$26600$n1898 I2=$abc$26600$n1196 I3=$abc$26600$n926 O=$abc$26600$n1212 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$25847$n1834 I1=KEYBOARD.tmr_to_ram[5] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1163 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n1166_1 I1=$abc$25847$n1165 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1751 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I1=$abc$25847$n1794 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1165 +.gate SB_LUT4 I0=$abc$26600$n1957 I1=KEYBOARD.tmr_to_ram[5] I2=$abc$26600$n809 I3=$abc$26600$n1198 O=$abc$26600$n1213 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n1215 I1=$abc$26600$n1216 I2=$false I3=$false O=$abc$26600$n1816 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I1=$abc$26600$n1899 I2=$abc$26600$n1196 I3=$abc$26600$n926 O=$abc$26600$n1215 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$25847$n1836 I1=KEYBOARD.tmr_to_ram[6] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1166_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$25847$n1169_1 I1=$abc$25847$n1168_1 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1754 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I1=$abc$25847$n1796 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1168_1 +.gate SB_LUT4 I0=$abc$26600$n1958 I1=KEYBOARD.tmr_to_ram[6] I2=$abc$26600$n809 I3=$abc$26600$n1198 O=$abc$26600$n1216 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n1218 I1=$abc$26600$n1219 I2=$false I3=$false O=$abc$26600$n1819 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I1=$abc$26600$n1900 I2=$abc$26600$n1196 I3=$abc$26600$n926 O=$abc$26600$n1218 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$25847$n1838 I1=KEYBOARD.tmr_to_ram[7] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1169_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[0] I2=$abc$25847$n840_1 I3=$abc$25847$n791 O=$abc$25847$n1843 +.gate SB_LUT4 I0=$abc$26600$n1959 I1=KEYBOARD.tmr_to_ram[7] I2=$abc$26600$n809 I3=$abc$26600$n1198 O=$abc$26600$n1219 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=$abc$25847$n837 I3=$abc$25847$n791 O=$abc$25847$n1848 +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$26600$n1226 I1=$abc$26600$n1227 I2=$abc$26600$n1223 I3=$abc$26600$n1228 O=$abc$26600$n1222 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[7] I1=$abc$26600$n880 I2=$abc$26600$n1225 I3=$abc$26600$n1224 O=$abc$26600$n1223 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111000000000 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[0] I1=$abc$26600$n882 I2=$abc$26600$n891 I3=KEYBOARD.COLUMN_SHADOW[6] O=$abc$26600$n1224 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111011100000 +.gate SB_LUT4 I0=$abc$26600$n935_1 I1=KEYBOARD.COLUMN_SHADOW[3] I2=$false I3=$false O=$abc$26600$n1225 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$26600$n883 I1=$abc$26600$n881 I2=$abc$26600$n884 I3=KEYBOARD.COLUMN_SHADOW[4] O=$abc$26600$n1226 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$26600$n1752 I1=$abc$26600$n1754 I2=$abc$26600$n881 I3=KEYBOARD.COLUMN_SHADOW[2] O=$abc$26600$n1227 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[1] I1=$abc$26600$n1754 I2=KEYBOARD.COLUMN_SHADOW[5] I3=$abc$26600$n887 O=$abc$26600$n1228 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011100010100000 +.gate SB_LUT4 I0=$abc$26600$n1144_1 I1=$abc$26600$n1146_1 I2=$abc$26600$n1148_1 I3=$false O=$abc$26600$n1239 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[0] I2=$abc$26600$n853_1 I3=$abc$26600$n827 O=$abc$26600$n1930 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$25847$n855 I3=$abc$25847$n791 O=$abc$25847$n1853 +.gate SB_LUT4 I0=$abc$26600$n847_1 I1=$abc$26600$n861_1 I2=$abc$26600$n827 I3=$false O=$abc$26600$n1935 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.is_pressed I2=$abc$26600$n858_1 I3=$abc$26600$n827 O=$abc$26600$n1940 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[5] I2=$abc$25847$n846_1 I3=$abc$25847$n791 O=$abc$25847$n1856 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[5] I2=$abc$26600$n865_1 I3=$abc$26600$n827 O=$abc$26600$n1943 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111110001000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[6] I2=$abc$25847$n851 I3=$abc$25847$n791 O=$abc$25847$n1859 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[6] I2=$abc$26600$n856 I3=$abc$26600$n827 O=$abc$26600$n1946 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111110001000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[7] I2=$abc$25847$n1176_1 I3=$abc$25847$n791 O=$abc$25847$n1862 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[7] I2=$abc$26600$n867 I3=$abc$26600$n827 O=$abc$26600$n1949 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111110001000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$25847$n857 I3=$false O=$abc$25847$n1176_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$25847$n1708 I1=$abc$25847$n1712 I2=KEYBOARD.row_counter[1] I3=KEYBOARD.row_counter[0] O=$abc$25847$n2018 +.gate SB_LUT4 I0=$abc$26600$n1759 I1=$abc$26600$n1763 I2=KEYBOARD.row_counter[1] I3=KEYBOARD.row_counter[0] O=$abc$26600$n2119 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000001 -.gate SB_LUT4 I0=$abc$25847$n1712 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$25847$n1708 O=$abc$25847$n2019 +.gate SB_LUT4 I0=$abc$26600$n1763 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$26600$n1759 O=$abc$26600$n2120 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$25847$n1708 I1=$abc$25847$n1712 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$25847$n2020 +.gate SB_LUT4 I0=$abc$26600$n1759 I1=$abc$26600$n1763 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$26600$n2121 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$25847$n1712 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$25847$n1708 O=$abc$25847$n2021 +.gate SB_LUT4 I0=$abc$26600$n1763 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$26600$n1759 O=$abc$26600$n2122 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$25847$n1708 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$25847$n1712 O=$abc$25847$n2022 +.gate SB_LUT4 I0=$abc$26600$n1759 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$26600$n1763 O=$abc$26600$n2123 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$25847$n1708 I3=$abc$25847$n1712 O=$abc$25847$n2023 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$26600$n1759 I3=$abc$26600$n1763 O=$abc$26600$n2124 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$25847$n1708 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$25847$n1712 O=$abc$25847$n2024 +.gate SB_LUT4 I0=$abc$26600$n1759 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$26600$n1763 O=$abc$26600$n2125 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$25847$n1708 I3=$abc$25847$n1712 O=$abc$25847$n2025 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$26600$n1759 I3=$abc$26600$n1763 O=$abc$26600$n2126 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$25847$n1 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$26600$n1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$25847$n3 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$26600$n3 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n12 I1=$false I2=$false I3=$false O=COM_TX +.gate SB_LUT4 I0=$abc$26600$n12 I1=$false I2=$false I3=$false O=COM_TX .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$25847$n17 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n18 I1=$false I2=$false I3=$false O=$abc$25847$n19 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$26600$n17 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$25847$n21 +.gate SB_LUT4 I0=$abc$26600$n18 I1=$false I2=$false I3=$false O=$abc$26600$n19 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I1=$false I2=$false I3=$false O=$abc$25847$n702 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$26600$n21 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=$false I2=$false I3=$false O=$abc$25847$n706 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I1=$false I2=$false I3=$false O=$abc$26600$n844 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I1=$false I2=$false I3=$false O=$abc$25847$n708 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I1=$false I2=$false I3=$false O=$abc$26600$n845 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I1=$false I2=$false I3=$false O=$abc$25847$n711 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I1=$false I2=$false I3=$false O=$abc$26600$n847 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I1=$false I2=$false I3=$false O=$abc$25847$n712 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=$false I2=$false I3=$false O=$abc$26600$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$25847$n723 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I1=$false I2=$false I3=$false O=$abc$26600$n850 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$25847$n724 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I1=$false I2=$false I3=$false O=$abc$26600$n853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$25847$n726 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$26600$n865 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$25847$n727 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$26600$n866 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$25847$n729 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$26600$n868 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$25847$n730 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$26600$n869 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$25847$n732 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$26600$n871 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n1914 I1=$false I2=$false I3=$false O=$abc$25847$n1062 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$26600$n872 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n1058 I1=$false I2=$false I3=$false O=$abc$25847$n1893 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$26600$n874 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n1907 I1=$false I2=$false I3=$false O=$abc$25847$n1906 +.gate SB_LUT4 I0=$abc$26600$n2017 I1=$false I2=$false I3=$false O=$abc$26600$n1143 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n1056 I1=$false I2=$false I3=$false O=$abc$25847$n1912 +.gate SB_LUT4 I0=$abc$26600$n1139 I1=$false I2=$false I3=$false O=$abc$26600$n1996 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n1060 I1=$false I2=$false I3=$false O=$abc$25847$n1913 +.gate SB_LUT4 I0=$abc$26600$n2010 I1=$false I2=$false I3=$false O=$abc$26600$n2009 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I1=$false I2=$false I3=$false O=$abc$25847$n1916 +.gate SB_LUT4 I0=$abc$26600$n1137 I1=$false I2=$false I3=$false O=$abc$26600$n2015 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I1=$false I2=$false I3=$false O=$abc$25847$n1917 +.gate SB_LUT4 I0=$abc$26600$n1141 I1=$false I2=$false I3=$false O=$abc$26600$n2016 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n1924 I1=$false I2=$false I3=$false O=$abc$25847$n1925 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I1=$false I2=$false I3=$false O=$abc$26600$n2019 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I1=$false I2=$false I3=$false O=$abc$25847$n1926 +.gate SB_LUT4 I0=$abc$26600$n2027 I1=$false I2=$false I3=$false O=$abc$26600$n2028 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n1908 I1=$false I2=$false I3=$false O=$abc$25847$n1927 +.gate SB_LUT4 I0=$abc$26600$n2011 I1=$false I2=$false I3=$false O=$abc$26600$n2029 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$25847$n1928 I1=$false I2=$false I3=$false O=$abc$25847$n1929 +.gate SB_LUT4 I0=$abc$26600$n2030 I1=$false I2=$false I3=$false O=$abc$26600$n2031 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=I2C_HID_DESC.CLK .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$25847$n606_1 I3=$false O=$abc$25847$n1217 +.gate SB_LUT4 I0=$abc$26600$n677 I1=$abc$26600$n649 I2=$abc$26600$n642 I3=$abc$26600$n669 O=$abc$26600$n1285 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100110011001010 +.gate SB_LUT4 I0=$abc$26600$n862 I1=$abc$26600$n1285 I2=$abc$26600$n598 I3=$abc$26600$n679 O=$abc$26600$n1286 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$26600$n631 I2=$false I3=$false O=$abc$26600$n1287 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n632_1 I1=i2c_input_data_type[2] I2=$abc$26600$n633 I3=$false O=$abc$26600$n1288 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n620 I1=$abc$25847$n623 I2=I2C_COUNTER[1] I3=$abc$25847$n1217 O=$abc$25847$n1218 +.param LUT_INIT 01000011 +.gate SB_LUT4 I0=$abc$26600$n600 I1=$abc$26600$n1288 I2=$abc$26600$n1287 I3=$abc$26600$n633 O=$abc$26600$n2014 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000011111111 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$25847$n641 I2=$abc$25847$n1951 I3=I2C.received_byte[3] O=$abc$25847$n1219_1 +.param LUT_INIT 0000101111001100 +.gate SB_LUT4 I0=$abc$26600$n632_1 I1=i2c_input_data_type[0] I2=$abc$26600$n633 I3=$false O=$abc$26600$n1290 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000011 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$26600$n631 I2=$false I3=$false O=$abc$26600$n1291 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$26600$n672 I1=$abc$26600$n1290 I2=$abc$26600$n1291 I3=$abc$26600$n633 O=$abc$26600$n862 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$25847$n606_1 I3=$abc$25847$n638 O=$abc$25847$n1220_1 +.param LUT_INIT 0000101111001100 +.gate SB_LUT4 I0=$abc$26600$n871_1 I1=$abc$26600$n1822 I2=$abc$26600$n798 I3=$abc$26600$n809 O=$abc$26600$n1293_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$25847$n601_1 I1=i2c_input_data_type[3] I2=$abc$25847$n1219_1 I3=$abc$25847$n1220_1 O=$abc$25847$n716 +.param LUT_INIT 1000100000001111 +.gate SB_LUT4 I0=$abc$26600$n1293_1 I1=KEYBOARD.IS_RAM_INIT I2=$abc$26600$n820 I3=$abc$26600$n835_1 O=$abc$26600$n1294_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$26600$n1294_1 I1=$abc$26600$n796 I2=$abc$26600$n901 I3=$abc$26600$n1315_1 O=$abc$26600$n475 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100110011 -.gate SB_LUT4 I0=$abc$25847$n1920 I1=$abc$25847$n1919 I2=$abc$25847$n663 I3=$abc$25847$n1918 O=$abc$25847$n1222_1 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=$abc$26600$n850_1 I2=KEYBOARD.REPORT.r_data[5] I3=$abc$26600$n842 O=$abc$26600$n1298 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100001000000000 -.gate SB_LUT4 I0=$abc$25847$n719 I1=$abc$25847$n1920 I2=$abc$25847$n652 I3=$abc$25847$n1222_1 O=$abc$25847$n1223_1 +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=$abc$26600$n841 I2=KEYBOARD.REPORT.r_data[0] I3=$abc$26600$n813 O=$abc$26600$n1301 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0110111111111111 -.gate SB_LUT4 I0=$abc$25847$n1952 I1=$abc$25847$n719 I2=$abc$25847$n1918 I3=$abc$25847$n663 O=$abc$25847$n1224_1 +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[3] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.is_pressed I3=KEYBOARD.kbd_code_hid[2] O=$abc$26600$n1303_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100000000000001 -.gate SB_LUT4 I0=$abc$25847$n652 I1=$abc$25847$n665 I2=$abc$25847$n720 I3=$false O=$abc$25847$n1225_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$25847$n719 I1=$abc$25847$n598_1 I2=$abc$25847$n1224_1 I3=$abc$25847$n1225_1 O=$abc$25847$n1226_1 +.param LUT_INIT 0011110000001010 +.gate SB_LUT4 I0=$abc$26600$n850_1 I1=$abc$26600$n814 I2=KEYBOARD.kbd_code_hid[2] I3=$abc$26600$n1303_1 O=$abc$26600$n1304 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[4] I1=KEYBOARD.COLUMN_SHADOW[6] I2=$abc$26600$n1754 I3=$abc$26600$n881 O=$abc$26600$n1307_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0010111111111111 -.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[6] I1=KEYBOARD.COLUMN_SHADOW[7] I2=$abc$25847$n814 I3=$abc$25847$n815 O=$abc$25847$n1227 +.param LUT_INIT 1100111110100000 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[3] I1=KEYBOARD.COLUMN_SHADOW[2] I2=$abc$26600$n1754 I3=$abc$26600$n1752 O=$abc$26600$n1308_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011111101010000 -.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[2] I1=KEYBOARD.COLUMN_SHADOW[3] I2=$abc$25847$n814 I3=$abc$25847$n1227 O=$abc$25847$n1228 +.param LUT_INIT 0000101011111100 +.gate SB_LUT4 I0=$abc$26600$n881 I1=$abc$26600$n731 I2=$abc$26600$n1307_1 I3=$abc$26600$n1308_1 O=$abc$26600$n1309_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111001100000101 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$25847$n1231_1 +.param LUT_INIT 0100111111111111 +.gate SB_LUT4 I0=$abc$26600$n886_1 I1=$abc$26600$n879 I2=$abc$26600$n1309_1 I3=$false O=$abc$26600$n1822 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$25847$n946_1 I1=$abc$25847$n949 I2=$abc$25847$n52 I3=$abc$25847$n908 O=$abc$25847$n1237_1 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$26600$n916 I1=$abc$26600$n798 I2=KEYBOARD.is_pressed I3=$abc$26600$n825 O=$abc$26600$n1311_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$25847$n945 I1=$abc$25847$n950_1 I2=$abc$25847$n52 I3=$abc$25847$n916_1 O=$abc$25847$n1238 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$26600$n822 I1=$abc$26600$n824 I2=$abc$26600$n1311_1 I3=$abc$26600$n908_1 O=$abc$26600$n1312_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$25847$n1238 I1=$abc$25847$n951 I2=$abc$25847$n1237_1 I3=$abc$25847$n969 O=$abc$25847$n1239_1 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$26600$n1312_1 I1=$abc$26600$n796 I2=$abc$26600$n911 I3=$abc$26600$n1315_1 O=$abc$26600$n519 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$25847$n968_1 I2=$abc$25847$n1264_1 I3=$false O=$abc$25847$n1072 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$26600$n951_1 I1=$abc$26600$n900 I2=$abc$26600$n836 I3=$false O=$abc$26600$n1314_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$25847$n964 I1=$abc$25847$n906 I2=$abc$25847$n962 I3=$abc$25847$n963 O=$abc$25847$n1242 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$26600$n809 I1=KEYBOARD.IS_RAM_INIT I2=$abc$26600$n1314_1 I3=$abc$26600$n798 O=$abc$26600$n1315_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110111000000 -.gate SB_LUT4 I0=$abc$25847$n958_1 I1=$abc$25847$n1242 I2=$abc$25847$n907 I3=$abc$25847$n962 O=$abc$25847$n1088 +.param LUT_INIT 0000111111101110 +.gate SB_LUT4 I0=$abc$26600$n825 I1=$abc$26600$n916 I2=$abc$26600$n821 I3=$abc$26600$n951_1 O=$abc$26600$n1316 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111111000000 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=I2C_COUNTER[3] I3=$abc$25847$n606_1 O=$abc$25847$n1244 +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=$abc$26600$n816_1 I1=KEYBOARD.report_wr_en I2=$abc$26600$n1316 I3=$abc$26600$n798 O=$abc$26600$n1317_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111000011111111 +.gate SB_LUT4 I0=$abc$26600$n797 I1=$abc$26600$n912 I2=$abc$26600$n336 I3=$abc$26600$n924 O=$abc$26600$n1318_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$25847$n1244 I1=$abc$25847$n611 I2=i2c_input_data_type[0] I3=i2c_input_data_type[1] O=$abc$25847$n1245_1 +.gate SB_LUT4 I0=$abc$26600$n1317_1 I1=$abc$26600$n1318_1 I2=$abc$26600$n937 I3=$abc$26600$n953_1 O=$abc$26600$n595 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0010000000001100 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$25847$n1245_1 I2=i2c_input_data_type[2] I3=$abc$25847$n638 O=$abc$25847$n1246 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.RAM.r_data[6] I2=$abc$26600$n881 I3=$abc$26600$n884 O=$abc$26600$n1320 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=$abc$25847$n799 I2=$abc$25847$n774 I3=KEYBOARD.isr_internal O=$abc$25847$n1247 +.param LUT_INIT 1100111110100000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.RAM.r_data[4] I2=$abc$26600$n881 I3=$abc$26600$n1320 O=$abc$26600$n1321 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110000001010 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n1247 I2=$abc$25847$n968 I3=KEYBOARD.isr_internal O=$abc$25847$n1646 +.gate SB_LUT4 I0=$abc$26600$n883 I1=$abc$26600$n1321 I2=$abc$26600$n936 I3=$abc$26600$n934_1 O=$abc$26600$n1322 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$26600$n1286 I1=I2C.is_read I2=$abc$26600$n717 I3=$abc$26600$n862 O=$20\i2c_input_data_type[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011101111 +.gate SB_LUT4 I0=$abc$26600$n1746 I1=$abc$26600$n55 I2=$abc$26600$n98 I3=$abc$26600$n1744 O=$abc$26600$n1324 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000011100100 -.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$25847$n774 I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1249_1 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=$abc$26600$n813 I2=$abc$26600$n1301 I3=KEYBOARD.is_pressed O=$abc$26600$n1333_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100001011 -.gate SB_LUT4 I0=$abc$25847$n1249_1 I1=$abc$25847$n1114 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$25847$n1648 +.param LUT_INIT 1011101100001111 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[3] I1=$abc$26600$n868_1 I2=KEYBOARD.REPORT.r_data[2] I3=$abc$26600$n861_1 O=$abc$26600$n1334_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101011101110101 -.gate SB_LUT4 I0=$abc$25847$n1701 I1=$abc$25847$n1702 I2=$abc$25847$n778 I3=$abc$25847$n814 O=$abc$25847$n1251_1 +.param LUT_INIT 1011000000001011 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=$abc$26600$n867 I2=KEYBOARD.REPORT.r_data[5] I3=$abc$26600$n865_1 O=$abc$26600$n1337_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111111111111 -.gate SB_LUT4 I0=$abc$25847$n898 I1=KEYBOARD.last_wr I2=$abc$25847$n1251_1 I3=$abc$25847$n759 O=$abc$25847$n1252_1 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=$abc$26600$n845_1 I2=$abc$26600$n1298 I3=$abc$26600$n847_1 O=$abc$26600$n1338_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n1252_1 I2=$abc$25847$n1659 I3=$false O=$abc$25847$n1658 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$25847$n837 I2=KEYBOARD.REPORT.r_data[4] I3=$abc$25847$n855 O=$abc$25847$n1254_1 +.param LUT_INIT 0000101111111111 +.gate SB_LUT4 I0=$abc$26600$n1337_1 I1=$abc$26600$n1338_1 I2=$abc$26600$n1304 I3=$abc$26600$n1334_1 O=$abc$26600$n1339_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101110110000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.REPORT.r_data[6] I2=$abc$25847$n851 I3=$abc$25847$n846_1 O=$abc$25847$n1256_1 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$26600$n1339_1 I1=$abc$26600$n854 I2=$abc$26600$n1333_1 I3=$abc$26600$n827 O=$abc$26600$n1340_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000101000 -.gate SB_LUT4 I0=$abc$25847$n850 I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.is_pressed I3=$abc$25847$n1256_1 O=$abc$25847$n1257_1 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$26600$n1116_1 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.last_adr[0] I3=$abc$26600$n1239 O=$abc$26600$n1341_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101011100000000 -.gate SB_LUT4 I0=$abc$25847$n784 I1=KEYBOARD.REPORT.r_data[0] I2=KEYBOARD.is_pressed I3=$abc$25847$n1254_1 O=$abc$25847$n1259_1 +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=$abc$26600$n1123 I2=$abc$26600$n1341_1 I3=$abc$26600$n1347_1 O=$abc$26600$n1342_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101011100000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.REPORT.r_data[2] I2=$abc$25847$n838 I3=$false O=$abc$25847$n1260_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$25847$n1260_1 I1=$abc$25847$n1231_1 I2=$abc$25847$n842 I3=KEYBOARD.is_pressed O=$abc$25847$n1261_1 +.param LUT_INIT 1001111111111111 +.gate SB_LUT4 I0=$abc$26600$n1222 I1=$abc$26600$n926 I2=$abc$26600$n1322 I3=$abc$26600$n1324 O=$abc$26600$n1343_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100111100010101 -.gate SB_LUT4 I0=$abc$25847$n1261_1 I1=$abc$25847$n1259_1 I2=$abc$25847$n1257_1 I3=$abc$25847$n791 O=$abc$25847$n1262_1 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$26600$n1342_1 I1=$abc$26600$n1343_1 I2=KEYBOARD.is_ghost I3=$abc$26600$n731 O=$abc$26600$n1821 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$25847$n964 I1=$abc$25847$n962 I2=$abc$25847$n968_1 I3=$abc$25847$n963 O=$abc$25847$n1263_1 +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=$abc$26600$n1131 I1=KEYBOARD.row_counter[2] I2=$abc$26600$n1142_1 I3=$abc$26600$n1130 O=$abc$26600$n1345_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000111110000 -.gate SB_LUT4 I0=$abc$25847$n1263_1 I1=$abc$25847$n1239_1 I2=$abc$25847$n906 I3=$abc$25847$n907 O=$abc$25847$n1264_1 +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$26600$n1136 I2=$abc$26600$n731 I3=KEYBOARD.last_adr[3] O=$abc$26600$n1346_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100010111111111 -.gate SB_CARRY CI=$abc$25847$n1927 CO=$auto$alumacc.cc:474:replace_alu$6688.C[2] I0=$false I1=$abc$25847$n1907 +.param LUT_INIT 0011101000110011 +.gate SB_LUT4 I0=$abc$26600$n1140_1 I1=$abc$26600$n1346_1 I2=$abc$26600$n1345_1 I3=$false O=$abc$26600$n1347_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_CARRY CI=$abc$26600$n2029 CO=$auto$alumacc.cc:474:replace_alu$7305.C[2] I0=$false I1=$abc$26600$n2010 .attr src "top.v:25|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6688.C[2] CO=$auto$alumacc.cc:474:replace_alu$6688.C[3] I0=$false I1=$abc$25847$n1929 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7305.C[2] CO=$auto$alumacc.cc:474:replace_alu$7305.C[3] I0=$false I1=$abc$26600$n2031 .attr src "top.v:25|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6688.C[3] CO=$abc$25847$n1173 I0=$false I1=$abc$25847$n1925 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7305.C[3] CO=$abc$26600$n1254 I0=$false I1=$abc$26600$n2028 .attr src "top.v:25|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$25847$n1918 CO=$auto$alumacc.cc:474:replace_alu$6693.C[3] I0=$false I1=$abc$25847$n1919 -.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6693.C[3] CO=$auto$alumacc.cc:474:replace_alu$6693.C[4] I0=$false I1=$abc$25847$n1920 -.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6693.C[4] CO=$auto$alumacc.cc:474:replace_alu$6693.C[5] I0=$false I1=$abc$25847$n1921 -.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6693.C[5] CO=$auto$alumacc.cc:474:replace_alu$6693.C[6] I0=$false I1=$abc$25847$n1922 -.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6693.C[6] CO=$abc$25847$n1947 I0=$false I1=$abc$25847$n1923 -.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$25847$n712 CO=$auto$alumacc.cc:474:replace_alu$6698.C[2] I0=$false I1=$abc$25847$n711 -.attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[2] CO=$auto$alumacc.cc:474:replace_alu$6698.C[3] I0=$true I1=$abc$25847$n1926 -.attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[3] CO=$auto$alumacc.cc:474:replace_alu$6698.C[4] I0=$false I1=$abc$25847$n708 -.attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[4] CO=$auto$alumacc.cc:474:replace_alu$6698.C[5] I0=$false I1=$abc$25847$n706 -.attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[5] CO=$auto$alumacc.cc:474:replace_alu$6698.C[6] I0=$true I1=$abc$25847$n1916 -.attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[6] CO=$auto$alumacc.cc:474:replace_alu$6698.C[7] I0=$true I1=$abc$25847$n1917 -.attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[7] CO=$abc$25847$n1948 I0=$false I1=$abc$25847$n702 -.attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$25847$n808 CO=$auto$alumacc.cc:474:replace_alu$6709.C[4] I0=$abc$25847$n939 I1=$false -.attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6709.C[4] CO=$auto$alumacc.cc:474:replace_alu$6709.C[5] I0=$abc$25847$n940 I1=$true -.attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6709.C[5] CO=$auto$alumacc.cc:474:replace_alu$6709.C[6] I0=$abc$25847$n941 I1=$true -.attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6709.C[6] CO=$auto$alumacc.cc:474:replace_alu$6709.C[7] I0=$abc$25847$n817 I1=$true -.attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6709.C[7] CO=$abc$25847$n1950 I0=$abc$25847$n236 I1=$false -.attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$25847$n732 CO=$auto$alumacc.cc:474:replace_alu$6714.C[3] I0=$true I1=$abc$25847$n730 +.gate SB_CARRY CI=$abc$26600$n874 CO=$auto$alumacc.cc:474:replace_alu$7310.C[3] I0=$true I1=$abc$26600$n872 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[3] CO=$auto$alumacc.cc:474:replace_alu$6714.C[4] I0=$false I1=$abc$25847$n729 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7310.C[3] CO=$auto$alumacc.cc:474:replace_alu$7310.C[4] I0=$false I1=$abc$26600$n871 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[4] CO=$auto$alumacc.cc:474:replace_alu$6714.C[5] I0=$false I1=$abc$25847$n727 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7310.C[4] CO=$auto$alumacc.cc:474:replace_alu$7310.C[5] I0=$false I1=$abc$26600$n869 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[5] CO=$auto$alumacc.cc:474:replace_alu$6714.C[6] I0=$false I1=$abc$25847$n726 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7310.C[5] CO=$auto$alumacc.cc:474:replace_alu$7310.C[6] I0=$false I1=$abc$26600$n868 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[6] CO=$auto$alumacc.cc:474:replace_alu$6714.C[7] I0=$false I1=$abc$25847$n724 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7310.C[6] CO=$auto$alumacc.cc:474:replace_alu$7310.C[7] I0=$false I1=$abc$26600$n866 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[7] CO=$abc$25847$n1951 I0=$false I1=$abc$25847$n723 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7310.C[7] CO=$abc$26600$n2049 I0=$false I1=$abc$26600$n865 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$25847$n1912 CO=$auto$alumacc.cc:474:replace_alu$6719.C[2] I0=$false I1=$abc$25847$n1893 +.gate SB_CARRY CI=$abc$26600$n2020 CO=$auto$alumacc.cc:474:replace_alu$7315.C[3] I0=$false I1=$abc$26600$n2021 +.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7315.C[3] CO=$auto$alumacc.cc:474:replace_alu$7315.C[4] I0=$false I1=$abc$26600$n2022 +.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7315.C[4] CO=$auto$alumacc.cc:474:replace_alu$7315.C[5] I0=$false I1=$abc$26600$n2023 +.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7315.C[5] CO=$auto$alumacc.cc:474:replace_alu$7315.C[6] I0=$false I1=$abc$26600$n2024 +.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7315.C[6] CO=$abc$26600$n2050 I0=$false I1=$abc$26600$n2025 +.attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$26600$n853 CO=$auto$alumacc.cc:474:replace_alu$7320.C[3] I0=$true I1=$abc$26600$n2019 +.attr src "top.v:34|matrix_kbd.v:156|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7320.C[3] CO=$auto$alumacc.cc:474:replace_alu$7320.C[4] I0=$false I1=$abc$26600$n850 +.attr src "top.v:34|matrix_kbd.v:156|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7320.C[4] CO=$auto$alumacc.cc:474:replace_alu$7320.C[5] I0=$false I1=$abc$26600$n848 +.attr src "top.v:34|matrix_kbd.v:156|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7320.C[5] CO=$auto$alumacc.cc:474:replace_alu$7320.C[6] I0=$false I1=$abc$26600$n847 +.attr src "top.v:34|matrix_kbd.v:156|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7320.C[6] CO=$auto$alumacc.cc:474:replace_alu$7320.C[7] I0=$false I1=$abc$26600$n845 +.attr src "top.v:34|matrix_kbd.v:156|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7320.C[7] CO=$abc$26600$n2051 I0=$false I1=$abc$26600$n844 +.attr src "top.v:34|matrix_kbd.v:156|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$26600$n44 CO=$auto$alumacc.cc:474:replace_alu$7331.C[4] I0=$abc$26600$n939 I1=$false +.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7331.C[4] CO=$auto$alumacc.cc:474:replace_alu$7331.C[5] I0=$abc$26600$n940 I1=$true +.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7331.C[5] CO=$auto$alumacc.cc:474:replace_alu$7331.C[6] I0=$abc$26600$n941 I1=$true +.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7331.C[6] CO=$auto$alumacc.cc:474:replace_alu$7331.C[7] I0=$abc$26600$n54 I1=$true +.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7331.C[7] CO=$abc$26600$n2053 I0=$abc$26600$n55 I1=$false +.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$26600$n2015 CO=$auto$alumacc.cc:474:replace_alu$7336.C[2] I0=$false I1=$abc$26600$n1996 .attr src "top.v:19|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6719.C[2] CO=$auto$alumacc.cc:474:replace_alu$6719.C[3] I0=$false I1=$abc$25847$n1913 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7336.C[2] CO=$auto$alumacc.cc:474:replace_alu$7336.C[3] I0=$false I1=$abc$26600$n2016 .attr src "top.v:19|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6719.C[3] CO=$abc$25847$n416 I0=$false I1=$abc$25847$n1914 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7336.C[3] CO=$abc$26600$n220 I0=$false I1=$abc$26600$n2017 .attr src "top.v:19|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$25847$n720 CO=$auto$alumacc.cc:474:replace_alu$6724.C[2] I0=$false I1=$abc$25847$n719 +.gate SB_CARRY CI=$abc$26600$n862 CO=$auto$alumacc.cc:474:replace_alu$7341.C[2] I0=$false I1=$abc$26600$n861 .attr src "top.v:188|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6724.C[2] CO=$auto$alumacc.cc:474:replace_alu$6724.C[3] I0=$true I1=$abc$25847$n1911 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7341.C[2] CO=$auto$alumacc.cc:474:replace_alu$7341.C[3] I0=$true I1=$abc$26600$n2014 .attr src "top.v:188|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6724.C[3] CO=$abc$25847$n1952 I0=$false I1=$abc$25847$n716 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7341.C[3] CO=$abc$26600$n2054 I0=$false I1=$abc$26600$n858 .attr src "top.v:188|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$25847$n732 CO=$auto$alumacc.cc:474:replace_alu$6735.C[3] I0=$false I1=$abc$25847$n730 +.gate SB_CARRY CI=$abc$26600$n874 CO=$auto$alumacc.cc:474:replace_alu$7352.C[3] I0=$false I1=$abc$26600$n872 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[3] CO=$auto$alumacc.cc:474:replace_alu$6735.C[4] I0=$false I1=$abc$25847$n729 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7352.C[3] CO=$auto$alumacc.cc:474:replace_alu$7352.C[4] I0=$false I1=$abc$26600$n871 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[4] CO=$auto$alumacc.cc:474:replace_alu$6735.C[5] I0=$false I1=$abc$25847$n727 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7352.C[4] CO=$auto$alumacc.cc:474:replace_alu$7352.C[5] I0=$false I1=$abc$26600$n869 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[5] CO=$auto$alumacc.cc:474:replace_alu$6735.C[6] I0=$false I1=$abc$25847$n726 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7352.C[5] CO=$auto$alumacc.cc:474:replace_alu$7352.C[6] I0=$false I1=$abc$26600$n868 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[6] CO=$auto$alumacc.cc:474:replace_alu$6735.C[7] I0=$false I1=$abc$25847$n724 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7352.C[6] CO=$auto$alumacc.cc:474:replace_alu$7352.C[7] I0=$false I1=$abc$26600$n866 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[7] CO=$abc$25847$n1955 I0=$false I1=$abc$25847$n723 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7352.C[7] CO=$abc$26600$n2057 I0=$false I1=$abc$26600$n865 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$25847$n897 +.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$26600$n1000 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$6748.C[2] I0=$false I1=wr_cnt[1] +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$7365.C[2] I0=$false I1=wr_cnt[1] .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$6748.C[2] O=$abc$25847$n900 +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$7365.C[2] O=$abc$26600$n1003 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6748.C[2] CO=$auto$alumacc.cc:474:replace_alu$6748.C[3] I0=$false I1=wr_cnt[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7365.C[2] CO=$auto$alumacc.cc:474:replace_alu$7365.C[3] I0=$false I1=wr_cnt[2] .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$6748.C[3] O=$abc$25847$n901 +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$7365.C[3] O=$abc$26600$n1004 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$25847$n1418 +.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$26600$n1496 .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$6751.C[2] I0=$false I1=ring_rd[1] +.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$7368.C[2] I0=$false I1=ring_rd[1] .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$6751.C[2] O=$abc$25847$n1424 +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$7368.C[2] O=$abc$26600$n1502 .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6751.C[2] CO=$auto$alumacc.cc:474:replace_alu$6751.C[3] I0=$false I1=ring_rd[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7368.C[2] CO=$auto$alumacc.cc:474:replace_alu$7368.C[3] I0=$false I1=ring_rd[2] .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$6751.C[3] O=$abc$25847$n1427 +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$7368.C[3] O=$abc$26600$n1505 .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$25847$n1403 +.gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$26600$n1481 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$6754.C[2] I0=$false I1=I2C_COUNTER[1] +.gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$7371.C[2] I0=$false I1=I2C_COUNTER[1] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$6754.C[2] O=$abc$25847$n1407 +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$7371.C[2] O=$abc$26600$n1485 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6754.C[2] CO=$auto$alumacc.cc:474:replace_alu$6754.C[3] I0=$false I1=I2C_COUNTER[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7371.C[2] CO=$auto$alumacc.cc:474:replace_alu$7371.C[3] I0=$false I1=I2C_COUNTER[2] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$6754.C[3] O=$abc$25847$n1409 +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$7371.C[3] O=$abc$26600$n1487 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6754.C[3] CO=$auto$alumacc.cc:474:replace_alu$6754.C[4] I0=$false I1=I2C_COUNTER[3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7371.C[3] CO=$auto$alumacc.cc:474:replace_alu$7371.C[4] I0=$false I1=I2C_COUNTER[3] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$6754.C[4] O=$abc$25847$n1411 +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$7371.C[4] O=$abc$26600$n1489 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6754.C[4] CO=$auto$alumacc.cc:474:replace_alu$6754.C[5] I0=$false I1=I2C_COUNTER[4] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7371.C[4] CO=$auto$alumacc.cc:474:replace_alu$7371.C[5] I0=$false I1=I2C_COUNTER[4] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$6754.C[5] O=$abc$25847$n1413 +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$7371.C[5] O=$abc$26600$n1491 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6754.C[5] CO=$auto$alumacc.cc:474:replace_alu$6754.C[6] I0=$false I1=I2C_COUNTER[5] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7371.C[5] CO=$auto$alumacc.cc:474:replace_alu$7371.C[6] I0=$false I1=I2C_COUNTER[5] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$6754.C[6] O=$abc$25847$n1415 +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$7371.C[6] O=$abc$26600$n1493 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$25847$n1249 +.gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$26600$n1327 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$6757.C[10] O=$abc$25847$n1280 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$7374.C[10] O=$abc$26600$n1358 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[10] CO=$auto$alumacc.cc:474:replace_alu$6757.C[11] I0=$false I1=$3\int_tmr[14:0][10] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[10] CO=$auto$alumacc.cc:474:replace_alu$7374.C[11] I0=$false I1=$3\int_tmr[14:0][10] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$6757.C[11] O=$abc$25847$n1283 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$7374.C[11] O=$abc$26600$n1361 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[11] CO=$auto$alumacc.cc:474:replace_alu$6757.C[12] I0=$false I1=$3\int_tmr[14:0][11] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[11] CO=$auto$alumacc.cc:474:replace_alu$7374.C[12] I0=$false I1=$3\int_tmr[14:0][11] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$6757.C[12] O=$abc$25847$n1286 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$7374.C[12] O=$abc$26600$n1364 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[12] CO=$auto$alumacc.cc:474:replace_alu$6757.C[13] I0=$false I1=$3\int_tmr[14:0][12] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[12] CO=$auto$alumacc.cc:474:replace_alu$7374.C[13] I0=$false I1=$3\int_tmr[14:0][12] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$6757.C[13] O=$abc$25847$n1289 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$7374.C[13] O=$abc$26600$n1367 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[13] CO=$auto$alumacc.cc:474:replace_alu$6757.C[14] I0=$false I1=$3\int_tmr[14:0][13] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[13] CO=$auto$alumacc.cc:474:replace_alu$7374.C[14] I0=$false I1=$3\int_tmr[14:0][13] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$6757.C[14] O=$abc$25847$n1292 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$7374.C[14] O=$abc$26600$n1370 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$6757.C[2] I0=$false I1=$3\int_tmr[14:0][1] +.gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$7374.C[2] I0=$false I1=$3\int_tmr[14:0][1] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$6757.C[2] O=$abc$25847$n1256 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$7374.C[2] O=$abc$26600$n1334 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[2] CO=$auto$alumacc.cc:474:replace_alu$6757.C[3] I0=$false I1=$3\int_tmr[14:0][2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[2] CO=$auto$alumacc.cc:474:replace_alu$7374.C[3] I0=$false I1=$3\int_tmr[14:0][2] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$6757.C[3] O=$abc$25847$n1259 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$7374.C[3] O=$abc$26600$n1337 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[3] CO=$auto$alumacc.cc:474:replace_alu$6757.C[4] I0=$false I1=$3\int_tmr[14:0][3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[3] CO=$auto$alumacc.cc:474:replace_alu$7374.C[4] I0=$false I1=$3\int_tmr[14:0][3] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$6757.C[4] O=$abc$25847$n1262 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$7374.C[4] O=$abc$26600$n1340 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[4] CO=$auto$alumacc.cc:474:replace_alu$6757.C[5] I0=$false I1=$3\int_tmr[14:0][4] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[4] CO=$auto$alumacc.cc:474:replace_alu$7374.C[5] I0=$false I1=$3\int_tmr[14:0][4] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$6757.C[5] O=$abc$25847$n1265 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$7374.C[5] O=$abc$26600$n1343 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[5] CO=$auto$alumacc.cc:474:replace_alu$6757.C[6] I0=$false I1=$3\int_tmr[14:0][5] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[5] CO=$auto$alumacc.cc:474:replace_alu$7374.C[6] I0=$false I1=$3\int_tmr[14:0][5] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$6757.C[6] O=$abc$25847$n1268 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$7374.C[6] O=$abc$26600$n1346 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[6] CO=$auto$alumacc.cc:474:replace_alu$6757.C[7] I0=$false I1=$3\int_tmr[14:0][6] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[6] CO=$auto$alumacc.cc:474:replace_alu$7374.C[7] I0=$false I1=$3\int_tmr[14:0][6] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$6757.C[7] O=$abc$25847$n1271 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$7374.C[7] O=$abc$26600$n1349 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[7] CO=$auto$alumacc.cc:474:replace_alu$6757.C[8] I0=$false I1=$3\int_tmr[14:0][7] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[7] CO=$auto$alumacc.cc:474:replace_alu$7374.C[8] I0=$false I1=$3\int_tmr[14:0][7] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$6757.C[8] O=$abc$25847$n1274 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$7374.C[8] O=$abc$26600$n1352 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[8] CO=$auto$alumacc.cc:474:replace_alu$6757.C[9] I0=$false I1=$3\int_tmr[14:0][8] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[8] CO=$auto$alumacc.cc:474:replace_alu$7374.C[9] I0=$false I1=$3\int_tmr[14:0][8] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$6757.C[9] O=$abc$25847$n1277 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$7374.C[9] O=$abc$26600$n1355 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[9] CO=$auto$alumacc.cc:474:replace_alu$6757.C[10] I0=$false I1=$3\int_tmr[14:0][9] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7374.C[9] CO=$auto$alumacc.cc:474:replace_alu$7374.C[10] I0=$false I1=$3\int_tmr[14:0][9] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$25847$n21 I2=rststate[0] I3=$false O=$abc$25847$n1558 +.gate SB_LUT4 I0=$false I1=$abc$26600$n21 I2=rststate[0] I3=$false O=$abc$26600$n1636 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$6760.C[1] I0=$abc$25847$n21 I1=rststate[0] +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$7377.C[1] I0=$abc$26600$n21 I1=rststate[0] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$6760.C[1] O=$abc$25847$n1560 +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$7377.C[1] O=$abc$26600$n1638 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6760.C[1] CO=$auto$alumacc.cc:474:replace_alu$6760.C[2] I0=$false I1=rststate[1] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7377.C[1] CO=$auto$alumacc.cc:474:replace_alu$7377.C[2] I0=$false I1=rststate[1] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$6760.C[2] O=$abc$25847$n1562 +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$7377.C[2] O=$abc$26600$n1640 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6760.C[2] CO=$auto$alumacc.cc:474:replace_alu$6760.C[3] I0=$false I1=rststate[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7377.C[2] CO=$auto$alumacc.cc:474:replace_alu$7377.C[3] I0=$false I1=rststate[2] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$6760.C[3] O=$abc$25847$n1564 +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$7377.C[3] O=$abc$26600$n1642 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$25847$n1531 +.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$26600$n1609 .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$6763.C[2] I0=$false I1=ring_wr[1] +.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$7380.C[2] I0=$false I1=ring_wr[1] .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$6763.C[2] O=$abc$25847$n1537 +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$7380.C[2] O=$abc$26600$n1615 .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6763.C[2] CO=$auto$alumacc.cc:474:replace_alu$6763.C[3] I0=$false I1=ring_wr[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7380.C[2] CO=$auto$alumacc.cc:474:replace_alu$7380.C[3] I0=$false I1=ring_wr[2] .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$6763.C[3] O=$abc$25847$n1540 +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$7380.C[3] O=$abc$26600$n1618 .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6763.C[3] CO=$auto$alumacc.cc:474:replace_alu$6763.C[4] I0=$false I1=ring_wr[3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7380.C[3] CO=$auto$alumacc.cc:474:replace_alu$7380.C[4] I0=$false I1=ring_wr[3] .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$6763.C[4] O=$abc$25847$n526 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$7380.C[4] O=$abc$26600$n668 .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$25847$n1333 +.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$26600$n1411 .attr src "top.v:191|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$6766.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true +.gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$7383.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true .attr src "top.v:191|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6766.C[2] O=$abc$25847$n1335 +.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$7383.C[2] O=$abc$26600$n1413 .attr src "top.v:191|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$25847$n1056 I2=$false I3=$true O=$abc$25847$n45 +.gate SB_LUT4 I0=$false I1=$abc$26600$n1137 I2=$false I3=$true O=$abc$26600$n174 .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$25847$n1056 CO=$auto$alumacc.cc:474:replace_alu$6769.C[2] I0=$abc$25847$n1058 I1=$true +.gate SB_CARRY CI=$abc$26600$n1137 CO=$auto$alumacc.cc:474:replace_alu$7386.C[2] I0=$abc$26600$n1139 I1=$true .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$25847$n1060 I2=$true I3=$auto$alumacc.cc:474:replace_alu$6769.C[2] O=$abc$25847$n52 +.gate SB_LUT4 I0=$false I1=$abc$26600$n1141 I2=$true I3=$auto$alumacc.cc:474:replace_alu$7386.C[2] O=$abc$26600$n176 .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6769.C[2] CO=$auto$alumacc.cc:474:replace_alu$6769.C[3] I0=$abc$25847$n1060 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7386.C[2] CO=$auto$alumacc.cc:474:replace_alu$7386.C[3] I0=$abc$26600$n1141 I1=$true .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$25847$n1062 I2=$true I3=$auto$alumacc.cc:474:replace_alu$6769.C[3] O=$abc$25847$n26 +.gate SB_LUT4 I0=$false I1=$abc$26600$n1143 I2=$true I3=$auto$alumacc.cc:474:replace_alu$7386.C[3] O=$abc$26600$n150 .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6769.C[3] CO=$abc$25847$n1892 I0=$abc$25847$n1062 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7386.C[3] CO=$abc$26600$n1995 I0=$abc$26600$n1143 I1=$true .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$25847$n1892 O=$abc$25847$n53 +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$26600$n1995 O=$abc$26600$n177 .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$25847$n893 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$26600$n996 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$6772.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$7389.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6772.C[2] O=$abc$25847$n896 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$7389.C[2] O=$abc$26600$n999 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$25847$n889 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$26600$n992 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$6775.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$7392.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6775.C[2] O=$abc$25847$n892 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$7392.C[2] O=$abc$26600$n995 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$25847$n968 -.attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$6778.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] -.attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$6778.C[2] O=$abc$25847$n971 -.attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6778.C[2] CO=$auto$alumacc.cc:474:replace_alu$6778.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] -.attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$6778.C[3] O=$abc$25847$n972 -.attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$25847$n1708 -.attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6781.C[2] I0=$false I1=KEYBOARD.row_counter[1] -.attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$6781.C[2] O=$abc$25847$n1712 -.attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6781.C[2] CO=$auto$alumacc.cc:474:replace_alu$6781.C[3] I0=$false I1=KEYBOARD.row_counter[2] -.attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$6781.C[3] O=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$25847$n1693 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$6784.C[10] O=$abc$25847$n1703 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[10] CO=$auto$alumacc.cc:474:replace_alu$6784.C[11] I0=$false I1=KEYBOARD.row_time[10] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$6784.C[11] O=$abc$25847$n1704 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[11] CO=$auto$alumacc.cc:474:replace_alu$6784.C[12] I0=$false I1=KEYBOARD.row_time[11] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$6784.C[12] O=$abc$25847$n1705 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[12] CO=$auto$alumacc.cc:474:replace_alu$6784.C[13] I0=$false I1=KEYBOARD.row_time[12] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$6784.C[13] O=$abc$25847$n1706 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[13] CO=$auto$alumacc.cc:474:replace_alu$6784.C[14] I0=$false I1=KEYBOARD.row_time[13] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$6784.C[14] O=$abc$25847$n1707 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$6784.C[2] I0=$false I1=KEYBOARD.row_time[1] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$6784.C[2] O=$abc$25847$n1695 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[2] CO=$auto$alumacc.cc:474:replace_alu$6784.C[3] I0=$false I1=KEYBOARD.row_time[2] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$6784.C[3] O=$abc$25847$n1696 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[3] CO=$auto$alumacc.cc:474:replace_alu$6784.C[4] I0=$false I1=KEYBOARD.row_time[3] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$6784.C[4] O=$abc$25847$n1697 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[4] CO=$auto$alumacc.cc:474:replace_alu$6784.C[5] I0=$false I1=KEYBOARD.row_time[4] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$6784.C[5] O=$abc$25847$n1698 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[5] CO=$auto$alumacc.cc:474:replace_alu$6784.C[6] I0=$false I1=KEYBOARD.row_time[5] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$6784.C[6] O=$abc$25847$n1699 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[6] CO=$auto$alumacc.cc:474:replace_alu$6784.C[7] I0=$false I1=KEYBOARD.row_time[6] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$6784.C[7] O=$abc$25847$n1700 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[7] CO=$auto$alumacc.cc:474:replace_alu$6784.C[8] I0=$false I1=KEYBOARD.row_time[7] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$6784.C[8] O=$abc$25847$n1701 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[8] CO=$auto$alumacc.cc:474:replace_alu$6784.C[9] I0=$false I1=KEYBOARD.row_time[8] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$6784.C[9] O=$abc$25847$n1702 -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[9] CO=$auto$alumacc.cc:474:replace_alu$6784.C[10] I0=$false I1=KEYBOARD.row_time[9] -.attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I3=$false O=$abc$25847$n1782 -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] CO=$auto$alumacc.cc:474:replace_alu$6787.C[2] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I3=$auto$alumacc.cc:474:replace_alu$6787.C[2] O=$abc$25847$n1786 -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[2] CO=$auto$alumacc.cc:474:replace_alu$6787.C[3] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I3=$auto$alumacc.cc:474:replace_alu$6787.C[3] O=$abc$25847$n1788 -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[3] CO=$auto$alumacc.cc:474:replace_alu$6787.C[4] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I3=$auto$alumacc.cc:474:replace_alu$6787.C[4] O=$abc$25847$n1790 -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[4] CO=$auto$alumacc.cc:474:replace_alu$6787.C[5] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I3=$auto$alumacc.cc:474:replace_alu$6787.C[5] O=$abc$25847$n1792 -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[5] CO=$auto$alumacc.cc:474:replace_alu$6787.C[6] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I3=$auto$alumacc.cc:474:replace_alu$6787.C[6] O=$abc$25847$n1794 -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[6] CO=$auto$alumacc.cc:474:replace_alu$6787.C[7] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I3=$auto$alumacc.cc:474:replace_alu$6787.C[7] O=$abc$25847$n1796 -.attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.tmr_to_ram[0] I3=$false O=$abc$25847$n1824 -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.tmr_to_ram[0] CO=$auto$alumacc.cc:474:replace_alu$6790.C[2] I0=$false I1=KEYBOARD.tmr_to_ram[1] -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[2] I3=$auto$alumacc.cc:474:replace_alu$6790.C[2] O=$abc$25847$n1828 -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[2] CO=$auto$alumacc.cc:474:replace_alu$6790.C[3] I0=$false I1=KEYBOARD.tmr_to_ram[2] -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[3] I3=$auto$alumacc.cc:474:replace_alu$6790.C[3] O=$abc$25847$n1830 -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[3] CO=$auto$alumacc.cc:474:replace_alu$6790.C[4] I0=$false I1=KEYBOARD.tmr_to_ram[3] -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[4] I3=$auto$alumacc.cc:474:replace_alu$6790.C[4] O=$abc$25847$n1832 -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[4] CO=$auto$alumacc.cc:474:replace_alu$6790.C[5] I0=$false I1=KEYBOARD.tmr_to_ram[4] -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[5] I3=$auto$alumacc.cc:474:replace_alu$6790.C[5] O=$abc$25847$n1834 -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[5] CO=$auto$alumacc.cc:474:replace_alu$6790.C[6] I0=$false I1=KEYBOARD.tmr_to_ram[5] -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[6] I3=$auto$alumacc.cc:474:replace_alu$6790.C[6] O=$abc$25847$n1836 -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[6] CO=$auto$alumacc.cc:474:replace_alu$6790.C[7] I0=$false I1=KEYBOARD.tmr_to_ram[6] -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[7] I3=$auto$alumacc.cc:474:replace_alu$6790.C[7] O=$abc$25847$n1838 -.attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.last_adr[0] I3=$false O=$abc$25847$n856 -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.last_adr[0] CO=$auto$alumacc.cc:474:replace_alu$6793.C[2] I0=$false I1=KEYBOARD.last_adr[1] -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[2] I3=$auto$alumacc.cc:474:replace_alu$6793.C[2] O=$abc$25847$n859 -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[2] CO=$auto$alumacc.cc:474:replace_alu$6793.C[3] I0=$false I1=KEYBOARD.last_adr[2] -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[3] I3=$auto$alumacc.cc:474:replace_alu$6793.C[3] O=$abc$25847$n860 -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[3] CO=$auto$alumacc.cc:474:replace_alu$6793.C[4] I0=$false I1=KEYBOARD.last_adr[3] -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[4] I3=$auto$alumacc.cc:474:replace_alu$6793.C[4] O=$abc$25847$n862 -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[4] CO=$auto$alumacc.cc:474:replace_alu$6793.C[5] I0=$false I1=KEYBOARD.last_adr[4] -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[5] I3=$auto$alumacc.cc:474:replace_alu$6793.C[5] O=$abc$25847$n863 -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[5] CO=$auto$alumacc.cc:474:replace_alu$6793.C[6] I0=$false I1=KEYBOARD.last_adr[5] -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[6] I3=$auto$alumacc.cc:474:replace_alu$6793.C[6] O=$abc$25847$n865 -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[6] CO=$auto$alumacc.cc:474:replace_alu$6793.C[7] I0=$false I1=KEYBOARD.last_adr[6] -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[7] I3=$auto$alumacc.cc:474:replace_alu$6793.C[7] O=$abc$25847$n1614 -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[7] CO=$auto$alumacc.cc:474:replace_alu$6793.C[8] I0=$false I1=KEYBOARD.last_adr[7] -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[8] I3=$auto$alumacc.cc:474:replace_alu$6793.C[8] O=$abc$25847$n871 -.attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$25847$n883 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$26600$n1759 +.attr src "top.v:34|matrix_kbd.v:113|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$7395.C[2] I0=$false I1=KEYBOARD.row_counter[1] +.attr src "top.v:34|matrix_kbd.v:113|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$7395.C[2] O=$abc$26600$n1763 +.attr src "top.v:34|matrix_kbd.v:113|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7395.C[2] CO=$auto$alumacc.cc:474:replace_alu$7395.C[3] I0=$false I1=KEYBOARD.row_counter[2] +.attr src "top.v:34|matrix_kbd.v:113|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$7395.C[3] O=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:113|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$26600$n1744 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$7398.C[10] O=$abc$26600$n1754 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[10] CO=$auto$alumacc.cc:474:replace_alu$7398.C[11] I0=$false I1=KEYBOARD.row_time[10] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$7398.C[11] O=$abc$26600$n1755 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[11] CO=$auto$alumacc.cc:474:replace_alu$7398.C[12] I0=$false I1=KEYBOARD.row_time[11] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$7398.C[12] O=$abc$26600$n1756 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[12] CO=$auto$alumacc.cc:474:replace_alu$7398.C[13] I0=$false I1=KEYBOARD.row_time[12] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$7398.C[13] O=$abc$26600$n1757 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[13] CO=$auto$alumacc.cc:474:replace_alu$7398.C[14] I0=$false I1=KEYBOARD.row_time[13] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$7398.C[14] O=$abc$26600$n1758 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$7398.C[2] I0=$false I1=KEYBOARD.row_time[1] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$7398.C[2] O=$abc$26600$n1746 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[2] CO=$auto$alumacc.cc:474:replace_alu$7398.C[3] I0=$false I1=KEYBOARD.row_time[2] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$7398.C[3] O=$abc$26600$n1747 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[3] CO=$auto$alumacc.cc:474:replace_alu$7398.C[4] I0=$false I1=KEYBOARD.row_time[3] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$7398.C[4] O=$abc$26600$n1748 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[4] CO=$auto$alumacc.cc:474:replace_alu$7398.C[5] I0=$false I1=KEYBOARD.row_time[4] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$7398.C[5] O=$abc$26600$n1749 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[5] CO=$auto$alumacc.cc:474:replace_alu$7398.C[6] I0=$false I1=KEYBOARD.row_time[5] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$7398.C[6] O=$abc$26600$n1750 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[6] CO=$auto$alumacc.cc:474:replace_alu$7398.C[7] I0=$false I1=KEYBOARD.row_time[6] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$7398.C[7] O=$abc$26600$n1751 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[7] CO=$auto$alumacc.cc:474:replace_alu$7398.C[8] I0=$false I1=KEYBOARD.row_time[7] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$7398.C[8] O=$abc$26600$n1752 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[8] CO=$auto$alumacc.cc:474:replace_alu$7398.C[9] I0=$false I1=KEYBOARD.row_time[8] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$7398.C[9] O=$abc$26600$n1753 +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7398.C[9] CO=$auto$alumacc.cc:474:replace_alu$7398.C[10] I0=$false I1=KEYBOARD.row_time[9] +.attr src "top.v:34|matrix_kbd.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I3=$false O=$abc$26600$n1893 +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] CO=$auto$alumacc.cc:474:replace_alu$7401.C[2] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I3=$auto$alumacc.cc:474:replace_alu$7401.C[2] O=$abc$26600$n1895 +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7401.C[2] CO=$auto$alumacc.cc:474:replace_alu$7401.C[3] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I3=$auto$alumacc.cc:474:replace_alu$7401.C[3] O=$abc$26600$n1896 +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7401.C[3] CO=$auto$alumacc.cc:474:replace_alu$7401.C[4] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I3=$auto$alumacc.cc:474:replace_alu$7401.C[4] O=$abc$26600$n1897 +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7401.C[4] CO=$auto$alumacc.cc:474:replace_alu$7401.C[5] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I3=$auto$alumacc.cc:474:replace_alu$7401.C[5] O=$abc$26600$n1898 +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7401.C[5] CO=$auto$alumacc.cc:474:replace_alu$7401.C[6] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I3=$auto$alumacc.cc:474:replace_alu$7401.C[6] O=$abc$26600$n1899 +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7401.C[6] CO=$auto$alumacc.cc:474:replace_alu$7401.C[7] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I3=$auto$alumacc.cc:474:replace_alu$7401.C[7] O=$abc$26600$n1900 +.attr src "top.v:34|matrix_kbd.v:157|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.tmr_to_ram[0] I3=$false O=$abc$26600$n1952 +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.tmr_to_ram[0] CO=$auto$alumacc.cc:474:replace_alu$7404.C[2] I0=$false I1=KEYBOARD.tmr_to_ram[1] +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[2] I3=$auto$alumacc.cc:474:replace_alu$7404.C[2] O=$abc$26600$n1954 +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7404.C[2] CO=$auto$alumacc.cc:474:replace_alu$7404.C[3] I0=$false I1=KEYBOARD.tmr_to_ram[2] +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[3] I3=$auto$alumacc.cc:474:replace_alu$7404.C[3] O=$abc$26600$n1955 +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7404.C[3] CO=$auto$alumacc.cc:474:replace_alu$7404.C[4] I0=$false I1=KEYBOARD.tmr_to_ram[3] +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[4] I3=$auto$alumacc.cc:474:replace_alu$7404.C[4] O=$abc$26600$n1956 +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7404.C[4] CO=$auto$alumacc.cc:474:replace_alu$7404.C[5] I0=$false I1=KEYBOARD.tmr_to_ram[4] +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[5] I3=$auto$alumacc.cc:474:replace_alu$7404.C[5] O=$abc$26600$n1957 +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7404.C[5] CO=$auto$alumacc.cc:474:replace_alu$7404.C[6] I0=$false I1=KEYBOARD.tmr_to_ram[5] +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[6] I3=$auto$alumacc.cc:474:replace_alu$7404.C[6] O=$abc$26600$n1958 +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7404.C[6] CO=$auto$alumacc.cc:474:replace_alu$7404.C[7] I0=$false I1=KEYBOARD.tmr_to_ram[6] +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[7] I3=$auto$alumacc.cc:474:replace_alu$7404.C[7] O=$abc$26600$n1959 +.attr src "top.v:34|matrix_kbd.v:203|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$26600$n969 +.attr src "top.v:34|matrix_kbd.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$7407.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] +.attr src "top.v:34|matrix_kbd.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$7407.C[2] O=$abc$26600$n972 +.attr src "top.v:34|matrix_kbd.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7407.C[2] CO=$auto$alumacc.cc:474:replace_alu$7407.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] +.attr src "top.v:34|matrix_kbd.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$7407.C[3] O=$abc$26600$n973 +.attr src "top.v:34|matrix_kbd.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.last_adr[0] I3=$false O=$abc$26600$n129 +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.last_adr[0] CO=$auto$alumacc.cc:474:replace_alu$7410.C[2] I0=$false I1=KEYBOARD.last_adr[1] +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[2] I3=$auto$alumacc.cc:474:replace_alu$7410.C[2] O=$abc$26600$n132 +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7410.C[2] CO=$auto$alumacc.cc:474:replace_alu$7410.C[3] I0=$false I1=KEYBOARD.last_adr[2] +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[3] I3=$auto$alumacc.cc:474:replace_alu$7410.C[3] O=$abc$26600$n133 +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7410.C[3] CO=$auto$alumacc.cc:474:replace_alu$7410.C[4] I0=$false I1=KEYBOARD.last_adr[3] +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[4] I3=$auto$alumacc.cc:474:replace_alu$7410.C[4] O=$abc$26600$n135 +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7410.C[4] CO=$auto$alumacc.cc:474:replace_alu$7410.C[5] I0=$false I1=KEYBOARD.last_adr[4] +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[5] I3=$auto$alumacc.cc:474:replace_alu$7410.C[5] O=$abc$26600$n136 +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7410.C[5] CO=$auto$alumacc.cc:474:replace_alu$7410.C[6] I0=$false I1=KEYBOARD.last_adr[5] +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[6] I3=$auto$alumacc.cc:474:replace_alu$7410.C[6] O=$abc$26600$n138 +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7410.C[6] CO=$auto$alumacc.cc:474:replace_alu$7410.C[7] I0=$false I1=KEYBOARD.last_adr[6] +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[7] I3=$auto$alumacc.cc:474:replace_alu$7410.C[7] O=$abc$26600$n1692 +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7410.C[7] CO=$auto$alumacc.cc:474:replace_alu$7410.C[8] I0=$false I1=KEYBOARD.last_adr[7] +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[8] I3=$auto$alumacc.cc:474:replace_alu$7410.C[8] O=$abc$26600$n144 +.attr src "top.v:34|matrix_kbd.v:91|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$26600$n986 .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6796.C[2] I0=UART.tx_clk_counter[1] I1=$true +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$7413.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6796.C[2] O=$abc$25847$n886 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$7413.C[2] O=$abc$26600$n989 .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6796.C[2] CO=$auto$alumacc.cc:474:replace_alu$6796.C[3] I0=UART.tx_clk_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7413.C[2] CO=$auto$alumacc.cc:474:replace_alu$7413.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6796.C[3] O=$abc$25847$n887 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$7413.C[3] O=$abc$26600$n990 .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$25847$n1908 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$26600$n2011 .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6799.C[2] I0=UART.tx_bit_counter[1] I1=$true +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$7416.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6799.C[2] O=$abc$25847$n1928 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$7416.C[2] O=$abc$26600$n2030 .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6799.C[2] CO=$auto$alumacc.cc:474:replace_alu$6799.C[3] I0=UART.tx_bit_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$7416.C[2] CO=$auto$alumacc.cc:474:replace_alu$7416.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6799.C[3] O=$abc$25847$n1924 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$7416.C[3] O=$abc$26600$n2027 .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$abc$25847$n1927 I3=$true O=$abc$25847$n1245 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$26600$n2029 I3=$true O=$abc$26600$n1323 .attr src "top.v:25|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$25847$n1927 CO=$auto$alumacc.cc:474:replace_alu$6802.C[2] I0=$false I1=$abc$25847$n1907 +.gate SB_CARRY CI=$abc$26600$n2029 CO=$auto$alumacc.cc:474:replace_alu$7419.C[2] I0=$false I1=$abc$26600$n2010 .attr src "top.v:25|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$25847$n1929 I3=$auto$alumacc.cc:474:replace_alu$6802.C[2] O=$abc$25847$n1231 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$26600$n2031 I3=$auto$alumacc.cc:474:replace_alu$7419.C[2] O=$abc$26600$n1309 .attr src "top.v:25|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_DFFNE C=CLK D=$abc$25847$n1084 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] +.gate SB_DFF C=CLK D=SCL Q=I2C.SCLF +.attr src "top.v:19|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF +.attr src "top.v:19|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFNE C=CLK D=$abc$26600$n1171 E=I2C.FLT_SCL.RESET Q=I2C.wr +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1169 E=I2C.FLT_SCL.RESET Q=I2C.is_ack +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1167 E=I2C.FLT_SCL.RESET Q=I2C.is_adress +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$26600$n232 Q=I2C.received_byte[0] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$26600$n239 Q=I2C.received_byte[1] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$26600$n246 Q=I2C.received_byte[2] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$26600$n253 Q=I2C.received_byte[3] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$26600$n260 Q=I2C.received_byte[4] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$26600$n267 Q=I2C.received_byte[5] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$26600$n274 Q=I2C.received_byte[6] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$26600$n297 Q=I2C.received_byte[7] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1159 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1161 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1076 E=I2C.FLT_SCL.RESET Q=I2C.is_read +.gate SB_DFFNE C=CLK D=$abc$26600$n1163 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1053 E=$abc$25847$n101 Q=I2C.i2c_start_latency +.gate SB_DFFNE C=CLK D=$abc$26600$n1165 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNSR C=CLK D=$abc$25847$n1074 Q=I2C.i2c_state_machine R=$abc$25847$n21 +.gate SB_DFFNE C=CLK D=$abc$26600$n1157 E=I2C.FLT_SCL.RESET Q=I2C.is_read +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1134 E=$abc$26600$n302 Q=I2C.i2c_start_latency +.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNSR C=CLK D=$abc$26600$n1155 Q=I2C.i2c_state_machine R=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFNE C=CLK D=$abc$25847$n1 E=I2C.FLT_SCL.RESET Q=$abc$25847$n8 +.gate SB_DFFNE C=CLK D=$abc$26600$n1 E=I2C.FLT_SCL.RESET Q=$abc$26600$n8 .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n3 E=I2C.FLT_SCL.RESET Q=$abc$25847$n10 +.gate SB_DFFNE C=CLK D=$abc$26600$n3 E=I2C.FLT_SCL.RESET Q=$abc$26600$n10 .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1072 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR +.gate SB_DFFNE C=CLK D=$abc$26600$n1153 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNSR C=CLK D=$abc$25847$n1166 Q=UART.tx_activity R=$abc$25847$n21 +.gate SB_DFFNSR C=CLK D=$abc$26600$n1247 Q=UART.tx_activity R=$abc$26600$n21 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFNE C=CLK D=$abc$25847$n5 E=$abc$25847$n116 Q=$abc$25847$n12 +.gate SB_DFFNE C=CLK D=$abc$26600$n5 E=$abc$26600$n317 Q=$abc$26600$n12 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1168 E=$abc$25847$n115 Q=UART.tx_clk_counter[0] S=$abc$25847$n17 +.gate SB_DFFNESS C=CLK D=$abc$26600$n1249 E=$abc$26600$n316 Q=UART.tx_clk_counter[0] S=$abc$26600$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1169 E=$abc$25847$n115 Q=UART.tx_clk_counter[1] R=$abc$25847$n17 +.gate SB_DFFNESR C=CLK D=$abc$26600$n1250 E=$abc$26600$n316 Q=UART.tx_clk_counter[1] R=$abc$26600$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1170 E=$abc$25847$n115 Q=UART.tx_clk_counter[2] S=$abc$25847$n17 +.gate SB_DFFNESS C=CLK D=$abc$26600$n1251 E=$abc$26600$n316 Q=UART.tx_clk_counter[2] S=$abc$26600$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1171 E=$abc$25847$n115 Q=UART.tx_clk_counter[3] S=$abc$25847$n17 +.gate SB_DFFNESS C=CLK D=$abc$26600$n1252 E=$abc$26600$n316 Q=UART.tx_clk_counter[3] S=$abc$26600$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1908 E=$abc$25847$n116 Q=UART.tx_bit_counter[0] S=$abc$25847$n17 +.gate SB_DFFNESS C=CLK D=$abc$26600$n2011 E=$abc$26600$n317 Q=UART.tx_bit_counter[0] S=$abc$26600$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1906 E=$abc$25847$n116 Q=UART.tx_bit_counter[1] R=$abc$25847$n17 +.gate SB_DFFNESR C=CLK D=$abc$26600$n2009 E=$abc$26600$n317 Q=UART.tx_bit_counter[1] R=$abc$26600$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1928 E=$abc$25847$n116 Q=UART.tx_bit_counter[2] R=$abc$25847$n17 +.gate SB_DFFNESR C=CLK D=$abc$26600$n2030 E=$abc$26600$n317 Q=UART.tx_bit_counter[2] R=$abc$26600$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1924 E=$abc$25847$n116 Q=UART.tx_bit_counter[3] S=$abc$25847$n17 +.gate SB_DFFNESS C=CLK D=$abc$26600$n2027 E=$abc$26600$n317 Q=UART.tx_bit_counter[3] S=$abc$26600$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFE C=CLK D=$abc$25847$n7 E=$abc$25847$n135 Q=LED1 +.gate SB_DFFE C=CLK D=$abc$26600$n7 E=$abc$26600$n336 Q=LED1 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.wr E=$abc$25847$n135 Q=last_wr R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=I2C.wr E=$abc$26600$n336 Q=last_wr R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$25847$n21 +.gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$25847$n131 Q=report_wr_en R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$26600$n332 Q=report_wr_en R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" @@ -2364,347 +2580,315 @@ .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$25847$n136 Q=UART_WR R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$26600$n337 Q=UART_WR R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$25847$n150 Q=UART_TX_DATA[0] S=$abc$25847$n141 +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$26600$n351 Q=UART_TX_DATA[0] S=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$25847$n150 Q=UART_TX_DATA[1] S=$abc$25847$n141 +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$26600$n351 Q=UART_TX_DATA[1] S=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$25847$n150 Q=UART_TX_DATA[2] S=$abc$25847$n141 +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$26600$n351 Q=UART_TX_DATA[2] S=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$25847$n150 Q=UART_TX_DATA[3] S=$abc$25847$n141 +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$26600$n351 Q=UART_TX_DATA[3] S=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$25847$n150 Q=UART_TX_DATA[4] S=$abc$25847$n141 +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$26600$n351 Q=UART_TX_DATA[4] S=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$25847$n150 Q=UART_TX_DATA[5] S=$abc$25847$n141 +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$26600$n351 Q=UART_TX_DATA[5] S=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$25847$n150 Q=UART_TX_DATA[6] S=$abc$25847$n141 +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$26600$n351 Q=UART_TX_DATA[6] S=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$25847$n150 Q=UART_TX_DATA[7] S=$abc$25847$n141 +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$26600$n351 Q=UART_TX_DATA[7] S=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$25847$n135 Q=int_tmr[0] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$26600$n336 Q=int_tmr[0] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$25847$n135 Q=int_tmr[1] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$26600$n336 Q=int_tmr[1] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$25847$n135 Q=int_tmr[2] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$26600$n336 Q=int_tmr[2] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$25847$n135 Q=int_tmr[3] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$26600$n336 Q=int_tmr[3] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$25847$n135 Q=int_tmr[4] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$26600$n336 Q=int_tmr[4] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$25847$n135 Q=int_tmr[5] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$26600$n336 Q=int_tmr[5] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$25847$n135 Q=int_tmr[6] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$26600$n336 Q=int_tmr[6] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$25847$n135 Q=int_tmr[7] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$26600$n336 Q=int_tmr[7] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$25847$n135 Q=int_tmr[8] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$26600$n336 Q=int_tmr[8] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$25847$n135 Q=int_tmr[9] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$26600$n336 Q=int_tmr[9] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$25847$n135 Q=int_tmr[10] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$26600$n336 Q=int_tmr[10] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$25847$n135 Q=int_tmr[11] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$26600$n336 Q=int_tmr[11] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$25847$n135 Q=int_tmr[12] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$26600$n336 Q=int_tmr[12] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$25847$n135 Q=int_tmr[13] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$26600$n336 Q=int_tmr[13] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$25847$n135 Q=int_tmr[14] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$26600$n336 Q=int_tmr[14] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$25847$n135 Q=ring_wr[0] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$26600$n336 Q=ring_wr[0] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$25847$n135 Q=ring_wr[1] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$26600$n336 Q=ring_wr[1] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$25847$n135 Q=ring_wr[2] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$26600$n336 Q=ring_wr[2] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$25847$n135 Q=ring_wr[3] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$26600$n336 Q=ring_wr[3] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$25847$n135 Q=ring_rd[0] S=$abc$25847$n21 +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$26600$n336 Q=ring_rd[0] S=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$25847$n135 Q=ring_rd[1] S=$abc$25847$n21 +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$26600$n336 Q=ring_rd[1] S=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$25847$n135 Q=ring_rd[2] S=$abc$25847$n21 +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$26600$n336 Q=ring_rd[2] S=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$25847$n135 Q=ring_rd[3] S=$abc$25847$n21 +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$26600$n336 Q=ring_rd[3] S=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$25847$n129 Q=wr_cnt[0] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$26600$n330 Q=wr_cnt[0] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$25847$n129 Q=wr_cnt[1] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$26600$n330 Q=wr_cnt[1] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$25847$n129 Q=wr_cnt[2] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$26600$n330 Q=wr_cnt[2] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$25847$n129 Q=wr_cnt[3] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$26600$n330 Q=wr_cnt[3] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$25847$n149 Q=temp_output_report[1] +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$26600$n350 Q=temp_output_report[1] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$25847$n149 Q=temp_output_report[2] +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$26600$n350 Q=temp_output_report[2] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$25847$n149 Q=i2c_input_data_type[0] R=$abc$25847$n141 +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$26600$n350 Q=i2c_input_data_type[0] R=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$25847$n149 Q=i2c_input_data_type[1] R=$abc$25847$n141 +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$26600$n350 Q=i2c_input_data_type[1] R=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$25847$n149 Q=i2c_input_data_type[2] R=$abc$25847$n141 +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$26600$n350 Q=i2c_input_data_type[2] R=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$25847$n149 Q=i2c_input_data_type[3] R=$abc$25847$n141 +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$26600$n350 Q=i2c_input_data_type[3] R=$abc$26600$n342 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$25847$n135 Q=I2C_COUNTER[0] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$26600$n336 Q=I2C_COUNTER[0] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$25847$n135 Q=I2C_COUNTER[1] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$26600$n336 Q=I2C_COUNTER[1] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$25847$n135 Q=I2C_COUNTER[2] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$26600$n336 Q=I2C_COUNTER[2] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$25847$n135 Q=I2C_COUNTER[3] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$26600$n336 Q=I2C_COUNTER[3] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$25847$n135 Q=I2C_COUNTER[4] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$26600$n336 Q=I2C_COUNTER[4] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$25847$n135 Q=I2C_COUNTER[5] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$26600$n336 Q=I2C_COUNTER[5] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$25847$n135 Q=I2C_COUNTER[6] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$26600$n336 Q=I2C_COUNTER[6] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$25847$n135 Q=I2C_HID_DESC.DESC_TYPE R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$26600$n336 Q=I2C_HID_DESC.DESC_TYPE R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$25847$n135 Q=I2C_OUTPUT_TYPE[1] S=$abc$25847$n21 +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$26600$n336 Q=I2C_OUTPUT_TYPE[1] S=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$25847$n135 Q=I2C_OUTPUT_TYPE[2] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$26600$n336 Q=I2C_OUTPUT_TYPE[2] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[0] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$26600$n358 Q=I2C_OUT_DESC_MASK[0] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[1] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$26600$n358 Q=I2C_OUT_DESC_MASK[1] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[2] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$26600$n358 Q=I2C_OUT_DESC_MASK[2] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[3] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$26600$n358 Q=I2C_OUT_DESC_MASK[3] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[4] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$26600$n358 Q=I2C_OUT_DESC_MASK[4] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[5] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$26600$n358 Q=I2C_OUT_DESC_MASK[5] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[6] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$26600$n358 Q=I2C_OUT_DESC_MASK[6] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[7] R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$26600$n358 Q=I2C_OUT_DESC_MASK[7] R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$25847$n184 Q=LED3 R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$26600$n385 Q=LED3 R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$25847$n184 Q=LED4 R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$26600$n385 Q=LED4 R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$25847$n135 Q=last_trans R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$26600$n336 Q=last_trans R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$25847$n135 Q=last_isr R=$abc$25847$n21 +.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$26600$n336 Q=last_isr R=$abc$26600$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1733 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[0] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1736 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[1] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1739 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[2] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1742 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[3] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1745 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[4] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1748 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[5] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1751 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[6] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1754 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[7] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$false E=$abc$25847$n280 Q=KEYBOARD.is_ghost -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1662 E=$abc$25847$n284 Q=KEYBOARD.tmr_wr_en -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1661 E=$abc$25847$n290 Q=KEYBOARD.report_wr_en R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1843 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1654 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[1] -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1848 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1656 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[3] -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1853 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1856 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1859 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1862 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1646 E=$abc$25847$n367 Q=KEYBOARD.report_adress_rd[0] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1648 E=$abc$25847$n367 Q=KEYBOARD.report_adress_rd[1] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1650 E=$abc$25847$n367 Q=KEYBOARD.report_adress_rd[2] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1652 E=$abc$25847$n367 Q=KEYBOARD.report_adress_rd[3] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1659 E=$abc$25847$n135 Q=KEYBOARD.IS_RAM_INIT S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1599 E=$abc$25847$n135 Q=KEYBOARD.last_adr[0] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1602 E=$abc$25847$n135 Q=KEYBOARD.last_adr[1] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1604 E=$abc$25847$n135 Q=KEYBOARD.last_adr[2] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1606 E=$abc$25847$n135 Q=KEYBOARD.last_adr[3] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1608 E=$abc$25847$n135 Q=KEYBOARD.last_adr[4] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1610 E=$abc$25847$n135 Q=KEYBOARD.last_adr[5] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1612 E=$abc$25847$n135 Q=KEYBOARD.last_adr[6] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1615 E=$abc$25847$n135 Q=KEYBOARD.last_adr[7] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1617 E=$abc$25847$n135 Q=KEYBOARD.last_adr[8] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1658 E=$abc$25847$n149 Q=KEYBOARD.last_wr -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1755 E=$abc$25847$n387 Q=KEYBOARD.is_pressed -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1619 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[0] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1621 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[1] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1623 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[2] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1625 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[3] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1627 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[4] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1629 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[5] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1631 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[6] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$25847$n1633 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[7] S=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2018 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[0] R=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2019 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[1] R=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2020 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[2] R=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2021 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[3] R=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2022 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[4] R=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2023 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[5] R=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2024 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[6] R=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2025 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[7] R=$abc$25847$n18 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2018 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[8] R=$abc$25847$n19 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2019 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[9] R=$abc$25847$n19 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2020 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[10] R=$abc$25847$n19 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2021 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[11] R=$abc$25847$n19 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2022 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[12] R=$abc$25847$n19 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2023 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[13] R=$abc$25847$n19 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2024 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[14] R=$abc$25847$n19 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n2025 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[15] R=$abc$25847$n19 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1717 E=$abc$25847$n410 Q=KEYBOARD.isr_internal R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$25847$n415 Q=KEYBOARD.isr R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1635 E=$abc$25847$n135 Q=KEYBOARD.row_counter[0] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1637 E=$abc$25847$n135 Q=KEYBOARD.row_counter[1] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1639 E=$abc$25847$n135 Q=KEYBOARD.row_counter[2] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1641 E=$abc$25847$n135 Q=KEYBOARD.row_counter[3] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n805 E=$abc$25847$n384 Q=KEYBOARD.row_time[0] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n823 E=$abc$25847$n384 Q=KEYBOARD.row_time[1] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n808 E=$abc$25847$n384 Q=KEYBOARD.row_time[2] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n939 E=$abc$25847$n384 Q=KEYBOARD.row_time[3] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n940 E=$abc$25847$n384 Q=KEYBOARD.row_time[4] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n941 E=$abc$25847$n384 Q=KEYBOARD.row_time[5] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n817 E=$abc$25847$n384 Q=KEYBOARD.row_time[6] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n236 E=$abc$25847$n384 Q=KEYBOARD.row_time[7] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1642 E=$abc$25847$n135 Q=KEYBOARD.row_time[8] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1643 E=$abc$25847$n135 Q=KEYBOARD.row_time[9] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n1644 E=$abc$25847$n135 Q=KEYBOARD.row_time[10] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n943 E=$abc$25847$n384 Q=KEYBOARD.row_time[11] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n944 E=$abc$25847$n384 Q=KEYBOARD.row_time[12] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n946 E=$abc$25847$n384 Q=KEYBOARD.row_time[13] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$25847$n947 E=$abc$25847$n384 Q=KEYBOARD.row_time[14] R=$abc$25847$n21 -.attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFF C=CLK D=SCL Q=I2C.SCLF -.attr src "top.v:19|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF -.attr src "top.v:19|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFNE C=CLK D=$abc$25847$n1090 E=I2C.FLT_SCL.RESET Q=I2C.wr -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1088 E=I2C.FLT_SCL.RESET Q=I2C.is_ack -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1086 E=I2C.FLT_SCL.RESET Q=I2C.is_adress -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n434 Q=I2C.received_byte[0] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n441 Q=I2C.received_byte[1] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n452 Q=I2C.received_byte[2] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n462 Q=I2C.received_byte[3] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n469 Q=I2C.received_byte[4] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n476 Q=I2C.received_byte[5] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n485 Q=I2C.received_byte[6] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n492 Q=I2C.received_byte[7] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1078 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1080 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$25847$n1082 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] -.attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$25847$n501 Q=I2C.FLT_SDA.out S=$abc$25847$n21 +.gate SB_DFFNESR C=CLK D=$abc$26600$n1798 E=$abc$26600$n475 Q=KEYBOARD.tmr_to_ram[0] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1801 E=$abc$26600$n475 Q=KEYBOARD.tmr_to_ram[1] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1804 E=$abc$26600$n475 Q=KEYBOARD.tmr_to_ram[2] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1807 E=$abc$26600$n475 Q=KEYBOARD.tmr_to_ram[3] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1810 E=$abc$26600$n475 Q=KEYBOARD.tmr_to_ram[4] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1813 E=$abc$26600$n475 Q=KEYBOARD.tmr_to_ram[5] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1816 E=$abc$26600$n475 Q=KEYBOARD.tmr_to_ram[6] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1819 E=$abc$26600$n475 Q=KEYBOARD.tmr_to_ram[7] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1821 E=$abc$26600$n605 Q=KEYBOARD.is_ghost +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1740 E=$abc$26600$n479 Q=KEYBOARD.tmr_wr_en +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1739 E=$abc$26600$n484 Q=KEYBOARD.report_wr_en R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1930 E=$abc$26600$n519 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1732 E=$abc$26600$n519 Q=KEYBOARD.report_data_wr[1] +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1935 E=$abc$26600$n519 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1734 E=$abc$26600$n519 Q=KEYBOARD.report_data_wr[3] +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1940 E=$abc$26600$n519 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1943 E=$abc$26600$n519 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1946 E=$abc$26600$n519 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1949 E=$abc$26600$n519 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1724 E=$abc$26600$n595 Q=KEYBOARD.report_adress_rd[0] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1726 E=$abc$26600$n595 Q=KEYBOARD.report_adress_rd[1] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1728 E=$abc$26600$n595 Q=KEYBOARD.report_adress_rd[2] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1730 E=$abc$26600$n595 Q=KEYBOARD.report_adress_rd[3] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1737 E=$abc$26600$n336 Q=KEYBOARD.IS_RAM_INIT S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1677 E=$abc$26600$n336 Q=KEYBOARD.last_adr[0] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1680 E=$abc$26600$n336 Q=KEYBOARD.last_adr[1] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1682 E=$abc$26600$n336 Q=KEYBOARD.last_adr[2] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1684 E=$abc$26600$n336 Q=KEYBOARD.last_adr[3] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1686 E=$abc$26600$n336 Q=KEYBOARD.last_adr[4] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1688 E=$abc$26600$n336 Q=KEYBOARD.last_adr[5] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1690 E=$abc$26600$n336 Q=KEYBOARD.last_adr[6] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1693 E=$abc$26600$n336 Q=KEYBOARD.last_adr[7] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1695 E=$abc$26600$n336 Q=KEYBOARD.last_adr[8] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1736 E=$abc$26600$n350 Q=KEYBOARD.last_wr +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$26600$n1822 E=$abc$26600$n607 Q=KEYBOARD.is_pressed +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1697 E=$abc$26600$n611 Q=KEYBOARD.COLUMN_SHADOW[0] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1699 E=$abc$26600$n611 Q=KEYBOARD.COLUMN_SHADOW[1] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1701 E=$abc$26600$n611 Q=KEYBOARD.COLUMN_SHADOW[2] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1703 E=$abc$26600$n611 Q=KEYBOARD.COLUMN_SHADOW[3] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1705 E=$abc$26600$n611 Q=KEYBOARD.COLUMN_SHADOW[4] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1707 E=$abc$26600$n611 Q=KEYBOARD.COLUMN_SHADOW[5] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1709 E=$abc$26600$n611 Q=KEYBOARD.COLUMN_SHADOW[6] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$26600$n1711 E=$abc$26600$n611 Q=KEYBOARD.COLUMN_SHADOW[7] S=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2119 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[0] R=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2120 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[1] R=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2121 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[2] R=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2122 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[3] R=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2123 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[4] R=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2124 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[5] R=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2125 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[6] R=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2126 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[7] R=$abc$26600$n18 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2119 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[8] R=$abc$26600$n19 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2120 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[9] R=$abc$26600$n19 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2121 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[10] R=$abc$26600$n19 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2122 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[11] R=$abc$26600$n19 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2123 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[12] R=$abc$26600$n19 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2124 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[13] R=$abc$26600$n19 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2125 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[14] R=$abc$26600$n19 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n2126 E=$abc$26600$n617 Q=KEYBOARD.ROWS_EN[15] R=$abc$26600$n19 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1782 E=$abc$26600$n627 Q=KEYBOARD.isr_internal R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$26600$n632 Q=KEYBOARD.isr R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1713 E=$abc$26600$n336 Q=KEYBOARD.row_counter[0] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1715 E=$abc$26600$n336 Q=KEYBOARD.row_counter[1] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1717 E=$abc$26600$n336 Q=KEYBOARD.row_counter[2] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1719 E=$abc$26600$n336 Q=KEYBOARD.row_counter[3] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n41 E=$abc$26600$n604 Q=KEYBOARD.row_time[0] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n98 E=$abc$26600$n604 Q=KEYBOARD.row_time[1] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n44 E=$abc$26600$n604 Q=KEYBOARD.row_time[2] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n939 E=$abc$26600$n604 Q=KEYBOARD.row_time[3] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n940 E=$abc$26600$n604 Q=KEYBOARD.row_time[4] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n941 E=$abc$26600$n604 Q=KEYBOARD.row_time[5] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n54 E=$abc$26600$n604 Q=KEYBOARD.row_time[6] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n55 E=$abc$26600$n604 Q=KEYBOARD.row_time[7] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1720 E=$abc$26600$n336 Q=KEYBOARD.row_time[8] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1721 E=$abc$26600$n336 Q=KEYBOARD.row_time[9] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n1722 E=$abc$26600$n336 Q=KEYBOARD.row_time[10] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n944 E=$abc$26600$n604 Q=KEYBOARD.row_time[11] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n945 E=$abc$26600$n604 Q=KEYBOARD.row_time[12] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n947 E=$abc$26600$n604 Q=KEYBOARD.row_time[13] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$26600$n948 E=$abc$26600$n604 Q=KEYBOARD.row_time[14] R=$abc$26600$n21 +.attr src "top.v:34|matrix_kbd.v:73|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$26600$n643 Q=I2C.FLT_SDA.out S=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$25847$n1174 E=$abc$25847$n502 Q=I2C.FLT_SDA.counter[0] R=$abc$25847$n21 +.gate SB_DFFER C=CLK D=$abc$26600$n1255 E=$abc$26600$n644 Q=I2C.FLT_SDA.counter[0] R=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$25847$n1175 E=$abc$25847$n502 Q=I2C.FLT_SDA.counter[1] R=$abc$25847$n21 +.gate SB_DFFER C=CLK D=$abc$26600$n1256 E=$abc$26600$n644 Q=I2C.FLT_SDA.counter[1] R=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$25847$n1176 E=$abc$25847$n502 Q=I2C.FLT_SDA.counter[2] R=$abc$25847$n21 +.gate SB_DFFER C=CLK D=$abc$26600$n1257 E=$abc$26600$n644 Q=I2C.FLT_SDA.counter[2] R=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$25847$n511 Q=I2C.FLT_SCL.out S=$abc$25847$n21 +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$26600$n653 Q=I2C.FLT_SCL.out S=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$25847$n1177 E=$abc$25847$n512 Q=I2C.FLT_SCL.counter[0] R=$abc$25847$n21 +.gate SB_DFFER C=CLK D=$abc$26600$n1258 E=$abc$26600$n654 Q=I2C.FLT_SCL.counter[0] R=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$25847$n1178 E=$abc$25847$n512 Q=I2C.FLT_SCL.counter[1] R=$abc$25847$n21 +.gate SB_DFFER C=CLK D=$abc$26600$n1259 E=$abc$26600$n654 Q=I2C.FLT_SCL.counter[1] R=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$25847$n1179 E=$abc$25847$n512 Q=I2C.FLT_SCL.counter[2] R=$abc$25847$n21 +.gate SB_DFFER C=CLK D=$abc$26600$n1260 E=$abc$26600$n654 Q=I2C.FLT_SCL.counter[2] R=$abc$26600$n21 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr src "top.v:19|i2c_slave.v:158" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$370[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$370[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$370[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$370[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$370[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$370[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$370[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$370[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr src "top.v:36|descriptors.v:147" .param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000 @@ -2715,7 +2899,7 @@ .param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] RDATA[1]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] RDATA[3]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] RDATA[5]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] RDATA[7]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] RDATA[9]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] RDATA[11]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] RDATA[13]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] RDATA[15]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.row_time[8] WADDR[1]=KEYBOARD.row_time[9] WADDR[2]=KEYBOARD.row_time[10] WADDR[3]=KEYBOARD.row_counter[0] WADDR[4]=KEYBOARD.row_counter[1] WADDR[5]=KEYBOARD.row_counter[2] WADDR[6]=KEYBOARD.row_counter[3] WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.tmr_wr_en WDATA[0]=KEYBOARD.tmr_to_ram[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.tmr_to_ram[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.tmr_to_ram[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.tmr_to_ram[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.tmr_to_ram[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.tmr_to_ram[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.tmr_to_ram[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.tmr_to_ram[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] RDATA[1]=$techmap7441\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] RDATA[3]=$techmap7441\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] RDATA[5]=$techmap7441\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] RDATA[7]=$techmap7441\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] RDATA[9]=$techmap7441\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] RDATA[11]=$techmap7441\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] RDATA[13]=$techmap7441\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] RDATA[15]=$techmap7441\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.row_time[8] WADDR[1]=KEYBOARD.row_time[9] WADDR[2]=KEYBOARD.row_time[10] WADDR[3]=KEYBOARD.row_counter[0] WADDR[4]=KEYBOARD.row_counter[1] WADDR[5]=KEYBOARD.row_counter[2] WADDR[6]=KEYBOARD.row_counter[3] WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.tmr_wr_en WDATA[0]=KEYBOARD.tmr_to_ram[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.tmr_to_ram[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.tmr_to_ram[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.tmr_to_ram[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.tmr_to_ram[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.tmr_to_ram[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.tmr_to_ram[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.tmr_to_ram[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -2735,7 +2919,7 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.last_adr[0] RADDR[1]=KEYBOARD.last_adr[1] RADDR[2]=KEYBOARD.last_adr[2] RADDR[3]=KEYBOARD.last_adr[3] RADDR[4]=KEYBOARD.last_adr[4] RADDR[5]=KEYBOARD.last_adr[5] RADDR[6]=KEYBOARD.last_adr[6] RADDR[7]=KEYBOARD.last_adr[7] RADDR[8]=KEYBOARD.last_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.last_adr[0] WADDR[1]=KEYBOARD.last_adr[1] WADDR[2]=KEYBOARD.last_adr[2] WADDR[3]=KEYBOARD.last_adr[3] WADDR[4]=KEYBOARD.last_adr[4] WADDR[5]=KEYBOARD.last_adr[5] WADDR[6]=KEYBOARD.last_adr[6] WADDR[7]=KEYBOARD.last_adr[7] WADDR[8]=KEYBOARD.last_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.last_wr WDATA[0]=KEYBOARD.COLUMN_SHADOW[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.COLUMN_SHADOW[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.COLUMN_SHADOW[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.COLUMN_SHADOW[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.COLUMN_SHADOW[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.COLUMN_SHADOW[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.COLUMN_SHADOW[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.COLUMN_SHADOW[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.last_adr[0] RADDR[1]=KEYBOARD.last_adr[1] RADDR[2]=KEYBOARD.last_adr[2] RADDR[3]=KEYBOARD.last_adr[3] RADDR[4]=KEYBOARD.last_adr[4] RADDR[5]=KEYBOARD.last_adr[5] RADDR[6]=KEYBOARD.last_adr[6] RADDR[7]=KEYBOARD.last_adr[7] RADDR[8]=KEYBOARD.last_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap7438\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap7438\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap7438\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap7438\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap7438\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap7438\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap7438\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap7438\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.last_adr[0] WADDR[1]=KEYBOARD.last_adr[1] WADDR[2]=KEYBOARD.last_adr[2] WADDR[3]=KEYBOARD.last_adr[3] WADDR[4]=KEYBOARD.last_adr[4] WADDR[5]=KEYBOARD.last_adr[5] WADDR[6]=KEYBOARD.last_adr[6] WADDR[7]=KEYBOARD.last_adr[7] WADDR[8]=KEYBOARD.last_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.last_wr WDATA[0]=KEYBOARD.COLUMN_SHADOW[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.COLUMN_SHADOW[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.COLUMN_SHADOW[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.COLUMN_SHADOW[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.COLUMN_SHADOW[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.COLUMN_SHADOW[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.COLUMN_SHADOW[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.COLUMN_SHADOW[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -2755,7 +2939,7 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap7439\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap7439\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap7439\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap7439\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap7439\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap7439\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap7439\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap7439\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -2775,8 +2959,8 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false -.attr src "top.v:34|matrix_kbd.v:295" +.gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$369[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$369[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$369[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$369[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$369[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$369[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$369[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$369[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.attr src "top.v:34|matrix_kbd.v:260" .param INIT_0 0000000001100010000000000101110100000000010010010000000001000101000000000110000000000000010110100000000001010100000000000100111100000000010100100000000000000000000000000100101000000000010011010000000001010111000000000101100000000000010010000000000001010000 .param INIT_1 0000000001100011000000000101111000000000010010110000000001001110000000000110000100000000010110110000000001010101000000000101011000000000000000000000000001011100000000000100110000000000010001000000000001011111000000000101100100000000010100110000000001010001 .param INIT_2 0000000000101001000000000010101100000000001101010000000000011110000000000001010000000000000001000000000000011101000000000000000000000000111000100000000000000000000000000000000000000000010001100000000001000111000000000000000000000000000000000000000011100110 @@ -2788,70 +2972,70 @@ .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] -.attr src "top.v:34|matrix_kbd.v:321" +.attr src "top.v:34|matrix_kbd.v:312" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=I2C_HID_DESC.CLK WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=REPORT_DATA.rdata[0] RDATA[1]=$techmap7440\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.rdata[1] RDATA[3]=$techmap7440\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.rdata[2] RDATA[5]=$techmap7440\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.rdata[3] RDATA[7]=$techmap7440\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.rdata[4] RDATA[9]=$techmap7440\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.rdata[5] RDATA[11]=$techmap7440\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.rdata[6] RDATA[13]=$techmap7440\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.rdata[7] RDATA[15]=$techmap7440\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=I2C_HID_DESC.CLK WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3391,6 +3575,14 @@ 1 1 .names I2C_HID_DESC.CLK REPORT_DATA.clk 1 1 +.names REPORT_DATA.rdata[0] REPORT_DATA.r_data[0] +1 1 +.names REPORT_DATA.rdata[1] REPORT_DATA.r_data[1] +1 1 +.names REPORT_DATA.rdata[2] REPORT_DATA.r_data[2] +1 1 +.names REPORT_DATA.rdata[3] REPORT_DATA.r_data[3] +1 1 .names I2C_COUNTER[0] REPORT_DATA.raddr[0] 1 1 .names I2C_COUNTER[1] REPORT_DATA.raddr[1] @@ -3409,22 +3601,6 @@ 1 1 .names $false REPORT_DATA.raddr[8] 1 1 -.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] -1 1 -.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] -1 1 -.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] -1 1 -.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] -1 1 -.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] -1 1 -.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] -1 1 -.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] -1 1 -.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] -1 1 .names wr_cnt[0] REPORT_DATA.waddr[0] 1 1 .names wr_cnt[1] REPORT_DATA.waddr[1] @@ -3511,21 +3687,21 @@ 1 1 .names ring_rd[3] report_data_radr[7] 1 1 -.names REPORT_DATA.r_data[0] report_data_rd[0] +.names REPORT_DATA.rdata[0] report_data_rd[0] 1 1 -.names REPORT_DATA.r_data[1] report_data_rd[1] +.names REPORT_DATA.rdata[1] report_data_rd[1] 1 1 -.names REPORT_DATA.r_data[2] report_data_rd[2] +.names REPORT_DATA.rdata[2] report_data_rd[2] 1 1 -.names REPORT_DATA.r_data[3] report_data_rd[3] +.names REPORT_DATA.rdata[3] report_data_rd[3] 1 1 -.names REPORT_DATA.r_data[4] report_data_rd[4] +.names REPORT_DATA.rdata[4] report_data_rd[4] 1 1 -.names REPORT_DATA.r_data[5] report_data_rd[5] +.names REPORT_DATA.rdata[5] report_data_rd[5] 1 1 -.names REPORT_DATA.r_data[6] report_data_rd[6] +.names REPORT_DATA.rdata[6] report_data_rd[6] 1 1 -.names REPORT_DATA.r_data[7] report_data_rd[7] +.names REPORT_DATA.rdata[7] report_data_rd[7] 1 1 .names wr_cnt[0] report_data_wadr[0] 1 1