diff --git a/i2c_keyboard/Makefile b/i2c_keyboard/Makefile index 87dbfaf..66bacdc 100644 --- a/i2c_keyboard/Makefile +++ b/i2c_keyboard/Makefile @@ -15,7 +15,17 @@ nextpnr: top.v inouts.pcf icebox_explain i2c_kbd_alt.asc > i2c_kbd_alt.ex icepack i2c_kbd_alt.asc i2c_kbd_alt.bin +burn: + iceprog -d i:0x0403:0x6010 i2c_kbd_alt.bin + +burn0: + iceprog -d i:0x0403:0x6010:0 i2c_kbd_alt.bin + +burn1: + iceprog -d i:0x0403:0x6010:1 i2c_kbd_alt.bin + clean: rm -f i2c_kbd_alt.blif i2c_kbd_alt.asc i2c_kbd_alt.ex i2c_kbd_alt.bin i2_kbd_alt.json -#icetime -tmd hx1k i2c_kbd_alt.asc +time: + icetime -tmd hx1k i2c_kbd_alt.asc diff --git a/i2c_keyboard/i2c_kbd_alt.bin b/i2c_keyboard/i2c_kbd_alt.bin index 0e08990..fda61d6 100644 Binary files a/i2c_keyboard/i2c_kbd_alt.bin and b/i2c_keyboard/i2c_kbd_alt.bin differ diff --git a/i2c_keyboard/i2c_kbd_alt.blif b/i2c_keyboard/i2c_kbd_alt.blif index 3051ea5..490a2a5 100644 --- a/i2c_keyboard/i2c_kbd_alt.blif +++ b/i2c_keyboard/i2c_kbd_alt.blif @@ -7,355 +7,383 @@ .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$19662$n618 I1=$abc$19662$n512_1 I2=$abc$19662$n647 I3=I2C.FLT_SCL.RESET O=$abc$19662$n1 +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=LED1 I2=$abc$21987$n569_1 I3=I2C.FLT_SCL.RESET O=$abc$21987$n1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111100000000000 -.gate SB_LUT4 I0=$abc$19662$n513 I1=$abc$19662$n614 I2=$abc$19662$n616 I3=$abc$19662$n617 O=$abc$19662$n512_1 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$2\I2C_OUTPUT_TYPE[2:0][0] I1=$2\I2C_OUTPUT_TYPE[2:0][2] I2=$2\I2C_OUTPUT_TYPE[2:0][1] I3=$abc$21987$n667 O=$abc$21987$n569_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$19662$n608_1 I1=$abc$19662$n1014 I2=$abc$19662$n514 I3=$abc$19662$n611 O=$abc$19662$n513 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$21987$n571 I1=$abc$21987$n664 I2=I2C_OUTPUT_TYPE[1] I3=$abc$21987$n665 O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$19662$n524 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$19662$n515 I3=$abc$19662$n607 O=$abc$19662$n514 +.param LUT_INIT 1011101111110000 +.gate SB_LUT4 I0=$abc$21987$n662 I1=I2C_OUTPUT_TYPE[1] I2=$abc$21987$n660 I3=$abc$21987$n572 O=$abc$21987$n571 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100110111000000 -.gate SB_LUT4 I0=$abc$19662$n1063 I1=$abc$19662$n588 I2=$abc$19662$n604 I3=$abc$19662$n601_1 O=$abc$19662$n515 +.param LUT_INIT 1110000011101110 +.gate SB_LUT4 I0=$abc$21987$n1807 I1=$abc$21987$n616 I2=$false I3=$false O=$abc$21987$n572 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n658 I1=$abc$21987$n574_1 I2=$abc$21987$n656 I3=$abc$21987$n652 O=$abc$21987$n573_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011110100 -.gate SB_LUT4 I0=$abc$19662$n553 I1=$abc$19662$n518 I2=$abc$19662$n548 I3=$false O=$abc$19662$n524 +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=$abc$21987$n620 I1=$abc$21987$n612 I2=$abc$21987$n643 I3=$abc$21987$n575 O=$abc$21987$n574_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$19662$n530 I1=$abc$19662$n519 I2=$abc$19662$n541 I3=$abc$19662$n546 O=$abc$19662$n518 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111000000000 +.gate SB_LUT4 I0=$abc$21987$n602 I1=$abc$21987$n576 I2=$abc$21987$n611_1 I3=$abc$21987$n616 O=$abc$21987$n575 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$19662$n520 I1=$abc$19662$n523 I2=$abc$19662$n525_1 I3=$abc$19662$n528_1 O=$abc$19662$n519 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$21987$n577 I1=$abc$21987$n589_1 I2=$abc$21987$n1161 I3=$abc$21987$n586 O=$abc$21987$n576 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$19662$n522 I2=I2C.received_byte[0] I3=$abc$19662$n521_1 O=$abc$19662$n520 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$21987$n580 I1=$abc$21987$n583 I2=$abc$21987$n578 I3=$abc$21987$n585 O=$abc$21987$n577 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=$false I3=$false O=$abc$19662$n521_1 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=$abc$21987$n579 I3=I2C_COUNTER[1] O=$abc$21987$n578 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$19662$n522 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$21987$n579 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$19662$n524_1 I2=$false I3=$false O=$abc$19662$n523 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[0] I2=i2c_input_data_type[2] I3=$false O=$abc$19662$n524_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n527 I1=$abc$19662$n522 I2=$abc$19662$n521_1 I3=$abc$19662$n526 O=$abc$19662$n525_1 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$21987$n581 I3=$abc$21987$n582 O=$abc$21987$n580 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$19662$n526 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$21987$n581 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=I2C.received_byte[1] I3=$false O=$abc$19662$n527 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$21987$n582 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$19662$n529_1 I3=$false O=$abc$19662$n528_1 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$21987$n584 I2=$abc$21987$n581 I3=$false O=$abc$21987$n583 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$19662$n529_1 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$21987$n584 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$19662$n539 I1=$abc$19662$n531_1 I2=$abc$19662$n536 I3=$abc$19662$n534_1 O=$abc$19662$n530 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$21987$n585 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19662$n533 I2=I2C_COUNTER[2] I3=$abc$19662$n532_1 O=$abc$19662$n531_1 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$21987$n588 I1=I2C_COUNTER[1] I2=$abc$21987$n587 I3=i2c_input_data_type[2] O=$abc$21987$n586 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$19662$n532_1 +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$21987$n579 I2=$false I3=$false O=$abc$21987$n587 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$19662$n533 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19662$n535_1 I2=I2C_COUNTER[2] I3=$abc$19662$n533 O=$abc$19662$n534_1 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$21987$n584 I2=I2C_COUNTER[0] I3=$abc$21987$n581 O=$abc$21987$n588 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=I2C_COUNTER[1] I2=i2c_input_data_type[1] I3=i2c_input_data_type[2] O=$abc$19662$n535_1 +.gate SB_LUT4 I0=$abc$21987$n591 I1=$abc$21987$n594 I2=$abc$21987$n590 I3=$abc$21987$n593 O=$abc$21987$n589_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$19662$n521_1 I1=$abc$19662$n537_1 I2=I2C_COUNTER[0] I3=$abc$19662$n522 O=$abc$19662$n536 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$21987$n581 I2=$abc$21987$n584 I3=$abc$21987$n585 O=$abc$21987$n590 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$19662$n537_1 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=$abc$21987$n584 I3=$abc$21987$n592_1 O=$abc$21987$n591 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$19662$n540 I2=$abc$19662$n522 I3=$false O=$abc$19662$n539 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=I2C.received_byte[1] I3=$false O=$abc$21987$n592_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$19662$n540 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$21987$n579 I3=I2C_COUNTER[1] O=$abc$21987$n593 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$21987$n594 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n543_1 I1=$abc$19662$n544 I2=i2c_input_data_type[1] I3=$abc$19662$n542 O=$abc$19662$n541 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$21987$n597 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011111000 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19662$n529_1 I3=I2C_COUNTER[0] O=$abc$19662$n542 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$21987$n598 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=$abc$19662$n529_1 I3=I2C_COUNTER[1] O=$abc$19662$n543_1 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[2] I2=$abc$21987$n600 I3=$false O=$abc$21987$n599 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$19662$n545 I1=$abc$19662$n537_1 I2=$abc$19662$n524_1 I3=$abc$19662$n521_1 O=$abc$19662$n544 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$21987$n600 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$false I3=$false O=$abc$19662$n545 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$21987$n603 I1=$abc$21987$n606 I2=$false I3=$false O=$abc$21987$n602 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$19662$n547 I1=$abc$19662$n536 I2=i2c_input_data_type[1] I3=$false O=$abc$19662$n546 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n605_1 I1=$abc$21987$n604 I2=I2C.received_byte[2] I3=$abc$21987$n1846 O=$abc$21987$n603 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$21987$n597 I2=$abc$21987$n579 I3=$false O=$abc$21987$n604 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19662$n529_1 I3=$false O=$abc$19662$n547 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n584 I1=$abc$21987$n581 I2=$abc$21987$n1843 I3=$false O=$abc$21987$n605_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n549 I1=I2C.received_byte[1] I2=i2c_input_data_type[1] I3=$abc$19662$n551_1 O=$abc$19662$n548 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$21987$n607_1 I2=$false I3=$false O=$abc$21987$n606 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$21987$n607_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n610_1 I1=i2c_input_data_type[3] I2=$abc$21987$n609 I3=I2C.received_byte[3] O=$abc$21987$n616 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111011100001111 -.gate SB_LUT4 I0=$abc$19662$n1647 I1=$abc$19662$n520 I2=$abc$19662$n550 I3=$abc$19662$n1650 O=$abc$19662$n549 +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$21987$n605_1 I1=$abc$21987$n604 I2=$abc$21987$n606 I3=$abc$21987$n1846 O=$abc$21987$n609 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19662$n529_1 I2=$abc$19662$n532_1 I3=$false O=$abc$19662$n550 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n588 I1=I2C_COUNTER[1] I2=$abc$21987$n606 I3=$abc$21987$n587 O=$abc$21987$n610_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$21987$n606 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$21987$n611_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$21987$n613 I1=$abc$21987$n617 I2=$abc$21987$n619_1 I3=$abc$21987$n620_1 O=$abc$21987$n612 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$abc$21987$n1161 I1=$abc$21987$n589_1 I2=i2c_input_data_type[1] I3=$abc$21987$n614 O=$abc$21987$n613 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$21987$n580 I1=$abc$21987$n578 I2=$abc$21987$n616_1 I3=$false O=$abc$21987$n614 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111111 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$21987$n579 I3=I2C_COUNTER[0] O=$abc$21987$n615 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[0] I2=i2c_input_data_type[2] I3=$false O=$abc$21987$n616_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$19662$n552 I2=$false I3=$false O=$abc$19662$n551_1 +.gate SB_LUT4 I0=$abc$21987$n583 I1=$abc$21987$n615 I2=$abc$21987$n618 I3=$false O=$abc$21987$n617 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$19662$n552 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$21987$n604 I1=$abc$21987$n606 I2=$false I3=$false O=$abc$21987$n618 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n550 I1=$abc$19662$n551_1 I2=$false I3=$false O=$abc$19662$n553 +.gate SB_LUT4 I0=$abc$21987$n606 I1=i2c_input_data_type[1] I2=$abc$21987$n609 I3=I2C.received_byte[1] O=$abc$21987$n619_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n556 I1=$abc$19662$n1674 I2=$false I3=$false O=$abc$19662$n555 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$21987$n621 I1=$abc$21987$n633 I2=$abc$21987$n1801 I3=$abc$21987$n636 O=$abc$21987$n620_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n1679 I1=$abc$19662$n1677 I2=$abc$19662$n1678 I3=$false O=$abc$19662$n556 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$21987$n1806 I1=$abc$21987$n1804 I2=$abc$21987$n1805 I3=$false O=$abc$21987$n621 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n560 I3=$abc$19662$n561 O=$abc$19662$n1679 +.gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n625 I3=$abc$21987$n626_1 O=$abc$21987$n1806 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$19662$n558 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$21987$n623_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$19662$n559 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$21987$n624_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1104 I2=I2C.wr I3=$false O=$abc$19662$n560 +.gate SB_LUT4 I0=last_wr I1=$abc$21987$n1229 I2=I2C.wr I3=$false O=$abc$21987$n625 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[6] I3=$false O=$abc$19662$n561 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[6] I3=$false O=$abc$21987$n626_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n563 I3=$abc$19662$n564 O=$abc$19662$n1677 +.gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n628 I3=$abc$21987$n629_1 O=$abc$21987$n1804 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1100 I2=I2C.wr I3=$false O=$abc$19662$n563 +.gate SB_LUT4 I0=last_wr I1=$abc$21987$n1225 I2=I2C.wr I3=$false O=$abc$21987$n628 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[4] I3=$false O=$abc$19662$n564 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[4] I3=$false O=$abc$21987$n629_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n566 I3=$abc$19662$n567 O=$abc$19662$n1678 +.gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n631 I3=$abc$21987$n632_1 O=$abc$21987$n1805 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1102 I2=I2C.wr I3=$false O=$abc$19662$n566 +.gate SB_LUT4 I0=last_wr I1=$abc$21987$n1227 I2=I2C.wr I3=$false O=$abc$21987$n631 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[5] I3=$false O=$abc$19662$n567 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[5] I3=$false O=$abc$21987$n632_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$19662$n569 I1=$abc$19662$n552 I2=I2C_COUNTER[0] I3=I2C_COUNTER[1] O=$abc$19662$n1674 +.gate SB_LUT4 I0=$abc$21987$n634 I1=$abc$21987$n1217 I2=I2C_COUNTER[0] I3=$abc$21987$n607_1 O=$abc$21987$n633 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000110111 -.gate SB_LUT4 I0=$abc$19662$n558 I1=$abc$19662$n559 I2=$false I3=$false O=$abc$19662$n569 +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$21987$n623_1 I1=$abc$21987$n624_1 I2=$false I3=$false O=$abc$21987$n634 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n569 I1=$abc$19662$n1092 I2=I2C_COUNTER[0] I3=$abc$19662$n552 O=$abc$19662$n570 +.gate SB_LUT4 I0=$abc$21987$n634 I1=$abc$21987$n607_1 I2=I2C_COUNTER[0] I3=I2C_COUNTER[1] O=$abc$21987$n1801 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n572 I3=$abc$19662$n573 O=$abc$19662$n1676 +.param LUT_INIT 0100000000110111 +.gate SB_LUT4 I0=$abc$21987$n1803 I1=$abc$21987$n1802 I2=$false I3=$false O=$abc$21987$n636 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n638_1 I3=$abc$21987$n639 O=$abc$21987$n1803 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1098 I2=I2C.wr I3=$false O=$abc$19662$n572 +.gate SB_LUT4 I0=last_wr I1=$abc$21987$n1223 I2=I2C.wr I3=$false O=$abc$21987$n638_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[3] I3=$false O=$abc$19662$n573 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[3] I3=$false O=$abc$21987$n639 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n575 I3=$abc$19662$n576 O=$abc$19662$n1675 +.gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n641 I3=$abc$21987$n642 O=$abc$21987$n1802 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1096 I2=I2C.wr I3=$false O=$abc$19662$n575 +.gate SB_LUT4 I0=last_wr I1=$abc$21987$n1221 I2=I2C.wr I3=$false O=$abc$21987$n641 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[2] I3=$false O=$abc$19662$n576 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[2] I3=$false O=$abc$21987$n642 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$19662$n549 I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$19662$n578 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19662$n581 I2=$abc$19662$n543_1 I3=$abc$19662$n523 O=$abc$19662$n580_1 +.gate SB_LUT4 I0=$abc$21987$n617 I1=$abc$21987$n613 I2=$abc$21987$n644 I3=$abc$21987$n619_1 O=$abc$21987$n643 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$19662$n521_1 I1=$abc$19662$n537_1 I2=$false I3=$false O=$abc$19662$n581 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$21987$n633 I1=$abc$21987$n621 I2=$abc$21987$n1801 I3=$abc$21987$n645 O=$abc$21987$n644 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n584 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$19662$n583_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n1802 I1=$abc$21987$n1803 I2=$false I3=$false O=$abc$21987$n645 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n536 I1=$abc$19662$n547 I2=$abc$19662$n551_1 I3=$false O=$abc$19662$n584 +.gate SB_LUT4 I0=$abc$21987$n650 I1=$abc$21987$n647 I2=$abc$21987$n648 I3=$abc$21987$n649 O=$abc$21987$n620 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n1675 I1=$abc$19662$n1676 I2=$false I3=$false O=$abc$19662$n587 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$21987$n589_1 I1=$abc$21987$n1161 I2=$false I3=$false O=$abc$21987$n647 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n589 I1=$abc$19662$n525 I2=$abc$19662$n1681 I3=$abc$19662$n521 O=$abc$19662$n588 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$21987$n615 I1=$abc$21987$n583 I2=$abc$21987$n618 I3=i2c_input_data_type[0] O=$abc$21987$n648 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$19662$n518 I1=$abc$19662$n548 I2=$abc$19662$n590 I3=$false O=$abc$19662$n589 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$19662$n1674 I1=$abc$19662$n570 I2=$abc$19662$n556 I3=$abc$19662$n587 O=$abc$19662$n590 +.param LUT_INIT 1101000000000000 +.gate SB_LUT4 I0=$abc$21987$n606 I1=i2c_input_data_type[0] I2=$abc$21987$n609 I3=I2C.received_byte[0] O=$abc$21987$n649 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$19662$n519 I1=$abc$19662$n536 I2=$abc$19662$n530 I3=$abc$19662$n594_1 O=$abc$19662$n592 +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$21987$n651 I1=$abc$21987$n578 I2=$abc$21987$n585 I3=$false O=$abc$21987$n650 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111101011110011 -.gate SB_LUT4 I0=$abc$19662$n543_1 I1=$abc$19662$n523 I2=$abc$19662$n595 I3=$abc$19662$n542 O=$abc$19662$n594_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$21987$n582 I2=$abc$21987$n581 I3=$false O=$abc$21987$n651 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001111111 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=$abc$19662$n521_1 I3=$abc$19662$n537_1 O=$abc$19662$n595 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n619 I1=$abc$21987$n620 I2=$abc$21987$n654 I3=$abc$21987$n645 O=$abc$21987$n652 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111111111111 -.gate SB_LUT4 I0=$abc$19662$n549 I1=I2C.received_byte[3] I2=i2c_input_data_type[3] I3=$abc$19662$n584 O=$abc$19662$n521 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n617 I1=$abc$21987$n613 I2=$abc$21987$n619_1 I3=$false O=$abc$21987$n619 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111011100001111 -.gate SB_LUT4 I0=$abc$19662$n1681 I1=$abc$19662$n525 I2=$abc$19662$n521 I3=$abc$19662$n602 O=$abc$19662$n601_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$21987$n1840 I1=$abc$21987$n655 I2=$false I3=$false O=$abc$21987$n654 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$19662$n553 I1=$abc$19662$n518 I2=$abc$19662$n603 I3=$abc$19662$n548 O=$abc$19662$n602 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n633 I1=$abc$21987$n1801 I2=$abc$21987$n621 I3=$false O=$abc$21987$n655 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$21987$n575 I1=$abc$21987$n619 I2=$abc$21987$n620 I3=$abc$21987$n657 O=$abc$21987$n656 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$19662$n556 I1=$abc$19662$n1674 I2=$abc$19662$n570 I3=$abc$19662$n587 O=$abc$19662$n603 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$21987$n621 I1=$abc$21987$n633 I2=$abc$21987$n1801 I3=$abc$21987$n645 O=$abc$21987$n657 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$19662$n605 I1=$abc$19662$n1676 I2=$abc$19662$n1675 I3=$abc$19662$n1644 O=$abc$19662$n604 +.gate SB_LUT4 I0=$abc$21987$n655 I1=$abc$21987$n1803 I2=$abc$21987$n1802 I3=$abc$21987$n1840 O=$abc$21987$n658 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$19662$n1674 I1=$abc$19662$n570 I2=$abc$19662$n556 I3=$false O=$abc$19662$n605 +.gate SB_LUT4 I0=$abc$21987$n576 I1=$abc$21987$n602 I2=$abc$21987$n611_1 I3=$false O=$abc$21987$n1807 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n588 I1=$abc$19662$n1063 I2=$abc$19662$n604 I3=$abc$19662$n525 O=$22\i2c_input_data_type[3:0][0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000001101 -.gate SB_LUT4 I0=$abc$19662$n1681 I1=$abc$19662$n521 I2=$false I3=$false O=$abc$19662$n607 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n610 I1=$abc$19662$n609 I2=I2C_HID_DESC.DESC_TYPE I3=$false O=$abc$19662$n608_1 +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$21987$n619 I1=$abc$21987$n573_1 I2=$22\i2c_input_data_type[3:0][0] I3=$false O=$abc$21987$n660 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$19662$n588 I1=$abc$19662$n1063 I2=$abc$19662$n604 I3=$false O=$abc$19662$n609 +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$21987$n574_1 I1=$abc$21987$n658 I2=$abc$21987$n620 I3=$false O=$22\i2c_input_data_type[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$19662$n1681 I1=$abc$19662$n525 I2=$abc$19662$n521 I3=$false O=$abc$19662$n610 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n619 I2=$abc$21987$n663 I3=$false O=$abc$21987$n662 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$19662$n612 I2=$false I3=$false O=$abc$19662$n611 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$21987$n575 I1=$abc$21987$n620 I2=$false I3=$false O=$abc$21987$n663 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$19662$n612 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n619 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$21987$n572 I3=I2C.is_read O=$abc$21987$n664 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$21987$n665 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 @@ -363,1547 +391,1663 @@ .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n615 I1=$abc$19662$n1016 I2=$abc$19662$n514 I3=$abc$19662$n611 O=$abc$19662$n614 +.gate SB_LUT4 I0=$abc$21987$n668 I1=I2C_TRANS I2=$3\int_tmr[14:0][14] I3=$false O=$abc$21987$n667 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$2\ring_rd[3:0][3] I1=$2\ring_wr[3:0][3] I2=$abc$21987$n669 I3=$abc$21987$n684 O=$abc$21987$n668 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$19662$n610 I1=$abc$19662$n609 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$19662$n615 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$21987$n670 I1=$2\ring_wr[3:0][1] I2=ring_rd[1] I3=$false O=$abc$21987$n669 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$19662$n612 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$19662$n616 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n612 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$19662$n617 +.param LUT_INIT 01101001 +.gate SB_LUT4 I0=$abc$21987$n671 I1=ring_rd[0] I2=$false I3=$false O=$abc$21987$n670 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19662$n619 I2=$abc$19662$n612 I3=$abc$19662$n622 O=$abc$19662$n618 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n679 I1=$abc$21987$n672 I2=$abc$21987$n682 I3=$false O=$abc$21987$n671 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011101000000000 -.gate SB_LUT4 I0=$abc$19662$n620 I1=$abc$19662$n677 I2=I2C.is_read I3=$abc$19662$n514 O=$abc$19662$n619 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=ring_rd[2] I3=$2\ring_wr[3:0][2] O=$abc$21987$n672 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=$abc$19662$n610 I1=$abc$19662$n609 I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$19662$n620 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=ring_wr[3] I1=$abc$21987$n1354 I2=$abc$21987$n674 I3=$false O=$2\ring_wr[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$19662$n623 I1=$abc$19662$n638 I2=$abc$19662$n639 I3=$abc$19662$n643_1 O=$abc$19662$n622 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$21987$n677_1 I1=$abc$21987$n675_1 I2=KEYBOARD.isr I3=last_isr O=$abc$21987$n674 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$19662$n1116 I1=ring_rd[3] I2=$abc$19662$n624 I3=$2\ring_wr[3:0][3] O=$abc$19662$n623 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$21987$n425 I1=ring_rd[2] I2=$abc$21987$n1351 I3=$abc$21987$n676 O=$abc$21987$n675_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010110001010011 -.gate SB_LUT4 I0=$abc$19662$n625 I1=$abc$19662$n641 I2=$false I3=$false O=$abc$19662$n624 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=$abc$19662$n626 I3=$abc$19662$n632 O=$abc$19662$n625 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$21987$n1345 I2=ring_rd[3] I3=$abc$21987$n1354 O=$abc$21987$n676 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=ring_rd[1] I2=$false I3=$false O=$abc$19662$n626 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$21987$n677_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$19662$n628 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01101001 +.gate SB_LUT4 I0=ring_wr[2] I1=$abc$21987$n1351 I2=$abc$21987$n674 I3=$false O=$2\ring_wr[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01111000 -.gate SB_LUT4 I0=$abc$19662$n631 I1=$abc$19662$n629 I2=KEYBOARD.isr I3=last_isr O=$abc$19662$n628 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=ring_rd[0] I2=$2\ring_wr[3:0][1] I3=ring_rd[1] O=$abc$21987$n679 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$19662$n345 I1=ring_rd[2] I2=$abc$19662$n1240 I3=$abc$19662$n630 O=$abc$19662$n629 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=ring_wr[0] I1=$abc$21987$n1345 I2=$abc$21987$n674 I3=$false O=$2\ring_wr[3:0][0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$19662$n1234 I2=ring_rd[3] I3=$abc$19662$n1243 O=$abc$19662$n630 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$21987$n674 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=$abc$21987$n604 I1=$abc$21987$n683 I2=$abc$21987$n607_1 I3=I2C.is_read O=$abc$21987$n682 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$19662$n631 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$21987$n683 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01101001 -.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=ring_rd[2] I2=ring_rd[0] I3=$2\ring_wr[3:0][0] O=$abc$19662$n632 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$2\ring_rd[3:0][0] I3=$2\ring_wr[3:0][0] O=$abc$21987$n684 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=ring_wr[2] I1=$abc$19662$n1240 I2=$abc$19662$n628 I3=$false O=$2\ring_wr[3:0][2] +.gate SB_LUT4 I0=ring_rd[2] I1=$abc$21987$n1238 I2=$abc$21987$n671 I3=$false O=$2\ring_rd[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=ring_wr[0] I1=$abc$19662$n1234 I2=$abc$19662$n628 I3=$false O=$2\ring_wr[3:0][0] +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$21987$n1232 I2=$abc$21987$n671 I3=$false O=$2\ring_rd[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=ring_wr[3] I1=$abc$19662$n1243 I2=$abc$19662$n628 I3=$false O=$2\ring_wr[3:0][3] +.gate SB_LUT4 I0=ring_rd[3] I1=$abc$21987$n1241 I2=$abc$21987$n671 I3=$false O=$2\ring_rd[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$19662$n637 +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n1845 I1=$abc$21987$n683 I2=$abc$21987$n690 I3=$false O=$abc$21987$n689_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n1107 I1=ring_rd[0] I2=$abc$19662$n624 I3=$2\ring_wr[3:0][0] O=$abc$19662$n638 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n665 I1=I2C.is_read I2=$false I3=$false O=$abc$21987$n690 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010110001010011 -.gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$abc$19662$n642 I3=$abc$19662$n626 O=$abc$19662$n639 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n693 I1=$abc$21987$n692 I2=$abc$21987$n695_1 I3=$abc$21987$n694 O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000100110010000 -.gate SB_LUT4 I0=$abc$19662$n1113 I1=ring_rd[2] I2=$abc$19662$n625 I3=$abc$19662$n641 O=$2\ring_rd[3:0][2] +.param LUT_INIT 1111111100010000 +.gate SB_LUT4 I0=$abc$21987$n660 I1=$abc$21987$n1147 I2=$abc$21987$n572 I3=$false O=$abc$21987$n692 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$19662$n550 I1=$abc$19662$n637 I2=$abc$19662$n552 I3=I2C.is_read O=$abc$19662$n641 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$21987$n662 I1=I2C_HID_DESC.DESC_TYPE I2=$abc$21987$n660 I3=$abc$21987$n572 O=$abc$21987$n693 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$19662$n625 I1=$abc$19662$n641 I2=ring_rd[0] I3=$false O=$abc$19662$n642 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_TRANS I1=$3\int_tmr[14:0][14] I2=$false I3=$false O=$abc$19662$n643_1 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$21987$n665 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$21987$n694 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] +.gate SB_LUT4 I0=I2C.is_read I1=$abc$21987$n665 I2=$false I3=$false O=$abc$21987$n695_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n1649 I1=$abc$19662$n637 I2=$abc$19662$n646 I3=$false O=$abc$19662$n645 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$21987$n697 I2=I2C_OUTPUT_TYPE[2] I3=$abc$21987$n665 O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n612 I1=I2C.is_read I2=$false I3=$false O=$abc$19662$n646 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100010011110000 +.gate SB_LUT4 I0=$abc$21987$n1149 I1=$abc$21987$n698 I2=$abc$21987$n660 I3=$abc$21987$n572 O=$abc$21987$n697 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n645 I1=LED1 I2=$false I3=$false O=$abc$19662$n647 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101011001100 +.gate SB_LUT4 I0=$abc$21987$n619 I1=$abc$21987$n573_1 I2=$abc$21987$n663 I3=I2C_OUTPUT_TYPE[2] O=$abc$21987$n698 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$19662$n1420 I1=$abc$19662$n655 I2=$abc$19662$n650_1 I3=UART.tx_activity O=$abc$19662$n7 +.gate SB_LUT4 I0=$abc$21987$n1530 I1=$abc$21987$n706 I2=$abc$21987$n701 I3=UART.tx_activity O=$abc$21987$n7 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$19662$n654 I1=$abc$19662$n651 I2=$abc$19662$n1584 I3=$abc$19662$n1570 O=$abc$19662$n650_1 +.gate SB_LUT4 I0=$abc$21987$n705 I1=$abc$21987$n702 I2=$abc$21987$n1026 I3=$abc$21987$n1012 O=$abc$21987$n701 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101000000011 -.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$19662$n652 I3=$false O=$abc$19662$n651 +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$21987$n703_1 I3=$false O=$abc$21987$n702 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$19662$n1624 I1=$abc$19662$n1625 I2=$false I3=$false O=$abc$19662$n652 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$21987$n1798 I1=$abc$21987$n1799 I2=$false I3=$false O=$abc$21987$n703_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$19662$n1624 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$21987$n1798 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$19662$n652 I3=$false O=$abc$19662$n654 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$21987$n703_1 I3=$false O=$abc$21987$n705 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n657 I1=$abc$19662$n656 I2=$abc$19662$n1570 I3=$abc$19662$n1584 O=$abc$19662$n655 +.gate SB_LUT4 I0=$abc$21987$n708 I1=$abc$21987$n707 I2=$abc$21987$n1012 I3=$abc$21987$n1026 O=$abc$21987$n706 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100010100000000 -.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$19662$n652 I3=$false O=$abc$19662$n656 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$21987$n703_1 I3=$false O=$abc$21987$n707 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$19662$n652 I3=$false O=$abc$19662$n657 +.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$21987$n703_1 I3=$false O=$abc$21987$n708 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$19662$n25 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$21987$n36 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$19662$n661 I1=$abc$19662$n660 I2=$abc$19662$n25 I3=$false O=$abc$19662$n26 +.gate SB_LUT4 I0=$abc$21987$n711 I1=I2C.wr I2=last_wr I3=$abc$21987$n36 O=$abc$21987$n37 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$19662$n612 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19662$n660 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n36 I1=I2C.wr I2=last_wr I3=$false O=$abc$19662$n661 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=I2C.FLT_SCL.RESET I3=$false O=$abc$21987$n711 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000001 -.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$19662$n36 +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19662$n30 +.gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$21987$n51 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$19662$n36 I3=$abc$19662$n30 O=$abc$19662$n31 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$21987$n42 I3=$abc$21987$n51 O=$abc$21987$n52 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19662$n30 I3=$abc$19662$n666 O=$abc$19662$n45 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$21987$n51 I3=$abc$21987$n716 O=$abc$21987$n56 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111111111111 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$19662$n666 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$21987$n716 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$19662$n670 I1=$abc$19662$n25 I2=$abc$19662$n668 I3=$false O=$abc$19662$n59 +.gate SB_LUT4 I0=$abc$21987$n720_1 I1=$abc$21987$n36 I2=$abc$21987$n718 I3=$false O=$abc$21987$n70 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19662$n669 I3=$abc$19662$n30 O=$abc$19662$n668 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$21987$n719 I3=$abc$21987$n51 O=$abc$21987$n718 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$19662$n669 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$21987$n719 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$19662$n671 I3=$false O=$abc$19662$n670 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$21987$n721 I3=$false O=$abc$21987$n720_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$19662$n733 I1=$abc$19662$n736 I2=$abc$19662$n737 I3=$false O=$abc$19662$n671 +.gate SB_LUT4 I0=$abc$21987$n818 I1=$abc$21987$n821 I2=$abc$21987$n822 I3=$false O=$abc$21987$n721 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$19662$n660 I1=$abc$19662$n25 I2=$false I3=$false O=$abc$19662$n63 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19662$n646 I2=$abc$19662$n674 I3=I2C.FLT_SCL.RESET O=$abc$19662$n90 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n665 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$21987$n74 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000011111111 -.gate SB_LUT4 I0=$abc$19662$n677 I1=$abc$19662$n675_1 I2=I2C.FLT_SCL.RESET I3=$abc$19662$n660 O=$abc$19662$n674 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n695_1 I2=I2C.FLT_SCL.RESET I3=$abc$21987$n724_1 O=$abc$21987$n101 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][2] I1=$abc$19662$n521 I2=$false I3=$false O=$abc$19662$n675_1 +.gate SB_LUT4 I0=$abc$21987$n725 I1=$abc$21987$n633 I2=$abc$21987$n51 I3=$false O=$abc$21987$n724_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n515 I1=$abc$19662$n1681 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19662$n524 I2=$false I3=$false O=$abc$19662$n677 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n575 I2=$false I3=$false O=$abc$21987$n725 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$19662$n580 I1=$abc$19662$n689 I2=$abc$19662$n681_1 I3=$false O=$abc$19662$n103 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n675 I1=$abc$21987$n738 I2=$abc$21987$n729_1 I3=$false O=$abc$21987$n111 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n582 I1=$abc$19662$n682 I2=$false I3=$false O=$abc$19662$n681_1 +.gate SB_LUT4 I0=$abc$21987$n677 I1=$abc$21987$n730 I2=$false I3=$false O=$abc$21987$n729_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n687 I2=$abc$19662$n688 I3=$abc$19662$n683 O=$abc$19662$n682 +.gate SB_LUT4 I0=$abc$21987$n731 I1=$abc$21987$n736 I2=$abc$21987$n737 I3=$false O=$abc$21987$n730 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$19662$n684 I1=$abc$19662$n686 I2=$false I3=$false O=$abc$19662$n683 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$21987$n735 I1=$abc$21987$n732 I2=$false I3=$false O=$abc$21987$n731 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.is_read I2=$false I3=$false O=$abc$19662$n684 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$21987$n1413 I2=$abc$21987$n734 I3=$false O=$abc$21987$n732 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19662$n10 I2=I2C.FLT_SCL.out I3=$false O=$abc$19662$n1302 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$21987$n10 I2=I2C.FLT_SCL.out I3=$false O=$abc$21987$n1413 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11101111 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19662$n10 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$19662$n686 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$21987$n10 I3=$false O=$abc$21987$n734 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100010000 -.gate SB_LUT4 I0=$abc$19662$n583 I1=$abc$19662$n594 I2=I2C.FLT_SCL.RESET I3=$abc$19662$n1604 O=$abc$19662$n687 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.is_read I2=$false I3=$false O=$abc$21987$n735 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n678 I1=$abc$21987$n689 I2=I2C.FLT_SCL.RESET I3=$abc$21987$n1784 O=$abc$21987$n736 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19662$n12 I2=$false I3=$false O=$abc$19662$n688 +.gate SB_LUT4 I0=$abc$21987$n125 I1=$abc$21987$n12 I2=I2C.FLT_SCL.out I3=$false O=$abc$21987$n737 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$19662$n1302 I3=$false O=$abc$19662$n689 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$21987$n1413 I3=$false O=$abc$21987$n738 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$19662$n681_1 I1=$abc$19662$n689 I2=$abc$19662$n580 I3=$false O=$abc$19662$n110 +.gate SB_LUT4 I0=$abc$21987$n729_1 I1=$abc$21987$n740 I2=$false I3=$false O=$abc$21987$n117 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n580 I2=$abc$19662$n681_1 I3=$false O=$abc$19662$n127 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n675 I2=$false I3=$false O=$abc$21987$n740 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n675 I2=$abc$21987$n729_1 I3=$false O=$abc$21987$n123 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n681_1 I2=$abc$19662$n580 I3=$false O=$abc$19662$n133 +.gate SB_LUT4 I0=$abc$21987$n729_1 I1=$abc$21987$n743 I2=$false I3=$false O=$abc$21987$n133 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n580 I1=$abc$19662$n689 I2=$abc$19662$n694 I3=$false O=$abc$19662$n139 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n675 I2=$false I3=$false O=$abc$21987$n743 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n675 I1=$abc$21987$n738 I2=$abc$21987$n745 I3=$false O=$abc$21987$n139 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n682 I1=$abc$19662$n582 I2=$false I3=$false O=$abc$19662$n694 +.gate SB_LUT4 I0=$abc$21987$n730 I1=$abc$21987$n677 I2=$false I3=$false O=$abc$21987$n745 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n694 I1=$abc$19662$n689 I2=$abc$19662$n580 I3=$false O=$abc$19662$n145 +.gate SB_LUT4 I0=$abc$21987$n745 I1=$abc$21987$n740 I2=$false I3=$false O=$abc$21987$n151 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n580 I2=$abc$19662$n694 I3=$false O=$abc$19662$n151 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n675 I2=$abc$21987$n745 I3=$false O=$abc$21987$n158 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n694 I2=$abc$19662$n580 I3=$false O=$abc$19662$n160 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$19662$n1302 I3=I2C.FLT_SCL.RESET O=$abc$19662$n165 +.gate SB_LUT4 I0=$abc$21987$n745 I1=$abc$21987$n743 I2=$false I3=$false O=$abc$21987$n174 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$21987$n1413 I3=I2C.FLT_SCL.RESET O=$abc$21987$n179 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111100000000 -.gate SB_LUT4 I0=$abc$19662$n700 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19662$n179 +.gate SB_LUT4 I0=$abc$21987$n751 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$21987$n193 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$19662$n700 +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$21987$n751 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 -.gate SB_LUT4 I0=UART.tx_activity I1=$abc$19662$n702_1 I2=$abc$19662$n704 I3=$abc$19662$n179 O=$abc$19662$n180 +.gate SB_LUT4 I0=UART.tx_activity I1=$abc$21987$n753_1 I2=$abc$21987$n755_1 I3=$abc$21987$n193 O=$abc$21987$n194 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$19662$n1416 I1=$abc$19662$n759 I2=$abc$19662$n762 I3=$abc$19662$n763 O=$abc$19662$n702_1 +.gate SB_LUT4 I0=$abc$21987$n1527 I1=$abc$21987$n844 I2=$abc$21987$n847 I3=$abc$21987$n848 O=$abc$21987$n753_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$19662$n1416 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$21987$n1527 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$19662$n705_1 I2=$false I3=$false O=$abc$19662$n704 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$21987$n756 I2=$false I3=$false O=$abc$21987$n755_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$19662$n705_1 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$21987$n756 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$19662$n711_1 I1=$abc$19662$n723_1 I2=$false I3=$false O=$abc$19662$n710 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n722_1 I1=$abc$19662$n719_1 I2=$abc$19662$n712 I3=$false O=$abc$19662$n711_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n713 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$19662$n712 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$19662$n714 I1=$abc$19662$n717_1 I2=$false I3=$false O=$abc$19662$n713 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n793 I1=$abc$19662$n716 I2=$false I3=$false O=$abc$19662$n714 +.gate SB_LUT4 I0=$abc$21987$n758_1 I1=$abc$21987$n801 I2=$false I3=$false O=$abc$21987$n228 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$19662$n793 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$19662$n792 I1=$abc$19662$n795 I2=$abc$19662$n796 I3=$abc$19662$n799 O=$abc$19662$n716 +.gate SB_LUT4 I0=$abc$21987$n799_1 I1=$abc$21987$n1166 I2=$abc$21987$n1665 I3=$abc$21987$n785 O=$abc$21987$n758_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$19662$n718 I1=$abc$19662$n803 I2=$abc$19662$n807 I3=$abc$19662$n810 O=$abc$19662$n717_1 +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$21987$n1634 I1=$abc$21987$n750 I2=$abc$21987$n762 I3=$false O=$abc$21987$n761 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$19662$n804 I1=$abc$19662$n806 I2=$abc$19662$n809 I3=$abc$19662$n814 O=$abc$19662$n718 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n753 I1=$abc$21987$n742 I2=$abc$21987$n765 I3=$abc$21987$n763 O=$abc$21987$n762 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$19662$n720_1 I1=IS_RAM_INIT I2=KEYBOARD.report_wr_en I3=$false O=$abc$19662$n719_1 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$21987$n758 I1=$abc$21987$n755 I2=$abc$21987$n759 I3=$false O=$abc$21987$n763 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19662$n721 I3=$false O=$abc$19662$n720_1 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1631 I2=$false I3=$false O=$abc$21987$n758 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$19662$n721 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n766 I1=$abc$21987$n768_1 I2=$abc$21987$n769_1 I3=$false O=$abc$21987$n765_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[2] I2=KEYBOARD.report_adress_rd[1] I3=$false O=$abc$19662$n722_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n724_1 I1=$abc$19662$n725 I2=$abc$19662$n726_1 I3=$abc$19662$n727 O=$abc$19662$n723_1 +.gate SB_LUT4 I0=$abc$21987$n767 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[13] O=$abc$21987$n766 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$19662$n724_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$19662$n725 +.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$21987$n767 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19662$n726_1 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$21987$n768_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$19662$n727 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[14] O=$abc$21987$n769_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$19662$n729 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$19662$n728 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1633 I2=$false I3=$false O=$abc$21987$n755 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$19662$n731 I2=$abc$19662$n730 I3=$false O=$abc$19662$n729 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1632 I2=$false I3=$false O=$abc$21987$n759 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n730 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1629 I2=$false I3=$false O=$abc$21987$n753 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19662$n731 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1630 I2=$false I3=$false O=$abc$21987$n742 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$19662$n720_1 I1=$abc$19662$n738 I2=$abc$19662$n733_1 I3=$false O=$abc$19662$n732 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$21987$n765 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19662$n734 I2=$abc$19662$n736_1 I3=$false O=$abc$19662$n733_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1627 I2=$false I3=$false O=$abc$21987$n750 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n30 I2=$abc$19662$n735 I3=$false O=$abc$19662$n734 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1636 I2=$false I3=$false O=$abc$21987$n778 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n713 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$19662$n735 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1635 I2=$false I3=$false O=$abc$21987$n779 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n712 I1=$abc$19662$n737_1 I2=$abc$19662$n728 I3=$false O=$abc$19662$n736_1 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1637 I2=$false I3=$false O=$abc$21987$n782 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n719_1 I1=I2C.FLT_SCL.RESET I2=$abc$19662$n722_1 I3=KEYBOARD.report_adress_rd[3] O=$abc$19662$n737_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.RAM.r_data[3] I2=$abc$21987$n779 I3=$abc$21987$n778 O=$abc$21987$n783_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19662$n728 I2=$abc$19662$n30 I3=$abc$19662$n712 O=$abc$19662$n738 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.RAM.r_data[1] I2=$abc$21987$n778 I3=$abc$21987$n779 O=$abc$21987$n784 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$19662$n729 I1=$abc$19662$n741 I2=$abc$19662$n740 I3=$false O=$abc$19662$n739 +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$21987$n786 I1=$abc$21987$n51 I2=$false I3=$false O=$abc$21987$n785 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$19662$n710 I1=$abc$19662$n728 I2=I2C.FLT_SCL.RESET I3=KEYBOARD.is_pressed O=$abc$19662$n740 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n787 I2=$false I3=$false O=$abc$21987$n786 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n881 I1=$abc$21987$n788_1 I2=$false I3=$false O=$abc$21987$n787 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n880 I1=$abc$21987$n877 I2=$abc$21987$n878 I3=$abc$21987$n1838 O=$abc$21987$n788_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19662$n734 I2=$false I3=$false O=$abc$19662$n741 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1640 I2=$false I3=$false O=$abc$21987$n880 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n723_1 I1=$abc$19662$n711_1 I2=$false I3=$false O=$abc$19662$n743 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1638 I2=$false I3=$false O=$abc$21987$n877 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n745 I1=$abc$19662$n746 I2=$false I3=$false O=$abc$19662$n744 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1639 I2=$false I3=$false O=$abc$21987$n878 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$19662$n745 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1641 I2=$false I3=$false O=$abc$21987$n881 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$19662$n746 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n797_1 I1=$abc$21987$n798 I2=$abc$21987$n1168 I3=$abc$21987$n778 O=$abc$21987$n1665 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$19662$n748 I1=$abc$19662$n248 I2=$false I3=$false O=$abc$19662$n747_1 +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[0] I1=KEYBOARD.COLUMN_SHADOW[1] I2=$abc$21987$n782 I3=$abc$21987$n779 O=$abc$21987$n797_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n749 I1=$abc$19662$n1075_1 I2=$false I3=$false O=$abc$19662$n748 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[4] I1=KEYBOARD.COLUMN_SHADOW[5] I2=$abc$21987$n779 I3=$abc$21987$n782 O=$abc$21987$n798 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$19662$n767 I1=$abc$19662$n917 I2=$abc$19662$n750 I3=$abc$19662$n761 O=$abc$19662$n749 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.is_ghost I1=$abc$21987$n750 I2=$abc$21987$n317 I3=$abc$21987$n762 O=$abc$21987$n799_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$19662$n760 I1=$abc$19662$n758 I2=$abc$19662$n751 I3=$false O=$abc$19662$n750 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1634 I2=$false I3=$false O=$abc$21987$n317 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n787 I2=$abc$21987$n51 I3=$false O=$abc$21987$n801 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n757_1 I1=$abc$19662$n919 I2=$abc$19662$n752 I3=$false O=$abc$19662$n751 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$21987$n317 I1=$abc$21987$n1665 I2=$abc$21987$n785 I3=$false O=$abc$21987$n238 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$19662$n913 I1=$abc$19662$n755 I2=$false I3=$false O=$abc$19662$n752 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n804 I1=$abc$21987$n806 I2=$abc$21987$n786 I3=$abc$21987$n801 O=$abc$21987$n249 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n913 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1110111100000000 +.gate SB_LUT4 I0=$abc$21987$n763 I1=$abc$21987$n805 I2=$abc$21987$n317 I3=$abc$21987$n765 O=$abc$21987$n804 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n649 I2=$abc$19662$n650 I3=$false O=$abc$19662$n754_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$21987$n750 I1=$abc$21987$n753 I2=$abc$21987$n742 I3=$false O=$abc$21987$n805 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n650 I2=$abc$19662$n649 I3=$false O=$abc$19662$n755 +.gate SB_LUT4 I0=$abc$21987$n765 I1=$abc$21987$n807 I2=$abc$21987$n317 I3=$abc$21987$n763 O=$abc$21987$n806 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n750 I1=$abc$21987$n1630 I2=$abc$21987$n753 I3=$false O=$abc$21987$n807 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n919 +.gate SB_LUT4 I0=$abc$21987$n758_1 I1=$abc$21987$n810_1 I2=$abc$21987$n785 I3=$false O=$abc$21987$n809 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n649 I2=$abc$19662$n650 I3=$false O=$abc$19662$n757_1 +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I1=$abc$21987$n812 I2=$abc$21987$n811_1 I3=$false O=$abc$21987$n810_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n759_1 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$19662$n754_1 I3=KBD_COLUMNS[0] O=$abc$19662$n758 +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$21987$n1665 I1=$abc$21987$n1836 I2=$false I3=$false O=$abc$21987$n811_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n649 I2=$abc$19662$n650 I3=$false O=$abc$19662$n759_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I2=$abc$21987$n813_1 I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] O=$abc$21987$n812 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n649 I1=$abc$19662$n650 I2=$abc$19662$n643 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$19662$n760 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] O=$abc$21987$n813_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$19662$n914 I1=$abc$19662$n765_1 I2=$abc$19662$n916 I3=$abc$19662$n764 O=$abc$19662$n761 +.gate SB_LUT4 I0=$abc$21987$n787 I1=KEYBOARD.report_wr_en I2=$false I3=$false O=$abc$21987$n817_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$21987$n830 I1=$abc$21987$n824 I2=$abc$21987$n819 I3=KEYBOARD.isr_internal O=$abc$21987$n818_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n916 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n823 I1=$abc$21987$n820 I2=$false I3=$false O=$abc$21987$n819 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n914 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n821_1 I1=IS_RAM_INIT I2=$false I3=$false O=$abc$21987$n820 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n649 I2=$abc$19662$n650 I3=$false O=$abc$19662$n764 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$21987$n822_1 I3=$false O=$abc$21987$n821_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n650 I1=$abc$19662$n649 I2=$abc$19662$n643 I3=$false O=$abc$19662$n765_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$21987$n822_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n917 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[0] I2=KEYBOARD.report_adress_rd[1] I3=$false O=$abc$21987$n823 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n649 I1=$abc$19662$n643 I2=$abc$19662$n650 I3=$false O=$abc$19662$n767 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$21987$n825 I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=KEYBOARD.is_pressed O=$abc$21987$n824 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$21987$n826 I1=$abc$21987$n827 I2=$abc$21987$n828 I3=$abc$21987$n829 O=$abc$21987$n825 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$21987$n826 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$21987$n827 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[5] I3=KEYBOARD.kbd_code_hid[5] O=$abc$21987$n828 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$21987$n829 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$21987$n832_1 I2=$abc$21987$n831 I3=$false O=$abc$21987$n830 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n770 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n831 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=$abc$19662$n765_1 I2=$abc$19662$n759_1 I3=KEYBOARD.temp[7] O=$abc$19662$n772_1 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$21987$n832_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$21987$n834 I1=$abc$21987$n835 I2=$false I3=$false O=$abc$21987$n833 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$21987$n834 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$19662$n767 I1=KEYBOARD.temp[6] I2=$abc$19662$n754_1 I3=KEYBOARD.RAM.r_data[0] O=$abc$19662$n773 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$21987$n835 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n776 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n51 I2=KEYBOARD.isr_internal I3=$false O=$abc$21987$n840 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n30 I2=$abc$19662$n713 I3=$false O=$abc$19662$n248 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n840 I1=$abc$21987$n843 I2=$abc$21987$n830 I3=$abc$21987$n817_1 O=$abc$21987$n842_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$21987$n820 I1=$abc$21987$n844_1 I2=I2C.FLT_SCL.RESET I3=KEYBOARD.report_adress_rd[3] O=$abc$21987$n843 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n823 I2=KEYBOARD.isr_internal I3=$false O=$abc$21987$n844_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n779_1 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19662$n240 +.gate SB_LUT4 I0=$abc$21987$n817_1 I1=$abc$21987$n840 I2=$false I3=$false O=$abc$21987$n846 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n809 I1=$abc$21987$n785 I2=$false I3=$false O=$abc$21987$n320 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$21987$n323 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111011111111 -.gate SB_LUT4 I0=$abc$19662$n714 I1=$abc$19662$n780_1 I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n779_1 +.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$21987$n324 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n51 I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n333 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n852 I1=$abc$21987$n768_1 I2=$abc$21987$n769_1 I3=$false O=$abc$21987$n851_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n809 I1=$abc$19662$n810 I2=$abc$19662$n814 I3=$abc$19662$n781 O=$abc$19662$n780_1 +.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=KEYBOARD.row_time[13] I3=$abc$21987$n853_1 O=$abc$21987$n852 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$19662$n803 I1=$abc$19662$n804 I2=$abc$19662$n806 I3=$abc$19662$n807 O=$abc$19662$n781 +.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$21987$n853_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19662$n243 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00011111 -.gate SB_LUT4 I0=$abc$19662$n748 I1=$abc$19662$n248 I2=$false I3=$false O=$abc$19662$n245 +.gate SB_LUT4 I0=$abc$21987$n809 I1=$abc$21987$n324 I2=$false I3=$false O=$abc$21987$n339 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n30 I2=$abc$19662$n779_1 I3=$false O=$abc$19662$n250 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n747_1 I1=$abc$19662$n243 I2=$false I3=$false O=$abc$19662$n255 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$21987$n786 I2=$abc$21987$n846 I3=$abc$21987$n324 O=$abc$21987$n343 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n741 I1=$abc$19662$n262 I2=$abc$19662$n25 I3=$false O=$abc$19662$n260 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$21987$n842_1 I1=$abc$21987$n1174 I2=$abc$21987$n865_1 I3=$abc$21987$n1197 O=$abc$21987$n385 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n712 I1=$abc$19662$n30 I2=$false I3=$false O=$abc$19662$n262 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$21987$n833 I1=$abc$21987$n818_1 I2=$false I3=$false O=$abc$21987$n858 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19662$n722_1 I2=$false I3=$false O=$abc$19662$n793_1 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$21987$n823 I2=$false I3=$false O=$abc$21987$n862 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n735 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19662$n309 +.gate SB_LUT4 I0=$abc$21987$n830 I1=KEYBOARD.IS_RAM_INIT I2=KEYBOARD.report_wr_en I3=$false O=$abc$21987$n863 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$21987$n787 I1=KEYBOARD.IS_RAM_INIT I2=KEYBOARD.isr_internal I3=$abc$21987$n51 O=$abc$21987$n865_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111011111111 -.gate SB_LUT4 I0=$abc$19662$n1562 I1=$abc$19662$n829 I2=$abc$19662$n798 I3=$false O=$abc$19662$n319 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$21987$n786 I1=IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$abc$21987$n865_1 O=$abc$21987$n390 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000011111 +.gate SB_LUT4 I0=$abc$21987$n1772 I1=$abc$21987$n915 I2=$abc$21987$n870 I3=$false O=$abc$21987$n400 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n797 I1=$abc$19662$n826 I2=$false I3=$false O=$abc$19662$n1562 +.gate SB_LUT4 I0=$abc$21987$n869_1 I1=$abc$21987$n912 I2=$false I3=$false O=$abc$21987$n1772 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$19662$n797 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$21987$n869_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$19662$n1563 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$19662$n798 +.gate SB_LUT4 I0=$abc$21987$n1773 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$21987$n870 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010100 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$19662$n1563 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$21987$n1773 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$19662$n797 I3=$false O=$abc$19662$n320 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$21987$n869_1 I3=$false O=$abc$21987$n401 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$19662$n1565 I1=$abc$19662$n804_1 I2=$false I3=$false O=$abc$19662$n330 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n803_1 I1=$abc$19662$n830 I2=$false I3=$false O=$abc$19662$n1565 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$19662$n803_1 +.gate SB_LUT4 I0=$abc$21987$n1775 I1=$abc$21987$n875 I2=$abc$21987$n1776 I3=$abc$21987$n817 O=$abc$21987$n410 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$19662$n1566 I1=$abc$19662$n833 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$19662$n804_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$21987$n814 O=$abc$21987$n1775 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$19662$n1566 +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$21987$n875 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$19662$n803_1 I3=$false O=$abc$19662$n331 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$19662$n524 I1=$abc$19662$n609 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$21987$n1776 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n513 I1=$abc$19662$n616 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$21987$n875 O=$abc$21987$n411 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$19662$n614 I1=$abc$19662$n617 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n1807 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$19662$n1075_1 I1=$abc$19662$n749 I2=$false I3=$false O=$abc$19662$n909 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n619 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n911 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n920 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n929 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] +.gate SB_LUT4 I0=$abc$21987$n1062 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$19662$n645 I3=int_tmr[1] O=$2\int_tmr[14:0][1] +.gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$21987$n689_1 I3=int_tmr[1] O=$2\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000100 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n936 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] +.gate SB_LUT4 I0=$abc$21987$n1069 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n939 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] +.gate SB_LUT4 I0=$abc$21987$n1072 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n942 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] +.gate SB_LUT4 I0=$abc$21987$n1075 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n945 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] +.gate SB_LUT4 I0=$abc$21987$n1078 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n948 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] +.gate SB_LUT4 I0=$abc$21987$n1081 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n951 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] +.gate SB_LUT4 I0=$abc$21987$n1084 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n954 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] +.gate SB_LUT4 I0=$abc$21987$n1087 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n957 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] +.gate SB_LUT4 I0=$abc$21987$n1090 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n960 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] +.gate SB_LUT4 I0=$abc$21987$n1093 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n963 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] +.gate SB_LUT4 I0=$abc$21987$n1096 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n966 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] +.gate SB_LUT4 I0=$abc$21987$n1099 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] +.gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n969 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] +.gate SB_LUT4 I0=$abc$21987$n1102 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$19662$n972 I2=$false I3=$false O=$2\int_tmr[14:0][14] +.gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$21987$n1105 I2=$false I3=$false O=$2\int_tmr[14:0][14] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$19662$n615 I1=$abc$19662$n1016 I2=$abc$19662$n514 I3=$abc$19662$n619 O=$2\I2C_OUT_DESC_MASK[7:0][7] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=$abc$19662$n646 I1=$abc$19662$n845 I2=$abc$19662$n525 I3=$false O=$20\i2c_input_data_type[3:0][0] +.gate SB_LUT4 I0=$abc$21987$n571 I1=$abc$21987$n697 I2=$abc$21987$n664 I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$19662$n609 I1=$abc$19662$n612 I2=$false I3=$false O=$abc$19662$n845 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$21987$n911 I2=$false I3=$false O=$20\i2c_input_data_type[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$19662$n646 I1=$abc$19662$n845 I2=$abc$19662$n524 I3=$false O=$20\i2c_input_data_type[3:0][1] +.gate SB_LUT4 I0=I2C.is_read I1=$abc$21987$n665 I2=$abc$21987$n620 I3=$false O=$abc$21987$n911 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$19662$n646 I1=$abc$19662$n848 I2=$abc$19662$n1681 I3=$false O=$20\i2c_input_data_type[3:0][2] +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$21987$n690 I1=$abc$21987$n913 I2=$abc$21987$n619 I3=$false O=$20\i2c_input_data_type[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$19662$n515 I1=$abc$19662$n612 I2=$false I3=$false O=$abc$19662$n848 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n665 I2=$false I3=$false O=$abc$21987$n913 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$19662$n646 I1=$abc$19662$n848 I2=$abc$19662$n521 I3=$false O=$20\i2c_input_data_type[3:0][3] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n690 I1=$abc$21987$n913 I2=$abc$21987$n1807 I3=$false O=$20\i2c_input_data_type[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$19662$n36 I1=$abc$19662$n570 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n695_1 I2=$abc$21987$n616 I3=$false O=$20\i2c_input_data_type[3:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$21987$n42 I1=$abc$21987$n633 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n1674 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] +.gate SB_LUT4 I0=$abc$21987$n1801 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$19662$n1675 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] +.gate SB_LUT4 I0=$abc$21987$n1802 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$19662$n1676 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] +.gate SB_LUT4 I0=$abc$21987$n1803 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$19662$n1677 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] +.gate SB_LUT4 I0=$abc$21987$n1804 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$19662$n1678 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] +.gate SB_LUT4 I0=$abc$21987$n1805 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$19662$n1679 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] +.gate SB_LUT4 I0=$abc$21987$n1806 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C_TRANS I1=$abc$19662$n552 I2=last_trans I3=$false O=$2\UART_WR[0:0] +.gate SB_LUT4 I0=I2C_TRANS I1=$abc$21987$n607_1 I2=last_trans I3=$false O=$2\UART_WR[0:0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00101011 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19662$n619 I2=$abc$19662$n612 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19662$n860_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$21987$n926 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.rdata[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$19662$n860_1 +.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$21987$n926 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$19662$n862 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$21987$n928 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.rdata[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$19662$n862 +.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$21987$n928 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19662$n864 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$21987$n930 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.rdata[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$19662$n864 +.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$21987$n930 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$19662$n866 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$21987$n932 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.rdata[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$19662$n866 +.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$21987$n932 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19662$n868 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$21987$n934 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.rdata[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$19662$n868 +.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$21987$n934 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$19662$n870 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$21987$n936 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.rdata[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$19662$n870 +.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$21987$n936 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$19662$n872 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$21987$n938_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.rdata[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$19662$n872 +.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$21987$n938_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$19662$n874 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$21987$n940 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.rdata[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$19662$n874 +.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$21987$n940 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=temp_output_report[0] I1=I2C.received_byte[0] I2=$abc$19662$n876_1 I3=$false O=$0\temp_output_report[2:0][0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n878 I1=$abc$19662$n877_1 I2=i2c_input_data_type[0] I3=$abc$19662$n551_1 O=$abc$19662$n876_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=$abc$19662$n531_1 I3=i2c_input_data_type[2] O=$abc$19662$n877_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$19662$n532_1 I1=$abc$19662$n529_1 I2=$abc$19662$n526 I3=I2C_COUNTER[3] O=$abc$19662$n878 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$19662$n876_1 I3=$false O=$0\temp_output_report[2:0][1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$19662$n876_1 I3=$false O=$0\temp_output_report[2:0][2] +.gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$21987$n1182 I3=$false O=$0\temp_output_report[2:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$19662$n1107 I2=$abc$19662$n624 I3=$false O=$2\ring_rd[3:0][0] +.gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$21987$n1182 I3=$false O=$0\temp_output_report[2:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n642 I1=ring_rd[1] I2=$false I3=$false O=$2\ring_rd[3:0][1] +.gate SB_LUT4 I0=$abc$21987$n670 I1=ring_rd[1] I2=$false I3=$false O=$2\ring_rd[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=ring_rd[3] I1=$abc$19662$n1116 I2=$abc$19662$n624 I3=$false O=$2\ring_rd[3:0][3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=report_wr_en I1=$abc$19662$n670 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] +.gate SB_LUT4 I0=report_wr_en I1=$abc$21987$n720_1 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 -.gate SB_LUT4 I0=report_wr_en I1=$abc$19662$n886 I2=$abc$19662$n670 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] +.gate SB_LUT4 I0=report_wr_en I1=$abc$21987$n950 I2=$abc$21987$n720_1 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101000011001100 -.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$19662$n886 +.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$21987$n950 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19662$n669 I2=$abc$19662$n733 I3=$abc$19662$n886 O=$2\wr_cnt[3:0][0] +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n719 I2=$abc$21987$n818 I3=$abc$21987$n950 O=$2\wr_cnt[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110110000 -.gate SB_LUT4 I0=$abc$19662$n886 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] +.gate SB_LUT4 I0=$abc$21987$n950 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010100 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19662$n669 I2=$abc$19662$n886 I3=$abc$19662$n736 O=$2\wr_cnt[3:0][2] +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n719 I2=$abc$21987$n950 I3=$abc$21987$n821 O=$2\wr_cnt[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19662$n669 I2=$abc$19662$n886 I3=$abc$19662$n737 O=$2\wr_cnt[3:0][3] +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n719 I2=$abc$21987$n950 I3=$abc$21987$n822 O=$2\wr_cnt[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=$abc$19662$n892 I1=$abc$19662$n1261 I2=$false I3=$false O=$0\rststate[3:0][0] +.gate SB_LUT4 I0=$abc$21987$n956 I1=$abc$21987$n1372 I2=$false I3=$false O=$0\rststate[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n675_1 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$19662$n893 I3=$false O=$abc$19662$n892 +.gate SB_LUT4 I0=$abc$21987$n725 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$21987$n619 I3=$abc$21987$n957 O=$abc$21987$n956 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n524 I1=$abc$19662$n611 I2=$abc$19662$n30 I3=$false O=$abc$19662$n893 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$21987$n695_1 I1=$abc$21987$n51 I2=$false I3=$false O=$abc$21987$n957 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n892 I1=$abc$19662$n1263 I2=$false I3=$false O=$0\rststate[3:0][1] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n956 I1=$abc$21987$n1374 I2=$false I3=$false O=$0\rststate[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n892 I1=$abc$19662$n1265 I2=$false I3=$false O=$0\rststate[3:0][2] +.gate SB_LUT4 I0=$abc$21987$n956 I1=$abc$21987$n1376 I2=$false I3=$false O=$0\rststate[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n892 I1=$abc$19662$n1267 I2=$false I3=$false O=$0\rststate[3:0][3] +.gate SB_LUT4 I0=$abc$21987$n956 I1=$abc$21987$n1378 I2=$false I3=$false O=$0\rststate[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$19662$n1304 +.gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$21987$n1415 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$19662$n1306 +.gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$21987$n1417 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$19662$n1308 +.gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$21987$n1419 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$19662$n1302 I2=$false I3=$false O=$abc$19662$n1684 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$21987$n1413 I2=$false I3=$false O=$abc$21987$n1810 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n872 I1=$abc$19662$n868 I2=$abc$19662$n580 I3=$abc$19662$n689 O=$abc$19662$n906 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$21987$n975 I2=$abc$21987$n1186 I3=$abc$21987$n980 O=$abc$21987$n1431 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111110011111010 -.gate SB_LUT4 I0=$abc$19662$n909_1 I1=$abc$19662$n908 I2=$abc$19662$n689 I3=$abc$19662$n580 O=$abc$19662$n907 +.param LUT_INIT 1111111111110100 +.gate SB_LUT4 I0=$abc$21987$n936 I1=$abc$21987$n928 I2=$abc$21987$n677 I3=$abc$21987$n740 O=$abc$21987$n969 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$19662$n870 I1=$abc$19662$n862 I2=$abc$19662$n582 I3=$false O=$abc$19662$n908 +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$21987$n940 I1=$abc$21987$n932 I2=$abc$21987$n677 I3=$abc$21987$n743 O=$abc$21987$n970 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$19662$n874 I1=$abc$19662$n866 I2=$abc$19662$n582 I3=$false O=$abc$19662$n909_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$21987$n930 I1=$abc$21987$n926 I2=$abc$21987$n675 I3=$abc$21987$n738 O=$abc$21987$n971 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$19662$n864 I1=$abc$19662$n860_1 I2=$abc$19662$n580 I3=$abc$19662$n689 O=$abc$19662$n910_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110011111010 +.gate SB_LUT4 I0=$abc$21987$n938_1 I1=$abc$21987$n934 I2=$abc$21987$n675 I3=$abc$21987$n738 O=$abc$21987$n972 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110011111010 -.gate SB_LUT4 I0=$abc$19662$n1302 I1=$abc$19662$n912 I2=$false I3=$false O=$abc$19662$n911_1 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$21987$n12 I2=$false I3=$false O=$abc$21987$n973 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$21987$n976 I1=$abc$21987$n1413 I2=$false I3=$false O=$abc$21987$n975 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$19662$n10 I3=I2C.SDA_DIR O=$abc$19662$n912 +.gate SB_LUT4 I0=$abc$21987$n734 I1=I2C.SDA_DIR I2=$false I3=$false O=$abc$21987$n976 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19662$n12 I2=$false I3=$false O=$abc$19662$n913_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.is_ack I2=$false I3=$false O=$abc$21987$n977 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$19662$n916_1 I1=$abc$19662$n917_1 I2=$false I3=$false O=$abc$19662$n915 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n732 I1=$abc$21987$n735 I2=$false I3=$false O=$abc$21987$n979 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C.is_adress I1=$abc$19662$n1302 I2=$false I3=$false O=$abc$19662$n916_1 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$21987$n1008 I1=$abc$21987$n975 I2=$abc$21987$n986 I3=$abc$21987$n731 O=$abc$21987$n980 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110000000000 +.gate SB_LUT4 I0=$abc$21987$n983 I1=I2C.is_adress I2=$false I3=$false O=$abc$21987$n982 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$19662$n918 I3=I2C.received_byte[6] O=$abc$19662$n917_1 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$21987$n984_1 I3=I2C.received_byte[6] O=$abc$21987$n983 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$19662$n918 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$21987$n984_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$19662$n920_1 I1=$abc$19662$n921 I2=$false I3=$false O=$abc$19662$n919_1 +.gate SB_LUT4 I0=$abc$21987$n977 I1=$abc$21987$n986 I2=$false I3=$false O=$abc$21987$n985 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.is_ack I2=$false I3=$false O=$abc$19662$n920_1 +.gate SB_LUT4 I0=$abc$21987$n973 I1=$abc$21987$n125 I2=$false I3=$false O=$abc$21987$n986 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n913_1 I1=$abc$19662$n113 I2=$false I3=$false O=$abc$19662$n921 +.gate SB_LUT4 I0=$abc$21987$n989 I1=$abc$21987$n979 I2=$abc$21987$n988 I3=$false O=$abc$21987$n1433 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n926 I1=$abc$19662$n925 I2=$abc$19662$n684 I3=$abc$19662$n686 O=$abc$19662$n1322 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=$abc$19662$n915 I1=$abc$19662$n919_1 I2=$false I3=$false O=$abc$19662$n925 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$21987$n985 I1=$abc$21987$n982 I2=$abc$21987$n731 I3=$false O=$abc$21987$n988 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n912 I1=$abc$19662$n927 I2=I2C.FLT_SDA.out I3=$false O=$abc$19662$n926 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$21987$n976 I1=$abc$21987$n990 I2=I2C.FLT_SDA.out I3=$false O=$abc$21987$n989 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n920_1 I1=$abc$19662$n688 I2=$abc$19662$n113 I3=$false O=$abc$19662$n927 +.gate SB_LUT4 I0=$abc$21987$n977 I1=I2C.FLT_SCL.out I2=$abc$21987$n12 I3=$abc$21987$n125 O=$abc$21987$n990 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n929_1 I1=$abc$19662$n919_1 I2=$abc$19662$n684 I3=$false O=$abc$19662$n1324 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$21987$n992_1 I1=$abc$21987$n985 I2=$abc$21987$n735 I3=$false O=$abc$21987$n1435 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 -.gate SB_LUT4 I0=$abc$19662$n916_1 I1=$abc$19662$n686 I2=I2C.received_byte[0] I3=$false O=$abc$19662$n929_1 +.gate SB_LUT4 I0=I2C.is_adress I1=I2C.received_byte[0] I2=$abc$21987$n732 I3=$false O=$abc$21987$n992_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n935_1 I1=$abc$19662$n580 I2=$abc$19662$n931_1 I3=$abc$19662$n1304 O=$abc$19662$n1326 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$21987$n999_1 I1=$abc$21987$n675 I2=$abc$21987$n994 I3=$abc$21987$n1415 O=$abc$21987$n1437 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$19662$n934_1 I1=$abc$19662$n927 I2=$abc$19662$n932_1 I3=$abc$19662$n686 O=$abc$19662$n931_1 +.gate SB_LUT4 I0=$abc$21987$n998_1 I1=$abc$21987$n990 I2=$abc$21987$n995_1 I3=$false O=$abc$21987$n994 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n688 I2=$abc$19662$n933 I3=$abc$19662$n684 O=$abc$19662$n932_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$21987$n732 I1=$abc$21987$n996_1 I2=$abc$21987$n997 I3=$false O=$abc$21987$n995_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000001011 -.gate SB_LUT4 I0=$abc$19662$n920_1 I1=$abc$19662$n921 I2=$false I3=$false O=$abc$19662$n933 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$21987$n977 I1=$abc$21987$n986 I2=$false I3=$false O=$abc$21987$n996_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n913_1 I2=$abc$19662$n684 I3=$false O=$abc$19662$n934_1 +.gate SB_LUT4 I0=$abc$21987$n737 I1=$abc$21987$n735 I2=$abc$21987$n732 I3=$false O=$abc$21987$n997 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$21987$n125 I1=$abc$21987$n973 I2=$abc$21987$n735 I3=$false O=$abc$21987$n998_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$19662$n936_1 I1=$abc$19662$n686 I2=$false I3=$false O=$abc$19662$n935_1 +.gate SB_LUT4 I0=$abc$21987$n998_1 I1=$abc$21987$n997 I2=$false I3=$false O=$abc$21987$n999_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n684 I2=$abc$19662$n12 I3=I2C.FLT_SCL.out O=$abc$19662$n936_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000100 -.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n935_1 I2=$abc$19662$n931_1 I3=$abc$19662$n1306 O=$abc$19662$n1328 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n999_1 I2=$abc$21987$n994 I3=$abc$21987$n1417 O=$abc$21987$n1439 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=$abc$19662$n935_1 I1=$abc$19662$n582 I2=$abc$19662$n931_1 I3=$abc$19662$n1308 O=$abc$19662$n1330 +.gate SB_LUT4 I0=$abc$21987$n999_1 I1=$abc$21987$n677 I2=$abc$21987$n994 I3=$abc$21987$n1419 O=$abc$21987$n1441 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n913_1 I2=I2C.i2c_bit_counter[3] I3=$abc$19662$n927 O=$abc$19662$n941_1 +.gate SB_LUT4 I0=$abc$21987$n1003 I1=$abc$21987$n1005 I2=$false I3=$false O=$abc$21987$n1443 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1011 +.gate SB_LUT4 I0=$abc$21987$n998_1 I1=I2C.i2c_bit_counter[3] I2=$abc$21987$n1004 I3=$abc$21987$n732 O=$abc$21987$n1003 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$abc$19662$n927 I1=$abc$19662$n683 I2=$abc$19662$n916_1 I3=$false O=$abc$19662$n1334 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$21987$n996_1 I1=$abc$21987$n990 I2=I2C.is_read I3=$false O=$abc$21987$n1004 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$19662$n934_1 I1=$abc$19662$n686 I2=$abc$19662$n948_1 I3=$abc$19662$n947_1 O=$abc$19662$n1336 +.param LUT_INIT 00111101 +.gate SB_LUT4 I0=$abc$21987$n998_1 I1=$abc$21987$n678 I2=$abc$21987$n1810 I3=$abc$21987$n997 O=$abc$21987$n1005 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011101111110000 +.gate SB_LUT4 I0=I2C.is_adress I1=$abc$21987$n1413 I2=$abc$21987$n731 I3=$abc$21987$n990 O=$abc$21987$n1445 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$21987$n998_1 I1=$abc$21987$n732 I2=$abc$21987$n1009 I3=$abc$21987$n1008 O=$abc$21987$n1447 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100001011 -.gate SB_LUT4 I0=$abc$19662$n915 I1=$abc$19662$n920_1 I2=$abc$19662$n921 I3=$abc$19662$n683 O=$abc$19662$n947_1 +.gate SB_LUT4 I0=$abc$21987$n982 I1=$abc$21987$n977 I2=$abc$21987$n986 I3=$abc$21987$n731 O=$abc$21987$n1008 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001110000000000 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$19662$n913_1 I2=I2C.is_ack I3=$abc$19662$n1302 O=$abc$19662$n948_1 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$21987$n973 I2=I2C.is_ack I3=$abc$21987$n1413 O=$abc$21987$n1009 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100110011 -.gate SB_LUT4 I0=$abc$19662$n953_1 I1=$abc$19662$n952_1 I2=$abc$19662$n950_1 I3=$false O=$abc$19662$n1338 +.gate SB_LUT4 I0=$abc$21987$n996_1 I1=$abc$21987$n731 I2=$abc$21987$n1011_1 I3=$false O=$abc$21987$n1449 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$19662$n934_1 I1=$abc$19662$n926 I2=$abc$19662$n951_1 I3=$false O=$abc$19662$n950_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$19662$n684 I1=$abc$19662$n933 I2=$abc$19662$n686 I3=$false O=$abc$19662$n951_1 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$21987$n1013 I1=$abc$21987$n990 I2=$abc$21987$n1014_1 I3=$abc$21987$n1012_1 O=$abc$21987$n1011_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$19662$n916_1 I1=$abc$19662$n684 I2=$abc$19662$n927 I3=$abc$19662$n686 O=$abc$19662$n952_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011110100 +.gate SB_LUT4 I0=$abc$21987$n125 I1=$abc$21987$n973 I2=$abc$21987$n989 I3=$abc$21987$n979 O=$abc$21987$n1012_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110000000000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$19662$n10 I3=I2C.wr O=$abc$19662$n953_1 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=I2C.is_adress I1=I2C.is_read I2=$abc$21987$n732 I3=$abc$21987$n1413 O=$abc$21987$n1013 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111110100000000 -.gate SB_LUT4 I0=$abc$19662$n704 I1=$abc$19662$n702_1 I2=$abc$19662$n700 I3=$false O=$abc$19662$n1413 +.param LUT_INIT 0010111111111111 +.gate SB_LUT4 I0=$abc$21987$n734 I1=$abc$21987$n1413 I2=I2C.wr I3=$false O=$abc$21987$n1014_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n755_1 I1=$abc$21987$n753_1 I2=$abc$21987$n751 I3=$false O=$abc$21987$n1524 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$19662$n702_1 I1=$abc$19662$n759 I2=$false I3=$false O=$abc$19662$n1415 +.gate SB_LUT4 I0=$abc$21987$n753_1 I1=$abc$21987$n844 I2=$false I3=$false O=$abc$21987$n1526 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$19662$n702_1 I1=$abc$19662$n762 I2=$false I3=$false O=$abc$19662$n1417 +.gate SB_LUT4 I0=$abc$21987$n753_1 I1=$abc$21987$n847 I2=$false I3=$false O=$abc$21987$n1528 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$19662$n702_1 I1=$abc$19662$n763 I2=$false I3=$false O=$abc$19662$n1418 +.gate SB_LUT4 I0=$abc$21987$n753_1 I1=$abc$21987$n848 I2=$false I3=$false O=$abc$21987$n1529 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$19662$n1421 I1=$abc$19662$n702 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n1422 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n793 I2=$abc$21987$n1020_1 I3=$false O=$abc$21987$n1532 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$19662$n1423 +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1023 I2=$abc$21987$n1021_1 I3=$abc$21987$n1024 O=$abc$21987$n1020_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$19662$n961_1 I1=$abc$19662$n1423 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n1425 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100000011001101 +.gate SB_LUT4 I0=$abc$21987$n1022 I1=$abc$21987$n1665 I2=$abc$21987$n787 I3=$false O=$abc$21987$n1021_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.ram_adr[1] I1=KEYBOARD.ram_adr[0] I2=$false I3=$false O=$abc$19662$n961_1 +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$21987$n1627 I1=$abc$21987$n317 I2=$abc$21987$n762 I3=$false O=$abc$21987$n1022 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$19662$n1426 I1=$abc$19662$n705 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n1427 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$21987$n1642 I2=KEYBOARD.IS_RAM_INIT I3=$abc$21987$n765_1 O=$abc$21987$n1023 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.row_counter[0] I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n1024 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n706 I1=$abc$19662$n18 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n1428 +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$21987$n1026_1 I1=KEYBOARD.last_adr[0] I2=KEYBOARD.last_adr[1] I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1535 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111010101010 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1027 I2=$abc$21987$n1021_1 I3=$abc$21987$n1028 O=$abc$21987$n1026_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100000011001101 +.gate SB_LUT4 I0=$abc$21987$n765_1 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.IS_RAM_INIT I3=KEYBOARD.row_counter[1] O=$abc$21987$n1027 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100001000 +.gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=KEYBOARD.row_counter[1] I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n1028 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n708 I2=$false I3=$false O=$abc$19662$n1429 +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n796 I2=$abc$21987$n1030 I3=$false O=$abc$21987$n1537 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1031 I2=$abc$21987$n1021_1 I3=$abc$21987$n1032 O=$abc$21987$n1030 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100000011001101 +.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$21987$n1646 I2=KEYBOARD.IS_RAM_INIT I3=$abc$21987$n765_1 O=$abc$21987$n1031 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.last_adr[2] I1=KEYBOARD.row_counter[2] I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n1032 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n797 I2=$abc$21987$n1034 I3=$false O=$abc$21987$n1539 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1035 I2=$abc$21987$n1021_1 I3=$abc$21987$n1036 O=$abc$21987$n1034 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100000011001101 +.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$21987$n18 I2=KEYBOARD.IS_RAM_INIT I3=$abc$21987$n765_1 O=$abc$21987$n1035 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.last_adr[3] I1=KEYBOARD.row_counter[3] I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n1036 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$21987$n799 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[4] O=$abc$21987$n1541 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$21987$n1021_1 I1=$abc$21987$n851_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$21987$n1038 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$21987$n800 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[5] O=$abc$21987$n1543 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$21987$n802 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[6] O=$abc$21987$n1545 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$21987$n1547 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[7] O=$abc$21987$n1548 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$21987$n808 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[8] O=$abc$21987$n1550 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[0] I2=$false I3=$false O=$abc$21987$n1552 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n709 I2=$false I3=$false O=$abc$19662$n1430 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[1] I2=$false I3=$false O=$abc$21987$n1554 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n711 I2=$false I3=$false O=$abc$19662$n1431 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[2] I2=$false I3=$false O=$abc$21987$n1556 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n1432 I2=$false I3=$false O=$abc$19662$n1433 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[3] I2=$false I3=$false O=$abc$21987$n1558 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n717 I2=$false I3=$false O=$abc$19662$n1434 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[4] I2=$false I3=$false O=$abc$21987$n1560 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n970_1 I1=$abc$19662$n713 I2=$abc$19662$n819 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n1436 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[5] I2=$false I3=$false O=$abc$21987$n1562 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000000010001 -.gate SB_LUT4 I0=$abc$19662$n793_1 I1=$abc$19662$n819 I2=wr_cnt[0] I3=KEYBOARD.isr_internal O=$abc$19662$n970_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[6] I2=$false I3=$false O=$abc$21987$n1564 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011101100001111 -.gate SB_LUT4 I0=$abc$19662$n972_1 I1=$abc$19662$n973_1 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$19662$n1438 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[7] I2=$false I3=$false O=$abc$21987$n1566 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n797 I2=$abc$21987$n1023 I3=$false O=$abc$21987$n1568 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n799 I2=$abc$21987$n1027 I3=$false O=$abc$21987$n1570 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n800 I2=$abc$21987$n1031 I3=$false O=$abc$21987$n1572 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n802 I2=$abc$21987$n1035 I3=$false O=$abc$21987$n1574 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=$abc$21987$n793 I1=$abc$21987$n779 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$21987$n1575 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$21987$n778 I1=KEYBOARD.last_adr[0] I2=KEYBOARD.last_adr[1] I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1576 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010101110111010 -.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$19662$n713 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n972_1 +.param LUT_INIT 0011110010101010 +.gate SB_LUT4 I0=$abc$21987$n796 I1=$abc$21987$n782 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$21987$n1577 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=$abc$21987$n1059 I1=$abc$21987$n905 I2=$abc$21987$n1060 I3=$abc$21987$n786 O=$abc$21987$n1579 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011110100 -.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$19662$n793_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n973_1 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$21987$n862 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$21987$n1059 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$19662$n822 I1=$abc$19662$n973_1 I2=$abc$19662$n975_1 I3=$false O=$abc$19662$n1440 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.isr_internal I2=wr_cnt[0] I3=$false O=$abc$21987$n1060 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[2] I2=$abc$19662$n713 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n975_1 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$21987$n1062_1 I1=$abc$21987$n1059 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$21987$n1581 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011110001 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n823 I2=$abc$19662$n712 I3=$abc$19662$n977_1 O=$abc$19662$n1442 +.param LUT_INIT 0101011101110101 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$21987$n787 I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1062_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011111000 -.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[3] I2=$abc$19662$n973_1 I3=$abc$19662$n823 O=$abc$19662$n977_1 +.param LUT_INIT 1111111100001011 +.gate SB_LUT4 I0=$abc$21987$n908 I1=$abc$21987$n1059 I2=$abc$21987$n1064_1 I3=$abc$21987$n786 O=$abc$21987$n1583 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000010111011 -.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$19662$n983_1 I3=$false O=$abc$19662$n982 +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.isr_internal I2=wr_cnt[2] I3=$false O=$abc$21987$n1064_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$21987$n1066 I1=$abc$21987$n787 I2=$abc$21987$n909 I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1585 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=$abc$21987$n862 I1=$abc$21987$n909 I2=wr_cnt[3] I3=KEYBOARD.isr_internal O=$abc$21987$n1066 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011101100001111 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$21987$n1072_1 I3=$false O=$abc$21987$n1071_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$19662$n819 I1=$abc$19662$n822 I2=$abc$19662$n823 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n983_1 +.gate SB_LUT4 I0=$abc$21987$n905 I1=$abc$21987$n908 I2=$abc$21987$n909 I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1072_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$19662$n985_1 I1=$abc$19662$n982 I2=$false I3=$false O=$abc$19662$n1446 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1074_1 I2=$abc$21987$n1076_1 I3=$abc$21987$n1071_1 O=$abc$21987$n1589 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$19662$n986 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n985_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$21987$n1075_1 I3=$abc$21987$n821_1 O=$abc$21987$n1074_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011111000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[3] I1=KEYBOARD.is_pressed I2=$abc$19662$n987_1 I3=$abc$19662$n720_1 O=$abc$19662$n986 +.param LUT_INIT 0111000001110111 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.REPORT.r_data[3] O=$abc$21987$n1075_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$19662$n987_1 +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.kbd_code_hid[0] O=$abc$21987$n1076_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n989_1 I2=$abc$19662$n1449 I3=$false O=$abc$19662$n1448 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$21987$n1082_1 I1=$abc$21987$n821_1 I2=$abc$21987$n863 I3=$abc$21987$n1594 O=$abc$21987$n1591 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$19662$n720_1 I1=$abc$19662$n990 I2=$abc$19662$n729 I3=KEYBOARD.report_wr_en O=$abc$19662$n989_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111111100000 +.gate SB_LUT4 I0=$abc$21987$n1079_1 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$21987$n1594 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.last_adr[1] I2=$abc$21987$n1080_1 I3=$abc$21987$n1081_1 O=$abc$21987$n1079_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000001110 -.gate SB_LUT4 I0=$abc$19662$n744 I1=$abc$19662$n722_1 I2=$abc$19662$n723_1 I3=KEYBOARD.is_pressed O=$abc$19662$n990 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=$abc$21987$n800 I1=$abc$21987$n802 I2=$abc$21987$n808 I3=$abc$21987$n1547 O=$abc$21987$n1080_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000001000110000 -.gate SB_LUT4 I0=$abc$19662$n961_1 I1=$abc$19662$n992 I2=$abc$19662$n993_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n1449 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$21987$n793 I1=$abc$21987$n796 I2=$abc$21987$n797 I3=$abc$21987$n799 O=$abc$21987$n1081_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$19662$n702 I1=$abc$19662$n705 I2=$abc$19662$n706 I3=$abc$19662$n1432 O=$abc$19662$n992 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$21987$n833 I1=$abc$21987$n823 I2=$abc$21987$n825 I3=KEYBOARD.is_pressed O=$abc$21987$n1082_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000001000110000 +.gate SB_LUT4 I0=$abc$21987$n779 I1=$abc$21987$n1636 I2=$abc$21987$n1637 I3=$false O=$abc$21987$n1086_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n804 I2=$abc$21987$n1594 I3=$false O=$abc$21987$n1596 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$19662$n708 I1=$abc$19662$n709 I2=$abc$19662$n711 I3=$abc$19662$n717 O=$abc$19662$n993_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$21987$n787 I1=$abc$21987$n1090_1 I2=$false I3=$false O=$abc$21987$n1650 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$19662$n995_1 I1=KEYBOARD.report_wr_en I2=$abc$19662$n728 I3=$abc$19662$n713 O=$abc$19662$n1453 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$21987$n1091_1 I1=$abc$21987$n830 I2=KEYBOARD.report_wr_en I3=KEYBOARD.isr_internal O=$abc$21987$n1090_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100010000 -.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19662$n996 I2=$abc$19662$n720_1 I3=$abc$19662$n722_1 O=$abc$19662$n995_1 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$21987$n1092_1 I2=$abc$21987$n821_1 I3=$abc$21987$n823 O=$abc$21987$n1091_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101000001100 -.gate SB_LUT4 I0=$abc$19662$n723_1 I1=KEYBOARD.is_pressed I2=$false I3=$false O=$abc$19662$n996 +.gate SB_LUT4 I0=$abc$21987$n825 I1=KEYBOARD.is_pressed I2=$false I3=$false O=$abc$21987$n1092_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n779_1 I1=KEYBOARD.ram_wr I2=$abc$19662$n713 I3=$abc$19662$n759_1 O=$abc$19662$n1459 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010001000100 -.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n1500 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I1=$abc$21987$n1708 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1677 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n754_1 I1=KEYBOARD.RAM.r_data[4] I2=$abc$19662$n1000 I3=$false O=$abc$19662$n1501 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$19662$n649 I1=$abc$19662$n650 I2=KEYBOARD.temp[4] I3=$abc$19662$n760 O=$abc$19662$n1000 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000011111 -.gate SB_LUT4 I0=$abc$19662$n770 I1=$abc$19662$n916 I2=$abc$19662$n764 I3=$false O=$abc$19662$n1502 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$19662$n1003_1 I2=$abc$19662$n759_1 I3=$false O=$abc$19662$n1503 +.gate SB_LUT4 I0=$abc$21987$n810_1 I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I3=$false O=$abc$21987$n1680 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n1003_1 +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I1=$abc$21987$n1710 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1683 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n752 I1=$abc$19662$n1005 I2=$false I3=$false O=$abc$19662$n1504 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$19662$n755 I3=$abc$19662$n754_1 O=$abc$19662$n1005 +.gate SB_LUT4 I0=$abc$21987$n812 I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I2=$abc$21987$n811_1 I3=$abc$21987$n1711 O=$abc$21987$n1686 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=$abc$19662$n776 I1=$abc$19662$n919 I2=$abc$19662$n757_1 I3=$false O=$abc$19662$n1505 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$19662$n1008_1 I1=$abc$19662$n914 I2=$abc$19662$n765_1 I3=$false O=$abc$19662$n1506 +.param LUT_INIT 1101110000001100 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=$abc$21987$n1712 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1689 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n1008_1 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I1=$abc$21987$n1713 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1692 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$19662$n1010 I1=$abc$19662$n917 I2=$abc$19662$n767 I3=$false O=$abc$19662$n1507 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I1=$abc$21987$n1714 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1695 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n1010 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I1=$abc$21987$n1715 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1698 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$19662$n720_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[0] I3=$abc$19662$n1012 O=$abc$19662$n1520 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$21987$n821_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[0] I3=$abc$21987$n1102_1 O=$abc$21987$n1730 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$19662$n730 I3=$abc$19662$n720_1 O=$abc$19662$n1012 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$21987$n831 I3=$abc$21987$n821_1 O=$abc$21987$n1102_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19662$n1017 I3=$false O=$abc$19662$n1530 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$21987$n1107 I3=$false O=$abc$21987$n1740 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.is_pressed I2=$abc$19662$n1018_1 I3=$abc$19662$n720_1 O=$abc$19662$n1017 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.is_pressed I2=$abc$21987$n1108_1 I3=$abc$21987$n821_1 O=$abc$21987$n1107 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n1018_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n1108_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n720_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[5] I3=$abc$19662$n1020 O=$abc$19662$n1533 +.gate SB_LUT4 I0=$abc$21987$n821_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[5] I3=$abc$21987$n1110_1 O=$abc$21987$n1743 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.is_pressed I2=$abc$19662$n1021 I3=$abc$19662$n720_1 O=$abc$19662$n1020 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.is_pressed I2=$abc$21987$n1111 I3=$abc$21987$n821_1 O=$abc$21987$n1110_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n1021 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n1111 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n720_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[6] I3=$abc$19662$n1023 O=$abc$19662$n1536 +.gate SB_LUT4 I0=$abc$21987$n821_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[6] I3=$abc$21987$n1113 O=$abc$21987$n1746 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=KEYBOARD.is_pressed I2=$abc$19662$n1024 I3=$abc$19662$n720_1 O=$abc$19662$n1023 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=KEYBOARD.is_pressed I2=$abc$21987$n1114_1 I3=$abc$21987$n821_1 O=$abc$21987$n1113 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n1024 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n1114_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n720_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[7] I3=$abc$19662$n1026 O=$abc$19662$n1539 +.gate SB_LUT4 I0=$abc$21987$n821_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[7] I3=$abc$21987$n1116_1 O=$abc$21987$n1749 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$19662$n1027 I3=$abc$19662$n720_1 O=$abc$19662$n1026 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$21987$n1117 I3=$abc$21987$n821_1 O=$abc$21987$n1116_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n1027 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n1117 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$19662$n797 I1=$abc$19662$n829 I2=$false I3=$false O=$abc$19662$n1564 +.gate SB_LUT4 I0=$abc$21987$n869_1 I1=$abc$21987$n915 I2=$false I3=$false O=$abc$21987$n1774 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$19662$n803_1 I1=$abc$19662$n833 I2=$false I3=$false O=$abc$19662$n1567 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$21987$n817 O=$abc$21987$n1777 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1421 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1728 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=$abc$21987$n1642 I1=$abc$21987$n1646 I2=KEYBOARD.row_counter[1] I3=KEYBOARD.row_counter[0] O=$abc$21987$n1909 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1426 I2=$abc$19662$n1421 I3=$false O=$abc$19662$n1729 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000001 +.gate SB_LUT4 I0=$abc$21987$n1646 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$21987$n1642 O=$abc$21987$n1910 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n1421 I1=$abc$19662$n1426 I2=$abc$19662$n1423 I3=$false O=$abc$19662$n1730 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$21987$n1642 I1=$abc$21987$n1646 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$21987$n1911 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n1426 I1=$abc$19662$n1421 I2=$abc$19662$n1423 I3=$false O=$abc$19662$n1731 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=$abc$21987$n1646 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$21987$n1642 O=$abc$21987$n1912 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1421 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1732 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=$abc$21987$n1642 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$21987$n1646 O=$abc$21987$n1913 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1421 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1733 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$21987$n1642 I3=$abc$21987$n1646 O=$abc$21987$n1914 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n1421 I1=$abc$19662$n1423 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1734 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$21987$n1642 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$21987$n1646 O=$abc$21987$n1915 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1421 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1735 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001010000000000 +.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$21987$n1642 I3=$abc$21987$n1646 O=$abc$21987$n1916 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$19662$n3 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$21987$n3 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$19662$n5 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$21987$n5 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 @@ -1911,1392 +2055,1513 @@ .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n14 I1=$false I2=$false I3=$false O=COM_TX +.gate SB_LUT4 I0=$abc$21987$n14 I1=$false I2=$false I3=$false O=COM_TX .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$19662$n17 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n18 I1=$false I2=$false I3=$false O=$abc$19662$n19 +.gate SB_LUT4 I0=$abc$21987$n18 I1=$false I2=$false I3=$false O=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$19662$n21 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$19662$n528 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I1=$false I2=$false I3=$false O=$abc$21987$n601 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$19662$n529 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=$false I2=$false I3=$false O=$abc$21987$n605 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$19662$n531 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I1=$false I2=$false I3=$false O=$abc$21987$n607 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$19662$n532 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I1=$false I2=$false I3=$false O=$abc$21987$n610 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$19662$n534 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I1=$false I2=$false I3=$false O=$abc$21987$n611 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$19662$n535 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$21987$n623 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$19662$n537 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$21987$n624 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n1684 I1=$false I2=$false I3=$false O=$abc$19662$n1310 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$21987$n626 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n1306 I1=$false I2=$false I3=$false O=$abc$19662$n1605 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$21987$n627 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n1624 I1=$false I2=$false I3=$false O=$abc$19662$n1623 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$21987$n629 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n1625 I1=$false I2=$false I3=$false O=$abc$19662$n1669 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$21987$n630 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n1670 I1=$false I2=$false I3=$false O=$abc$19662$n1671 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$21987$n632 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n1672 I1=$false I2=$false I3=$false O=$abc$19662$n1673 +.gate SB_LUT4 I0=$abc$21987$n1810 I1=$false I2=$false I3=$false O=$abc$21987$n1421 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n1304 I1=$false I2=$false I3=$false O=$abc$19662$n1682 +.gate SB_LUT4 I0=$abc$21987$n1417 I1=$false I2=$false I3=$false O=$abc$21987$n1785 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n1308 I1=$false I2=$false I3=$false O=$abc$19662$n1683 +.gate SB_LUT4 I0=$abc$21987$n1798 I1=$false I2=$false I3=$false O=$abc$21987$n1797 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=REPORT_DATA.clk +.gate SB_LUT4 I0=$abc$21987$n1415 I1=$false I2=$false I3=$false O=$abc$21987$n1808 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$19662$n570 I1=$abc$19662$n1675 I2=$abc$19662$n1676 I3=$abc$19662$n555 O=$abc$19662$n1062 +.gate SB_LUT4 I0=$abc$21987$n1419 I1=$false I2=$false I3=$false O=$abc$21987$n1809 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I1=$false I2=$false I3=$false O=$abc$21987$n1811 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I1=$false I2=$false I3=$false O=$abc$21987$n1812 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I1=$false I2=$false I3=$false O=$abc$21987$n1813 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$21987$n1814 I1=$false I2=$false I3=$false O=$abc$21987$n1815 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$21987$n1799 I1=$false I2=$false I3=$false O=$abc$21987$n1816 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$21987$n1817 I1=$false I2=$false I3=$false O=$abc$21987$n1818 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=I2C_HID_DESC.CLK +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$21987$n584 I2=$abc$21987$n598 I3=$abc$21987$n594 O=$abc$21987$n1160 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001100000000000 -.gate SB_LUT4 I0=$abc$19662$n525 I1=$abc$19662$n524 I2=$abc$19662$n570 I3=$abc$19662$n1062 O=$abc$19662$n1063 +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C_COUNTER[1] I1=$abc$21987$n588 I2=$abc$21987$n1160 I3=$abc$21987$n599 O=$abc$21987$n1161 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$19662$n530 I1=$abc$19662$n519 I2=$abc$19662$n580_1 I3=$abc$19662$n542 O=$abc$19662$n1064 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$21987$n783_1 I1=$abc$21987$n784 I2=KEYBOARD.RAM.r_data[4] I3=$abc$21987$n782 O=$abc$21987$n1162 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011111110 -.gate SB_LUT4 I0=$abc$19662$n578 I1=$abc$19662$n1064 I2=$abc$19662$n551_1 I3=$abc$19662$n583_1 O=$abc$19662$n525 +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.RAM.r_data[7] I2=$abc$21987$n779 I3=$abc$21987$n778 O=$abc$21987$n1163 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100000011011111 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19662$n549 I2=$false I3=$false O=$abc$19662$n1066_1 +.param LUT_INIT 1100111101010000 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=$abc$21987$n1162 I2=$abc$21987$n1635 I3=$abc$21987$n1163 O=$abc$21987$n1164 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n550 I1=i2c_input_data_type[2] I2=$abc$19662$n551_1 I3=$false O=$abc$19662$n1067_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111101011111100 +.gate SB_LUT4 I0=$abc$21987$n1164 I1=$abc$21987$n1163 I2=$abc$21987$n778 I3=$abc$21987$n761 O=$abc$21987$n1165 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000011 -.gate SB_LUT4 I0=$abc$19662$n592 I1=$abc$19662$n1067_1 I2=$abc$19662$n1066_1 I3=$abc$19662$n551_1 O=$abc$19662$n1681 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$21987$n1162 I1=$abc$21987$n761 I2=$abc$21987$n1165 I3=$abc$21987$n782 O=$abc$21987$n1166 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[3] I1=KEYBOARD.COLUMN_SHADOW[7] I2=$abc$21987$n779 I3=$abc$21987$n782 O=$abc$21987$n1167 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101111001100 -.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=$abc$19662$n770 I2=$abc$19662$n649 I3=$abc$19662$n643 O=$abc$19662$n1072 +.param LUT_INIT 0011111101010000 +.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[2] I1=KEYBOARD.COLUMN_SHADOW[6] I2=$abc$21987$n779 I3=$abc$21987$n1167 O=$abc$21987$n1168 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101011110011 -.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=$abc$19662$n776 I2=$abc$19662$n649 I3=$abc$19662$n650 O=$abc$19662$n1073 +.param LUT_INIT 1111001100000101 +.gate SB_LUT4 I0=$abc$21987$n840 I1=$abc$21987$n1197 I2=$abc$21987$n818_1 I3=$abc$21987$n833 O=$abc$21987$n1169 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011111110100000 -.gate SB_LUT4 I0=$abc$19662$n1072 I1=$abc$19662$n643 I2=$abc$19662$n1073 I3=$abc$19662$n773 O=$abc$19662$n1074_1 +.param LUT_INIT 1111100010001000 +.gate SB_LUT4 I0=$abc$21987$n1169 I1=$abc$21987$n817_1 I2=$abc$21987$n1174 I3=$abc$21987$n36 O=$abc$21987$n1170 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101011100000000 -.gate SB_LUT4 I0=$abc$19662$n1074_1 I1=$abc$19662$n772_1 I2=$false I3=$false O=$abc$19662$n1075_1 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$21987$n1170 I1=$abc$21987$n809 I2=$false I3=$false O=$abc$21987$n310 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$19662$n735 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19662$n1076 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.is_pressed I2=KEYBOARD.isr_internal I3=I2C.FLT_SCL.RESET O=$abc$21987$n1172 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111000000000 -.gate SB_LUT4 I0=$abc$19662$n720_1 I1=$abc$19662$n738 I2=$abc$19662$n793_1 I3=$abc$19662$n1076 O=$abc$19662$n1077_1 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n830 I1=$abc$21987$n819 I2=$abc$21987$n825 I3=$abc$21987$n1172 O=$abc$21987$n1173 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$19662$n744 I1=KEYBOARD.is_pressed I2=$abc$19662$n743 I3=$abc$19662$n728 O=$abc$19662$n1078 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n840 I1=$abc$21987$n1173 I2=$abc$21987$n787 I3=KEYBOARD.report_wr_en O=$abc$21987$n1174 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111111111111 -.gate SB_LUT4 I0=$abc$19662$n1077_1 I1=$abc$19662$n1078 I2=$abc$19662$n733_1 I3=$abc$19662$n739 O=$abc$19662$n281 +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.report_wr_en I2=KEYBOARD.isr_internal I3=I2C.FLT_SCL.RESET O=$abc$21987$n1175 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$19662$n910_1 I1=$abc$19662$n906 I2=$abc$19662$n582 I3=$abc$19662$n907 O=$abc$19662$n1080 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$21987$n579 I2=i2c_input_data_type[0] I3=i2c_input_data_type[1] O=$abc$21987$n1180_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$19662$n920_1 I1=$abc$19662$n913_1 I2=$abc$19662$n113 I3=$false O=$abc$19662$n1081_1 +.param LUT_INIT 1000000000001111 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$21987$n597 I3=$abc$21987$n606 O=$abc$21987$n1181_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10111100 -.gate SB_LUT4 I0=$abc$19662$n1080 I1=$abc$19662$n911_1 I2=$abc$19662$n113 I3=$abc$19662$n1081_1 O=$abc$19662$n1082 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$21987$n599 I1=i2c_input_data_type[1] I2=$abc$21987$n1181_1 I3=$abc$21987$n1180_1 O=$abc$21987$n1182 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010111110011 -.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n688 I2=$abc$19662$n941_1 I3=$abc$19662$n684 O=$abc$19662$n1084 +.param LUT_INIT 1110000000000000 +.gate SB_LUT4 I0=$abc$21987$n971 I1=$abc$21987$n972 I2=$abc$21987$n970 I3=$abc$21987$n677 O=$abc$21987$n1183 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$19662$n684 I1=$abc$19662$n933 I2=$abc$19662$n686 I3=$abc$19662$n1684 O=$abc$19662$n1085_1 +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$21987$n969 I1=$abc$21987$n1183 I2=$abc$21987$n977 I3=$abc$21987$n125 O=$abc$21987$n1184 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110000011111111 -.gate SB_LUT4 I0=$abc$19662$n583 I1=$abc$19662$n936_1 I2=$abc$19662$n1084 I3=$abc$19662$n1085_1 O=$abc$19662$n1086 +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$21987$n975 I1=$abc$21987$n125 I2=$abc$21987$n973 I3=$abc$21987$n1184 O=$abc$21987$n1185 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$19662$n1085_1 I1=$abc$19662$n1086 I2=$abc$19662$n686 I3=$false O=$abc$19662$n1332 +.param LUT_INIT 0011101010001010 +.gate SB_LUT4 I0=$abc$21987$n1185 I1=$abc$21987$n979 I2=$false I3=$false O=$abc$21987$n1186 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$abc$19662$n720_1 O=$abc$19662$n1088 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$abc$21987$n821_1 O=$abc$21987$n1187 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000010011110000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=$abc$19662$n720_1 I2=KEYBOARD.is_pressed I3=$abc$19662$n1088 O=$abc$19662$n1089_1 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=$abc$21987$n821_1 I2=KEYBOARD.is_pressed I3=$abc$21987$n1187 O=$abc$21987$n1188 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n1089_1 I2=$abc$19662$n982 I3=$false O=$abc$19662$n1444 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1188 I2=$abc$21987$n1071_1 I3=$false O=$abc$21987$n1587 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$19662$n720_1 O=$abc$19662$n1091_1 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n787 I2=$abc$21987$n1086_1 I3=$false O=$abc$21987$n1190 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000010011110000 -.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$19662$n720_1 I2=KEYBOARD.is_pressed I3=$abc$19662$n1091_1 O=$abc$19662$n1525 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$21987$n851_1 I1=KEYBOARD.last_wr I2=$abc$21987$n1079_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1191 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=$abc$19662$n684 I1=$abc$19662$n921 I2=$abc$19662$n686 I3=I2C.i2c_state_machine O=$abc$19662$n1093 +.param LUT_INIT 0000111101000100 +.gate SB_LUT4 I0=$abc$21987$n806 I1=$abc$21987$n804 I2=$abc$21987$n1190 I3=$abc$21987$n1191 O=$abc$21987$n1593 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000011111111 -.gate SB_LUT4 I0=$abc$19662$n915 I1=$abc$19662$n919_1 I2=$abc$19662$n1082 I3=$abc$19662$n684 O=$abc$19662$n1094 +.param LUT_INIT 1101111111000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$21987$n821_1 O=$abc$21987$n1193 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$19662$n686 I1=$abc$19662$n1094 I2=$abc$19662$n1093 I3=$abc$19662$n911_1 O=$abc$19662$n1320 +.param LUT_INIT 0000010011110000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$21987$n821_1 I2=KEYBOARD.is_pressed I3=$abc$21987$n1193 O=$abc$21987$n1735 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111100010001000 -.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$19662$n743 I2=$abc$19662$n744 I3=$abc$19662$n710 O=$abc$19662$n1096_1 +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$21987$n823 I1=$abc$21987$n825 I2=KEYBOARD.is_pressed I3=$abc$21987$n862 O=$abc$21987$n1195 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=$abc$19662$n1096_1 I1=$abc$19662$n728 I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19662$n1097 +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=$abc$21987$n830 I1=$abc$21987$n820 I2=$abc$21987$n1195 I3=$abc$21987$n1175 O=$abc$21987$n1196 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011111111111 -.gate SB_LUT4 I0=$abc$19662$n747_1 I1=$abc$19662$n732 I2=$abc$19662$n739 I3=$abc$19662$n1097 O=$abc$19662$n223 +.param LUT_INIT 1011111111111111 +.gate SB_LUT4 I0=$abc$21987$n786 I1=$abc$21987$n858 I2=$abc$21987$n1196 I3=$abc$21987$n51 O=$abc$21987$n1197 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_CARRY CI=$abc$19662$n1669 CO=$auto$alumacc.cc:474:replace_alu$5156.C[2] I0=$false I1=$abc$19662$n1624 +.param LUT_INIT 0001000000000000 +.gate SB_CARRY CI=$abc$21987$n1816 CO=$auto$alumacc.cc:474:replace_alu$5744.C[2] I0=$false I1=$abc$21987$n1798 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5156.C[2] CO=$auto$alumacc.cc:474:replace_alu$5156.C[3] I0=$false I1=$abc$19662$n1671 +.attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5744.C[2] CO=$auto$alumacc.cc:474:replace_alu$5744.C[3] I0=$false I1=$abc$21987$n1818 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5156.C[3] CO=$abc$19662$n1420 I0=$false I1=$abc$19662$n1673 +.attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5744.C[3] CO=$abc$21987$n1530 I0=$false I1=$abc$21987$n1815 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$19662$n1682 CO=$auto$alumacc.cc:474:replace_alu$5161.C[2] I0=$false I1=$abc$19662$n1605 +.attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$21987$n611 CO=$auto$alumacc.cc:474:replace_alu$5749.C[2] I0=$false I1=$abc$21987$n610 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5161.C[2] CO=$auto$alumacc.cc:474:replace_alu$5161.C[3] I0=$false I1=$abc$19662$n1683 +.attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[2] CO=$auto$alumacc.cc:474:replace_alu$5749.C[3] I0=$true I1=$abc$21987$n1811 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5161.C[3] CO=$abc$19662$n113 I0=$false I1=$abc$19662$n1684 +.attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[3] CO=$auto$alumacc.cc:474:replace_alu$5749.C[4] I0=$false I1=$abc$21987$n607 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$19662$n525 CO=$auto$alumacc.cc:474:replace_alu$5166.C[2] I0=$false I1=$abc$19662$n524 +.attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[4] CO=$auto$alumacc.cc:474:replace_alu$5749.C[5] I0=$false I1=$abc$21987$n605 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5166.C[2] CO=$auto$alumacc.cc:474:replace_alu$5166.C[3] I0=$true I1=$abc$19662$n1681 +.attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[5] CO=$auto$alumacc.cc:474:replace_alu$5749.C[6] I0=$true I1=$abc$21987$n1812 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5166.C[3] CO=$abc$19662$n1644 I0=$false I1=$abc$19662$n521 +.attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[6] CO=$auto$alumacc.cc:474:replace_alu$5749.C[7] I0=$true I1=$abc$21987$n1813 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$19662$n537 CO=$auto$alumacc.cc:474:replace_alu$5177.C[3] I0=$false I1=$abc$19662$n535 +.attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[7] CO=$abc$21987$n1836 I0=$false I1=$abc$21987$n601 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[3] CO=$auto$alumacc.cc:474:replace_alu$5177.C[4] I0=$false I1=$abc$19662$n534 +.attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$21987$n753 CO=$auto$alumacc.cc:474:replace_alu$5760.C[4] I0=$abc$21987$n742 I1=$false .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[4] CO=$auto$alumacc.cc:474:replace_alu$5177.C[5] I0=$false I1=$abc$19662$n532 +.attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5760.C[4] CO=$auto$alumacc.cc:474:replace_alu$5760.C[5] I0=$abc$21987$n758 I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[5] CO=$auto$alumacc.cc:474:replace_alu$5177.C[6] I0=$false I1=$abc$19662$n531 +.attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5760.C[5] CO=$auto$alumacc.cc:474:replace_alu$5760.C[6] I0=$abc$21987$n759 I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[6] CO=$auto$alumacc.cc:474:replace_alu$5177.C[7] I0=$false I1=$abc$19662$n529 +.attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5760.C[6] CO=$auto$alumacc.cc:474:replace_alu$5760.C[7] I0=$abc$21987$n755 I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[7] CO=$abc$19662$n1647 I0=$false I1=$abc$19662$n528 +.attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5760.C[7] CO=$abc$21987$n1838 I0=$abc$21987$n317 I1=$false .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$19662$n1674 CO=$auto$alumacc.cc:474:replace_alu$5190.C[3] I0=$false I1=$abc$19662$n1675 +.attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$21987$n1808 CO=$auto$alumacc.cc:474:replace_alu$5765.C[2] I0=$false I1=$abc$21987$n1785 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5190.C[3] CO=$auto$alumacc.cc:474:replace_alu$5190.C[4] I0=$false I1=$abc$19662$n1676 +.attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5765.C[2] CO=$auto$alumacc.cc:474:replace_alu$5765.C[3] I0=$false I1=$abc$21987$n1809 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5190.C[4] CO=$auto$alumacc.cc:474:replace_alu$5190.C[5] I0=$false I1=$abc$19662$n1677 +.attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5765.C[3] CO=$abc$21987$n125 I0=$false I1=$abc$21987$n1810 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5190.C[5] CO=$auto$alumacc.cc:474:replace_alu$5190.C[6] I0=$false I1=$abc$19662$n1678 +.attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$21987$n620 CO=$auto$alumacc.cc:474:replace_alu$5770.C[2] I0=$false I1=$abc$21987$n619 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5190.C[6] CO=$abc$19662$n1649 I0=$false I1=$abc$19662$n1679 +.attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5770.C[2] CO=$auto$alumacc.cc:474:replace_alu$5770.C[3] I0=$true I1=$abc$21987$n1807 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$19662$n537 CO=$auto$alumacc.cc:474:replace_alu$5195.C[3] I0=$true I1=$abc$19662$n535 +.attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5770.C[3] CO=$abc$21987$n1840 I0=$false I1=$abc$21987$n616 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[3] CO=$auto$alumacc.cc:474:replace_alu$5195.C[4] I0=$false I1=$abc$19662$n534 +.attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$21987$n632 CO=$auto$alumacc.cc:474:replace_alu$5781.C[3] I0=$false I1=$abc$21987$n630 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[4] CO=$auto$alumacc.cc:474:replace_alu$5195.C[5] I0=$false I1=$abc$19662$n532 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[3] CO=$auto$alumacc.cc:474:replace_alu$5781.C[4] I0=$false I1=$abc$21987$n629 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[5] CO=$auto$alumacc.cc:474:replace_alu$5195.C[6] I0=$false I1=$abc$19662$n531 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[4] CO=$auto$alumacc.cc:474:replace_alu$5781.C[5] I0=$false I1=$abc$21987$n627 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[6] CO=$auto$alumacc.cc:474:replace_alu$5195.C[7] I0=$false I1=$abc$19662$n529 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[5] CO=$auto$alumacc.cc:474:replace_alu$5781.C[6] I0=$false I1=$abc$21987$n626 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[7] CO=$abc$19662$n1650 I0=$false I1=$abc$19662$n528 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[6] CO=$auto$alumacc.cc:474:replace_alu$5781.C[7] I0=$false I1=$abc$21987$n624 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$19662$n1234 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[7] CO=$abc$21987$n1843 I0=$false I1=$abc$21987$n623 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$5200.C[2] I0=$false I1=ring_wr[1] +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$21987$n1801 CO=$auto$alumacc.cc:474:replace_alu$5794.C[3] I0=$false I1=$abc$21987$n1802 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$5200.C[2] O=$abc$19662$n1240 +.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5794.C[3] CO=$auto$alumacc.cc:474:replace_alu$5794.C[4] I0=$false I1=$abc$21987$n1803 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5794.C[4] CO=$auto$alumacc.cc:474:replace_alu$5794.C[5] I0=$false I1=$abc$21987$n1804 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5794.C[5] CO=$auto$alumacc.cc:474:replace_alu$5794.C[6] I0=$false I1=$abc$21987$n1805 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5794.C[6] CO=$abc$21987$n1845 I0=$false I1=$abc$21987$n1806 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$21987$n632 CO=$auto$alumacc.cc:474:replace_alu$5799.C[3] I0=$true I1=$abc$21987$n630 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[3] CO=$auto$alumacc.cc:474:replace_alu$5799.C[4] I0=$false I1=$abc$21987$n629 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[4] CO=$auto$alumacc.cc:474:replace_alu$5799.C[5] I0=$false I1=$abc$21987$n627 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[5] CO=$auto$alumacc.cc:474:replace_alu$5799.C[6] I0=$false I1=$abc$21987$n626 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[6] CO=$auto$alumacc.cc:474:replace_alu$5799.C[7] I0=$false I1=$abc$21987$n624 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[7] CO=$abc$21987$n1846 I0=$false I1=$abc$21987$n623 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$21987$n818 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5200.C[2] CO=$auto$alumacc.cc:474:replace_alu$5200.C[3] I0=$false I1=ring_wr[2] +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$5804.C[2] I0=$false I1=wr_cnt[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$5200.C[3] O=$abc$19662$n1243 +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$5804.C[2] O=$abc$21987$n821 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5200.C[3] CO=$auto$alumacc.cc:474:replace_alu$5200.C[4] I0=$false I1=ring_wr[3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5804.C[2] CO=$auto$alumacc.cc:474:replace_alu$5804.C[3] I0=$false I1=wr_cnt[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$5200.C[4] O=$abc$19662$n345 +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$5804.C[3] O=$abc$21987$n822 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$19662$n733 +.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$21987$n1232 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$5203.C[2] I0=$false I1=wr_cnt[1] +.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5807.C[2] I0=$false I1=ring_rd[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$5203.C[2] O=$abc$19662$n736 +.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5807.C[2] O=$abc$21987$n1238 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5203.C[2] CO=$auto$alumacc.cc:474:replace_alu$5203.C[3] I0=$false I1=wr_cnt[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5807.C[2] CO=$auto$alumacc.cc:474:replace_alu$5807.C[3] I0=$false I1=ring_rd[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$5203.C[3] O=$abc$19662$n737 +.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5807.C[3] O=$abc$21987$n1241 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$19662$n1107 +.gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$21987$n1217 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5206.C[2] I0=$false I1=ring_rd[1] +.gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$5810.C[2] I0=$false I1=I2C_COUNTER[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5206.C[2] O=$abc$19662$n1113 +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$5810.C[2] O=$abc$21987$n1221 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[2] CO=$auto$alumacc.cc:474:replace_alu$5206.C[3] I0=$false I1=ring_rd[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5810.C[2] CO=$auto$alumacc.cc:474:replace_alu$5810.C[3] I0=$false I1=I2C_COUNTER[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5206.C[3] O=$abc$19662$n1116 +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$5810.C[3] O=$abc$21987$n1223 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$19662$n1092 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5810.C[3] CO=$auto$alumacc.cc:474:replace_alu$5810.C[4] I0=$false I1=I2C_COUNTER[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$5810.C[4] O=$abc$21987$n1225 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$5209.C[2] I0=$false I1=I2C_COUNTER[1] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5810.C[4] CO=$auto$alumacc.cc:474:replace_alu$5810.C[5] I0=$false I1=I2C_COUNTER[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$5209.C[2] O=$abc$19662$n1096 +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$5810.C[5] O=$abc$21987$n1227 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[2] CO=$auto$alumacc.cc:474:replace_alu$5209.C[3] I0=$false I1=I2C_COUNTER[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5810.C[5] CO=$auto$alumacc.cc:474:replace_alu$5810.C[6] I0=$false I1=I2C_COUNTER[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$5209.C[3] O=$abc$19662$n1098 +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$5810.C[6] O=$abc$21987$n1229 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[3] CO=$auto$alumacc.cc:474:replace_alu$5209.C[4] I0=$false I1=I2C_COUNTER[3] +.gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$21987$n1062 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$5209.C[4] O=$abc$19662$n1100 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$5813.C[10] O=$abc$21987$n1093 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[4] CO=$auto$alumacc.cc:474:replace_alu$5209.C[5] I0=$false I1=I2C_COUNTER[4] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[10] CO=$auto$alumacc.cc:474:replace_alu$5813.C[11] I0=$false I1=$3\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$5209.C[5] O=$abc$19662$n1102 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$5813.C[11] O=$abc$21987$n1096 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[5] CO=$auto$alumacc.cc:474:replace_alu$5209.C[6] I0=$false I1=I2C_COUNTER[5] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[11] CO=$auto$alumacc.cc:474:replace_alu$5813.C[12] I0=$false I1=$3\int_tmr[14:0][11] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$5209.C[6] O=$abc$19662$n1104 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$5813.C[12] O=$abc$21987$n1099 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$19662$n929 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[12] CO=$auto$alumacc.cc:474:replace_alu$5813.C[13] I0=$false I1=$3\int_tmr[14:0][12] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$5813.C[13] O=$abc$21987$n1102 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$5212.C[10] O=$abc$19662$n960 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[13] CO=$auto$alumacc.cc:474:replace_alu$5813.C[14] I0=$false I1=$3\int_tmr[14:0][13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$5813.C[14] O=$abc$21987$n1105 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[10] CO=$auto$alumacc.cc:474:replace_alu$5212.C[11] I0=$false I1=$3\int_tmr[14:0][10] +.gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$5813.C[2] I0=$false I1=$3\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$5212.C[11] O=$abc$19662$n963 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$5813.C[2] O=$abc$21987$n1069 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[11] CO=$auto$alumacc.cc:474:replace_alu$5212.C[12] I0=$false I1=$3\int_tmr[14:0][11] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[2] CO=$auto$alumacc.cc:474:replace_alu$5813.C[3] I0=$false I1=$3\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$5212.C[12] O=$abc$19662$n966 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$5813.C[3] O=$abc$21987$n1072 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[12] CO=$auto$alumacc.cc:474:replace_alu$5212.C[13] I0=$false I1=$3\int_tmr[14:0][12] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[3] CO=$auto$alumacc.cc:474:replace_alu$5813.C[4] I0=$false I1=$3\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$5212.C[13] O=$abc$19662$n969 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$5813.C[4] O=$abc$21987$n1075 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[13] CO=$auto$alumacc.cc:474:replace_alu$5212.C[14] I0=$false I1=$3\int_tmr[14:0][13] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[4] CO=$auto$alumacc.cc:474:replace_alu$5813.C[5] I0=$false I1=$3\int_tmr[14:0][4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$5212.C[14] O=$abc$19662$n972 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$5813.C[5] O=$abc$21987$n1078 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$5212.C[2] I0=$false I1=$3\int_tmr[14:0][1] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[5] CO=$auto$alumacc.cc:474:replace_alu$5813.C[6] I0=$false I1=$3\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$5212.C[2] O=$abc$19662$n936 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$5813.C[6] O=$abc$21987$n1081 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[2] CO=$auto$alumacc.cc:474:replace_alu$5212.C[3] I0=$false I1=$3\int_tmr[14:0][2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[6] CO=$auto$alumacc.cc:474:replace_alu$5813.C[7] I0=$false I1=$3\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$5212.C[3] O=$abc$19662$n939 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$5813.C[7] O=$abc$21987$n1084 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[3] CO=$auto$alumacc.cc:474:replace_alu$5212.C[4] I0=$false I1=$3\int_tmr[14:0][3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[7] CO=$auto$alumacc.cc:474:replace_alu$5813.C[8] I0=$false I1=$3\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$5212.C[4] O=$abc$19662$n942 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$5813.C[8] O=$abc$21987$n1087 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[4] CO=$auto$alumacc.cc:474:replace_alu$5212.C[5] I0=$false I1=$3\int_tmr[14:0][4] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[8] CO=$auto$alumacc.cc:474:replace_alu$5813.C[9] I0=$false I1=$3\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$5212.C[5] O=$abc$19662$n945 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$5813.C[9] O=$abc$21987$n1090 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[5] CO=$auto$alumacc.cc:474:replace_alu$5212.C[6] I0=$false I1=$3\int_tmr[14:0][5] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[9] CO=$auto$alumacc.cc:474:replace_alu$5813.C[10] I0=$false I1=$3\int_tmr[14:0][9] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$5212.C[6] O=$abc$19662$n948 +.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$21987$n21 I2=rststate[0] I3=$false O=$abc$21987$n1372 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[6] CO=$auto$alumacc.cc:474:replace_alu$5212.C[7] I0=$false I1=$3\int_tmr[14:0][6] +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$5816.C[1] I0=$abc$21987$n21 I1=rststate[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$5212.C[7] O=$abc$19662$n951 +.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$5816.C[1] O=$abc$21987$n1374 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[7] CO=$auto$alumacc.cc:474:replace_alu$5212.C[8] I0=$false I1=$3\int_tmr[14:0][7] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5816.C[1] CO=$auto$alumacc.cc:474:replace_alu$5816.C[2] I0=$false I1=rststate[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$5212.C[8] O=$abc$19662$n954 +.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$5816.C[2] O=$abc$21987$n1376 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[8] CO=$auto$alumacc.cc:474:replace_alu$5212.C[9] I0=$false I1=$3\int_tmr[14:0][8] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5816.C[2] CO=$auto$alumacc.cc:474:replace_alu$5816.C[3] I0=$false I1=rststate[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$5212.C[9] O=$abc$19662$n957 +.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$5816.C[3] O=$abc$21987$n1378 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$21987$n1345 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[9] CO=$auto$alumacc.cc:474:replace_alu$5212.C[10] I0=$false I1=$3\int_tmr[14:0][9] +.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$5819.C[2] I0=$false I1=ring_wr[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$19662$n21 I2=rststate[0] I3=$false O=$abc$19662$n1261 +.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$5819.C[2] O=$abc$21987$n1351 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$5215.C[1] I0=$abc$19662$n21 I1=rststate[0] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5819.C[2] CO=$auto$alumacc.cc:474:replace_alu$5819.C[3] I0=$false I1=ring_wr[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$5215.C[1] O=$abc$19662$n1263 +.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$5819.C[3] O=$abc$21987$n1354 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5215.C[1] CO=$auto$alumacc.cc:474:replace_alu$5215.C[2] I0=$false I1=rststate[1] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5819.C[3] CO=$auto$alumacc.cc:474:replace_alu$5819.C[4] I0=$false I1=ring_wr[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$5215.C[2] O=$abc$19662$n1265 +.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$5819.C[4] O=$abc$21987$n425 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5215.C[2] CO=$auto$alumacc.cc:474:replace_alu$5215.C[3] I0=$false I1=rststate[2] +.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$21987$n1147 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$5215.C[3] O=$abc$19662$n1267 +.attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$5822.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5822.C[2] O=$abc$21987$n1149 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$19662$n1014 +.gate SB_LUT4 I0=$false I1=$abc$21987$n1415 I2=$false I3=$true O=$abc$21987$n675 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$5218.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true +.gate SB_CARRY CI=$abc$21987$n1415 CO=$auto$alumacc.cc:474:replace_alu$5825.C[2] I0=$abc$21987$n1417 I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5218.C[2] O=$abc$19662$n1016 +.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$21987$n1419 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5825.C[2] O=$abc$21987$n677 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$19662$n1304 I2=$false I3=$true O=$abc$19662$n580 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5825.C[2] CO=$auto$alumacc.cc:474:replace_alu$5825.C[3] I0=$abc$21987$n1419 I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$21987$n1421 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5825.C[3] O=$abc$21987$n678 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$19662$n1304 CO=$auto$alumacc.cc:474:replace_alu$5221.C[2] I0=$abc$19662$n1306 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5825.C[3] CO=$abc$21987$n1784 I0=$abc$21987$n1421 I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$21987$n1784 O=$abc$21987$n689 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$19662$n1308 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5221.C[2] O=$abc$19662$n582 +.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$21987$n814 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5221.C[2] CO=$auto$alumacc.cc:474:replace_alu$5221.C[3] I0=$abc$19662$n1308 I1=$true +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5828.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5828.C[2] O=$abc$21987$n817 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$19662$n1310 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5221.C[3] O=$abc$19662$n583 +.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$21987$n912 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5221.C[3] CO=$abc$19662$n1604 I0=$abc$19662$n1310 I1=$true +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5831.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5831.C[2] O=$abc$21987$n915 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$19662$n1604 O=$abc$19662$n594 +.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$21987$n905 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$19662$n830 +.gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5834.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5834.C[2] O=$abc$21987$n908 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5224.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5834.C[2] CO=$auto$alumacc.cc:474:replace_alu$5834.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5224.C[2] O=$abc$19662$n833 +.attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5834.C[3] O=$abc$21987$n909 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$21987$n1642 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$19662$n826 +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5837.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$5837.C[2] O=$abc$21987$n1646 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5227.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5837.C[2] CO=$auto$alumacc.cc:474:replace_alu$5837.C[3] I0=$false I1=KEYBOARD.row_counter[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$5837.C[3] O=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5227.C[2] O=$abc$19662$n829 +.attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$21987$n1627 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$19662$n819 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$5840.C[10] O=$abc$21987$n1637 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5230.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[10] CO=$auto$alumacc.cc:474:replace_alu$5840.C[11] I0=$false I1=KEYBOARD.row_time[10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5230.C[2] O=$abc$19662$n822 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$5840.C[11] O=$abc$21987$n1638 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[2] CO=$auto$alumacc.cc:474:replace_alu$5230.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[11] CO=$auto$alumacc.cc:474:replace_alu$5840.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5230.C[3] O=$abc$19662$n823 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$5840.C[12] O=$abc$21987$n1639 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$19662$n792 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[12] CO=$auto$alumacc.cc:474:replace_alu$5840.C[13] I0=$false I1=KEYBOARD.row_time[12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$5840.C[13] O=$abc$21987$n1640 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$5233.C[10] O=$abc$19662$n806 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[13] CO=$auto$alumacc.cc:474:replace_alu$5840.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$5840.C[14] O=$abc$21987$n1641 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[10] CO=$auto$alumacc.cc:474:replace_alu$5233.C[11] I0=$false I1=KEYBOARD.row_time[10] +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$5840.C[2] I0=$false I1=KEYBOARD.row_time[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$5233.C[11] O=$abc$19662$n807 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$5840.C[2] O=$abc$21987$n1629 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[11] CO=$auto$alumacc.cc:474:replace_alu$5233.C[12] I0=$false I1=KEYBOARD.row_time[11] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[2] CO=$auto$alumacc.cc:474:replace_alu$5840.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$5233.C[12] O=$abc$19662$n809 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$5840.C[3] O=$abc$21987$n1630 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[12] CO=$auto$alumacc.cc:474:replace_alu$5233.C[13] I0=$false I1=KEYBOARD.row_time[12] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[3] CO=$auto$alumacc.cc:474:replace_alu$5840.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$5233.C[13] O=$abc$19662$n810 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$5840.C[4] O=$abc$21987$n1631 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[13] CO=$auto$alumacc.cc:474:replace_alu$5233.C[14] I0=$false I1=KEYBOARD.row_time[13] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[4] CO=$auto$alumacc.cc:474:replace_alu$5840.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$5233.C[14] O=$abc$19662$n814 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$5840.C[5] O=$abc$21987$n1632 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$5233.C[2] I0=$false I1=KEYBOARD.row_time[1] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[5] CO=$auto$alumacc.cc:474:replace_alu$5840.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$5233.C[2] O=$abc$19662$n795 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$5840.C[6] O=$abc$21987$n1633 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[2] CO=$auto$alumacc.cc:474:replace_alu$5233.C[3] I0=$false I1=KEYBOARD.row_time[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[6] CO=$auto$alumacc.cc:474:replace_alu$5840.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$5233.C[3] O=$abc$19662$n796 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$5840.C[7] O=$abc$21987$n1634 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[3] CO=$auto$alumacc.cc:474:replace_alu$5233.C[4] I0=$false I1=KEYBOARD.row_time[3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[7] CO=$auto$alumacc.cc:474:replace_alu$5840.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$5233.C[4] O=$abc$19662$n799 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$5840.C[8] O=$abc$21987$n1635 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[4] CO=$auto$alumacc.cc:474:replace_alu$5233.C[5] I0=$false I1=KEYBOARD.row_time[4] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[8] CO=$auto$alumacc.cc:474:replace_alu$5840.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$5233.C[5] O=$abc$19662$n649 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$5840.C[9] O=$abc$21987$n1636 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[5] CO=$auto$alumacc.cc:474:replace_alu$5233.C[6] I0=$false I1=KEYBOARD.row_time[5] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[9] CO=$auto$alumacc.cc:474:replace_alu$5840.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$5233.C[6] O=$abc$19662$n650 +.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I3=$false O=$abc$21987$n1708 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[6] CO=$auto$alumacc.cc:474:replace_alu$5233.C[7] I0=$false I1=KEYBOARD.row_time[6] +.gate SB_CARRY CI=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] CO=$auto$alumacc.cc:474:replace_alu$5843.C[2] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$5233.C[7] O=$abc$19662$n643 +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I3=$auto$alumacc.cc:474:replace_alu$5843.C[2] O=$abc$21987$n1710 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[7] CO=$auto$alumacc.cc:474:replace_alu$5233.C[8] I0=$false I1=KEYBOARD.row_time[7] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[2] CO=$auto$alumacc.cc:474:replace_alu$5843.C[3] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$5233.C[8] O=$abc$19662$n803 +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I3=$auto$alumacc.cc:474:replace_alu$5843.C[3] O=$abc$21987$n1711 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[8] CO=$auto$alumacc.cc:474:replace_alu$5233.C[9] I0=$false I1=KEYBOARD.row_time[8] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[3] CO=$auto$alumacc.cc:474:replace_alu$5843.C[4] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$5233.C[9] O=$abc$19662$n804 +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I3=$auto$alumacc.cc:474:replace_alu$5843.C[4] O=$abc$21987$n1712 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[9] CO=$auto$alumacc.cc:474:replace_alu$5233.C[10] I0=$false I1=KEYBOARD.row_time[9] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[4] CO=$auto$alumacc.cc:474:replace_alu$5843.C[5] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$19662$n1421 +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I3=$auto$alumacc.cc:474:replace_alu$5843.C[5] O=$abc$21987$n1713 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5236.C[2] I0=$false I1=KEYBOARD.row_counter[1] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[5] CO=$auto$alumacc.cc:474:replace_alu$5843.C[6] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$5236.C[2] O=$abc$19662$n1426 +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I3=$auto$alumacc.cc:474:replace_alu$5843.C[6] O=$abc$21987$n1714 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5236.C[2] CO=$auto$alumacc.cc:474:replace_alu$5236.C[3] I0=$false I1=KEYBOARD.row_counter[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[6] CO=$auto$alumacc.cc:474:replace_alu$5843.C[7] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$5236.C[3] O=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I3=$auto$alumacc.cc:474:replace_alu$5843.C[7] O=$abc$21987$n1715 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.ram_adr[0] I3=$false O=$abc$19662$n702 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.last_adr[0] I3=$false O=$abc$21987$n793 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.ram_adr[0] CO=$auto$alumacc.cc:474:replace_alu$5239.C[2] I0=$false I1=KEYBOARD.ram_adr[1] +.gate SB_CARRY CI=KEYBOARD.last_adr[0] CO=$auto$alumacc.cc:474:replace_alu$5846.C[2] I0=$false I1=KEYBOARD.last_adr[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[2] I3=$auto$alumacc.cc:474:replace_alu$5239.C[2] O=$abc$19662$n705 +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[2] I3=$auto$alumacc.cc:474:replace_alu$5846.C[2] O=$abc$21987$n796 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[2] CO=$auto$alumacc.cc:474:replace_alu$5239.C[3] I0=$false I1=KEYBOARD.ram_adr[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[2] CO=$auto$alumacc.cc:474:replace_alu$5846.C[3] I0=$false I1=KEYBOARD.last_adr[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[3] I3=$auto$alumacc.cc:474:replace_alu$5239.C[3] O=$abc$19662$n706 +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[3] I3=$auto$alumacc.cc:474:replace_alu$5846.C[3] O=$abc$21987$n797 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[3] CO=$auto$alumacc.cc:474:replace_alu$5239.C[4] I0=$false I1=KEYBOARD.ram_adr[3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[3] CO=$auto$alumacc.cc:474:replace_alu$5846.C[4] I0=$false I1=KEYBOARD.last_adr[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[4] I3=$auto$alumacc.cc:474:replace_alu$5239.C[4] O=$abc$19662$n708 +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[4] I3=$auto$alumacc.cc:474:replace_alu$5846.C[4] O=$abc$21987$n799 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[4] CO=$auto$alumacc.cc:474:replace_alu$5239.C[5] I0=$false I1=KEYBOARD.ram_adr[4] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[4] CO=$auto$alumacc.cc:474:replace_alu$5846.C[5] I0=$false I1=KEYBOARD.last_adr[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[5] I3=$auto$alumacc.cc:474:replace_alu$5239.C[5] O=$abc$19662$n709 +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[5] I3=$auto$alumacc.cc:474:replace_alu$5846.C[5] O=$abc$21987$n800 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[5] CO=$auto$alumacc.cc:474:replace_alu$5239.C[6] I0=$false I1=KEYBOARD.ram_adr[5] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[5] CO=$auto$alumacc.cc:474:replace_alu$5846.C[6] I0=$false I1=KEYBOARD.last_adr[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[6] I3=$auto$alumacc.cc:474:replace_alu$5239.C[6] O=$abc$19662$n711 +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[6] I3=$auto$alumacc.cc:474:replace_alu$5846.C[6] O=$abc$21987$n802 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[6] CO=$auto$alumacc.cc:474:replace_alu$5239.C[7] I0=$false I1=KEYBOARD.ram_adr[6] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[6] CO=$auto$alumacc.cc:474:replace_alu$5846.C[7] I0=$false I1=KEYBOARD.last_adr[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[7] I3=$auto$alumacc.cc:474:replace_alu$5239.C[7] O=$abc$19662$n1432 +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[7] I3=$auto$alumacc.cc:474:replace_alu$5846.C[7] O=$abc$21987$n1547 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[7] CO=$auto$alumacc.cc:474:replace_alu$5239.C[8] I0=$false I1=KEYBOARD.ram_adr[7] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[7] CO=$auto$alumacc.cc:474:replace_alu$5846.C[8] I0=$false I1=KEYBOARD.last_adr[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[8] I3=$auto$alumacc.cc:474:replace_alu$5239.C[8] O=$abc$19662$n717 +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[8] I3=$auto$alumacc.cc:474:replace_alu$5846.C[8] O=$abc$21987$n808 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$19662$n759 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$21987$n844 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5242.C[2] I0=UART.tx_clk_counter[1] I1=$true +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5849.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5242.C[2] O=$abc$19662$n762 +.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5849.C[2] O=$abc$21987$n847 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5242.C[2] CO=$auto$alumacc.cc:474:replace_alu$5242.C[3] I0=UART.tx_clk_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5849.C[2] CO=$auto$alumacc.cc:474:replace_alu$5849.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5242.C[3] O=$abc$19662$n763 +.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5849.C[3] O=$abc$21987$n848 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$19662$n1625 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$21987$n1799 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5245.C[2] I0=UART.tx_bit_counter[1] I1=$true +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5852.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5245.C[2] O=$abc$19662$n1670 +.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5852.C[2] O=$abc$21987$n1817 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5245.C[2] CO=$auto$alumacc.cc:474:replace_alu$5245.C[3] I0=UART.tx_bit_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5852.C[2] CO=$auto$alumacc.cc:474:replace_alu$5852.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5245.C[3] O=$abc$19662$n1672 +.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5852.C[3] O=$abc$21987$n1814 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=$abc$19662$n1669 I3=$true O=$abc$19662$n1584 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$21987$n1816 I3=$true O=$abc$21987$n1026 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$19662$n1669 CO=$auto$alumacc.cc:474:replace_alu$5248.C[2] I0=$false I1=$abc$19662$n1624 +.gate SB_CARRY CI=$abc$21987$n1816 CO=$auto$alumacc.cc:474:replace_alu$5855.C[2] I0=$false I1=$abc$21987$n1798 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$19662$n1671 I3=$auto$alumacc.cc:474:replace_alu$5248.C[2] O=$abc$19662$n1570 +.attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$21987$n1818 I3=$auto$alumacc.cc:474:replace_alu$5855.C[2] O=$abc$21987$n1012 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$19662$n26 Q=UART_WR R=$abc$19662$n21 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$19662$n31 Q=UART_TX_DATA[0] S=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$21987$n37 Q=UART_WR R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$19662$n31 Q=UART_TX_DATA[1] S=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$21987$n52 Q=UART_TX_DATA[0] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$19662$n31 Q=UART_TX_DATA[2] S=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$21987$n52 Q=UART_TX_DATA[1] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$19662$n31 Q=UART_TX_DATA[3] S=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$21987$n52 Q=UART_TX_DATA[2] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$19662$n31 Q=UART_TX_DATA[4] S=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$21987$n52 Q=UART_TX_DATA[3] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$19662$n31 Q=UART_TX_DATA[5] S=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$21987$n52 Q=UART_TX_DATA[4] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$19662$n31 Q=UART_TX_DATA[6] S=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$21987$n52 Q=UART_TX_DATA[5] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$19662$n31 Q=UART_TX_DATA[7] S=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$21987$n52 Q=UART_TX_DATA[6] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$19662$n1 E=$abc$19662$n25 Q=LED1 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$21987$n52 Q=UART_TX_DATA[7] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$19662$n25 Q=int_tmr[0] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$21987$n1 E=$abc$21987$n36 Q=LED1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$19662$n25 Q=int_tmr[1] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$21987$n36 Q=int_tmr[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$19662$n25 Q=int_tmr[2] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$21987$n36 Q=int_tmr[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$19662$n25 Q=int_tmr[3] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$21987$n36 Q=int_tmr[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$19662$n25 Q=int_tmr[4] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$21987$n36 Q=int_tmr[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$19662$n25 Q=int_tmr[5] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$21987$n36 Q=int_tmr[4] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$19662$n25 Q=int_tmr[6] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$21987$n36 Q=int_tmr[5] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$19662$n25 Q=int_tmr[7] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$21987$n36 Q=int_tmr[6] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$19662$n25 Q=int_tmr[8] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$21987$n36 Q=int_tmr[7] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$19662$n25 Q=int_tmr[9] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$21987$n36 Q=int_tmr[8] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$19662$n25 Q=int_tmr[10] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$21987$n36 Q=int_tmr[9] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$19662$n25 Q=int_tmr[11] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$21987$n36 Q=int_tmr[10] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$19662$n25 Q=int_tmr[12] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$21987$n36 Q=int_tmr[11] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$19662$n25 Q=int_tmr[13] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$21987$n36 Q=int_tmr[12] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$19662$n25 Q=int_tmr[14] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$21987$n36 Q=int_tmr[13] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$19662$n25 Q=ring_wr[0] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$21987$n36 Q=int_tmr[14] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$19662$n25 Q=ring_wr[1] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$21987$n36 Q=ring_wr[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$19662$n25 Q=ring_wr[2] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$21987$n36 Q=ring_wr[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$19662$n25 Q=ring_wr[3] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$21987$n36 Q=ring_wr[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$19662$n25 Q=ring_rd[0] S=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$21987$n36 Q=ring_wr[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$19662$n25 Q=ring_rd[1] S=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$21987$n36 Q=ring_rd[0] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$19662$n25 Q=ring_rd[2] S=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$21987$n36 Q=ring_rd[1] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$19662$n25 Q=ring_rd[3] S=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$21987$n36 Q=ring_rd[2] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$19662$n45 Q=wr_cnt[0] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$21987$n36 Q=ring_rd[3] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$19662$n45 Q=wr_cnt[1] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$21987$n56 Q=wr_cnt[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$19662$n45 Q=wr_cnt[2] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$21987$n56 Q=wr_cnt[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$19662$n45 Q=wr_cnt[3] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$21987$n56 Q=wr_cnt[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$19662$n59 Q=report_wr_en R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$21987$n56 Q=wr_cnt[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19662$n30 Q=temp_output_report[0] +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$21987$n70 Q=report_wr_en R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19662$n30 Q=temp_output_report[1] +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$21987$n51 Q=temp_output_report[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19662$n30 Q=temp_output_report[2] +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$21987$n51 Q=temp_output_report[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$19662$n30 Q=i2c_input_data_type[0] R=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$21987$n51 Q=i2c_input_data_type[0] R=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$19662$n30 Q=i2c_input_data_type[1] R=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$21987$n51 Q=i2c_input_data_type[1] R=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$19662$n30 Q=i2c_input_data_type[2] R=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$21987$n51 Q=i2c_input_data_type[2] R=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$19662$n30 Q=i2c_input_data_type[3] R=$abc$19662$n36 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$21987$n51 Q=i2c_input_data_type[3] R=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$19662$n25 Q=I2C_COUNTER[0] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$21987$n36 Q=I2C_COUNTER[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$19662$n25 Q=I2C_COUNTER[1] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$21987$n36 Q=I2C_COUNTER[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$19662$n25 Q=I2C_COUNTER[2] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$21987$n36 Q=I2C_COUNTER[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$19662$n25 Q=I2C_COUNTER[3] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$21987$n36 Q=I2C_COUNTER[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$19662$n25 Q=I2C_COUNTER[4] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$21987$n36 Q=I2C_COUNTER[4] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$19662$n25 Q=I2C_COUNTER[5] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$21987$n36 Q=I2C_COUNTER[5] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$19662$n25 Q=I2C_COUNTER[6] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$21987$n36 Q=I2C_COUNTER[6] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$19662$n25 Q=I2C_HID_DESC.DESC_TYPE R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$21987$n36 Q=I2C_HID_DESC.DESC_TYPE R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$19662$n25 Q=I2C_OUTPUT_TYPE[1] S=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$21987$n36 Q=I2C_OUTPUT_TYPE[1] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$19662$n25 Q=I2C_OUTPUT_TYPE[2] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$21987$n36 Q=I2C_OUTPUT_TYPE[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[0] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[1] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[2] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[3] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[4] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[4] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[5] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[5] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[6] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[6] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[7] R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[7] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19662$n90 Q=LED2 R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$21987$n101 Q=LED3 R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19662$n90 Q=LED3 R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$21987$n101 Q=LED4 R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19662$n90 Q=LED4 R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.wr E=$abc$21987$n36 Q=last_wr R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.wr E=$abc$19662$n25 Q=last_wr R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$21987$n36 Q=last_trans R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$19662$n25 Q=last_trans R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$21987$n36 Q=last_isr R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$19662$n25 Q=last_isr R=$abc$19662$n21 +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$19662$n21 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=SCL Q=I2C.SCLF .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:19|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFNE C=CLK D=$abc$19662$n1338 E=I2C.FLT_SCL.RESET Q=I2C.wr +.attr src "top.v:19|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFNE C=CLK D=$abc$21987$n1449 E=I2C.FLT_SCL.RESET Q=I2C.wr .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1336 E=I2C.FLT_SCL.RESET Q=I2C.is_ack +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1447 E=I2C.FLT_SCL.RESET Q=I2C.is_ack .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1334 E=I2C.FLT_SCL.RESET Q=I2C.is_adress +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1445 E=I2C.FLT_SCL.RESET Q=I2C.is_adress .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n103 Q=I2C.received_byte[0] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n111 Q=I2C.received_byte[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n110 Q=I2C.received_byte[1] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n117 Q=I2C.received_byte[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n127 Q=I2C.received_byte[2] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n123 Q=I2C.received_byte[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n133 Q=I2C.received_byte[3] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n133 Q=I2C.received_byte[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n139 Q=I2C.received_byte[4] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n139 Q=I2C.received_byte[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n145 Q=I2C.received_byte[5] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n151 Q=I2C.received_byte[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n151 Q=I2C.received_byte[6] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n158 Q=I2C.received_byte[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n160 Q=I2C.received_byte[7] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n174 Q=I2C.received_byte[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1326 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1437 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1328 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1439 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1330 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1441 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1332 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1443 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1324 E=I2C.FLT_SCL.RESET Q=I2C.is_read +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1435 E=I2C.FLT_SCL.RESET Q=I2C.is_read .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1302 E=$abc$19662$n165 Q=I2C.i2c_start_latency +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1413 E=$abc$21987$n179 Q=I2C.i2c_start_latency .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNSR C=CLK D=$abc$19662$n1322 Q=I2C.i2c_state_machine R=$abc$19662$n21 +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNSR C=CLK D=$abc$21987$n1433 Q=I2C.i2c_state_machine R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFNE C=CLK D=$abc$19662$n3 E=I2C.FLT_SCL.RESET Q=$abc$19662$n10 +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFNE C=CLK D=$abc$21987$n3 E=I2C.FLT_SCL.RESET Q=$abc$21987$n10 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n5 E=I2C.FLT_SCL.RESET Q=$abc$19662$n12 +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n5 E=I2C.FLT_SCL.RESET Q=$abc$21987$n12 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1320 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1431 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNSR C=CLK D=$abc$19662$n1413 Q=UART.tx_activity R=$abc$19662$n21 +.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNSR C=CLK D=$abc$21987$n1524 Q=UART.tx_activity R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFNE C=CLK D=$abc$19662$n7 E=$abc$19662$n180 Q=$abc$19662$n14 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFNE C=CLK D=$abc$21987$n7 E=$abc$21987$n194 Q=$abc$21987$n14 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1415 E=$abc$19662$n179 Q=UART.tx_clk_counter[0] S=$abc$19662$n17 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1526 E=$abc$21987$n193 Q=UART.tx_clk_counter[0] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1416 E=$abc$19662$n179 Q=UART.tx_clk_counter[1] R=$abc$19662$n17 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1527 E=$abc$21987$n193 Q=UART.tx_clk_counter[1] R=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1417 E=$abc$19662$n179 Q=UART.tx_clk_counter[2] S=$abc$19662$n17 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1528 E=$abc$21987$n193 Q=UART.tx_clk_counter[2] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1418 E=$abc$19662$n179 Q=UART.tx_clk_counter[3] S=$abc$19662$n17 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1529 E=$abc$21987$n193 Q=UART.tx_clk_counter[3] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1625 E=$abc$19662$n180 Q=UART.tx_bit_counter[0] S=$abc$19662$n17 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1799 E=$abc$21987$n194 Q=UART.tx_bit_counter[0] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1623 E=$abc$19662$n180 Q=UART.tx_bit_counter[1] R=$abc$19662$n17 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1797 E=$abc$21987$n194 Q=UART.tx_bit_counter[1] R=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1670 E=$abc$19662$n180 Q=UART.tx_bit_counter[2] R=$abc$19662$n17 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1817 E=$abc$21987$n194 Q=UART.tx_bit_counter[2] R=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1672 E=$abc$19662$n180 Q=UART.tx_bit_counter[3] S=$abc$19662$n17 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1814 E=$abc$21987$n194 Q=UART.tx_bit_counter[3] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1436 E=$abc$19662$n223 Q=KEYBOARD.report_adress_rd[0] S=$abc$19662$n21 +.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1677 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[0] R=KEYBOARD.IS_RAM_INIT +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1680 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[1] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1438 E=$abc$19662$n223 Q=KEYBOARD.report_adress_rd[1] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1683 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[2] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1440 E=$abc$19662$n223 Q=KEYBOARD.report_adress_rd[2] S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1686 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[3] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1442 E=$abc$19662$n223 Q=KEYBOARD.report_adress_rd[3] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1689 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[4] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1422 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[0] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1692 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[5] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1425 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[1] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1695 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[6] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1427 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[2] S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1698 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[7] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1428 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[3] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$false E=$abc$21987$n238 Q=KEYBOARD.is_ghost .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1429 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[4] S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1596 E=$abc$21987$n249 Q=KEYBOARD.tmr_wr_en .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1430 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[5] S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1579 E=$abc$21987$n310 Q=KEYBOARD.report_adress_rd[0] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1431 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[6] S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1581 E=$abc$21987$n310 Q=KEYBOARD.report_adress_rd[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1433 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[7] S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1583 E=$abc$21987$n310 Q=KEYBOARD.report_adress_rd[2] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1434 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[8] S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1585 E=$abc$21987$n310 Q=KEYBOARD.report_adress_rd[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1459 E=$abc$19662$n30 Q=KEYBOARD.ram_wr S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1532 E=$abc$21987$n36 Q=KEYBOARD.last_adr[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n909 E=$abc$19662$n245 Q=KEYBOARD.is_pressed +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1535 E=$abc$21987$n36 Q=KEYBOARD.last_adr[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n913 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[1] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1537 E=$abc$21987$n36 Q=KEYBOARD.last_adr[2] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n916 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[2] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1539 E=$abc$21987$n36 Q=KEYBOARD.last_adr[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n919 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[3] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1541 E=$abc$21987$n36 Q=KEYBOARD.last_adr[4] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n911 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[4] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1543 E=$abc$21987$n36 Q=KEYBOARD.last_adr[5] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n914 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[5] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1545 E=$abc$21987$n36 Q=KEYBOARD.last_adr[6] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n917 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[6] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1548 E=$abc$21987$n36 Q=KEYBOARD.last_adr[7] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n920 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[7] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1550 E=$abc$21987$n36 Q=KEYBOARD.last_adr[8] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1728 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[0] R=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1665 E=$abc$21987$n320 Q=KEYBOARD.is_pressed .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1729 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[1] R=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1552 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[0] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1730 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[2] R=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1554 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[1] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1731 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[3] R=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1556 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[2] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1732 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[4] R=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1558 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[3] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1733 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[5] R=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1560 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[4] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1734 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[6] R=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1562 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[5] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1735 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[7] R=$abc$19662$n18 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1564 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[6] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1728 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[8] R=$abc$19662$n19 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1566 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[7] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1729 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[9] R=$abc$19662$n19 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1909 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[0] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1730 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[10] R=$abc$19662$n19 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1910 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[1] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1731 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[11] R=$abc$19662$n19 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1911 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[2] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1732 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[12] R=$abc$19662$n19 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1912 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[3] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1733 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[13] R=$abc$19662$n19 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1913 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[4] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1734 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[14] R=$abc$19662$n19 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1914 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[5] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1735 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[15] R=$abc$19662$n19 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1915 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[6] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1453 E=$abc$19662$n255 Q=KEYBOARD.isr_internal R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1916 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[7] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$19662$n260 Q=KEYBOARD.isr R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1909 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[8] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1500 E=$abc$19662$n262 Q=KEYBOARD.temp[0] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1910 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[9] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1504 E=$abc$19662$n262 Q=KEYBOARD.temp[1] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1911 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[10] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1502 E=$abc$19662$n262 Q=KEYBOARD.temp[2] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1912 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[11] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1505 E=$abc$19662$n262 Q=KEYBOARD.temp[3] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1913 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[12] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1501 E=$abc$19662$n262 Q=KEYBOARD.temp[4] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1914 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[13] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1506 E=$abc$19662$n262 Q=KEYBOARD.temp[5] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1915 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[14] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1507 E=$abc$19662$n262 Q=KEYBOARD.temp[6] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1916 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[15] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1503 E=$abc$19662$n262 Q=KEYBOARD.temp[7] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1650 E=$abc$21987$n339 Q=KEYBOARD.isr_internal R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1421 E=$abc$19662$n250 Q=KEYBOARD.row_counter[0] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$21987$n343 Q=KEYBOARD.isr R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1423 E=$abc$19662$n250 Q=KEYBOARD.row_counter[1] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1568 E=$abc$21987$n36 Q=KEYBOARD.row_counter[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1426 E=$abc$19662$n250 Q=KEYBOARD.row_counter[2] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1570 E=$abc$21987$n36 Q=KEYBOARD.row_counter[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n18 E=$abc$19662$n250 Q=KEYBOARD.row_counter[3] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1572 E=$abc$21987$n36 Q=KEYBOARD.row_counter[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n792 E=$abc$19662$n243 Q=KEYBOARD.row_time[0] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1574 E=$abc$21987$n36 Q=KEYBOARD.row_counter[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n793 E=$abc$19662$n243 Q=KEYBOARD.row_time[1] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n750 E=$abc$21987$n324 Q=KEYBOARD.row_time[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n795 E=$abc$19662$n243 Q=KEYBOARD.row_time[2] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n765 E=$abc$21987$n324 Q=KEYBOARD.row_time[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n796 E=$abc$19662$n243 Q=KEYBOARD.row_time[3] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n753 E=$abc$21987$n324 Q=KEYBOARD.row_time[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n799 E=$abc$19662$n243 Q=KEYBOARD.row_time[4] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n742 E=$abc$21987$n324 Q=KEYBOARD.row_time[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n649 E=$abc$19662$n243 Q=KEYBOARD.row_time[5] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n758 E=$abc$21987$n324 Q=KEYBOARD.row_time[4] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n650 E=$abc$19662$n243 Q=KEYBOARD.row_time[6] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n759 E=$abc$21987$n324 Q=KEYBOARD.row_time[5] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n643 E=$abc$19662$n243 Q=KEYBOARD.row_time[7] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n755 E=$abc$21987$n324 Q=KEYBOARD.row_time[6] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n803 E=$abc$19662$n243 Q=KEYBOARD.row_time[8] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n317 E=$abc$21987$n324 Q=KEYBOARD.row_time[7] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n804 E=$abc$19662$n243 Q=KEYBOARD.row_time[9] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1575 E=$abc$21987$n36 Q=KEYBOARD.row_time[8] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n806 E=$abc$19662$n243 Q=KEYBOARD.row_time[10] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1576 E=$abc$21987$n36 Q=KEYBOARD.row_time[9] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n807 E=$abc$19662$n243 Q=KEYBOARD.row_time[11] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1577 E=$abc$21987$n36 Q=KEYBOARD.row_time[10] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n809 E=$abc$19662$n243 Q=KEYBOARD.row_time[12] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n877 E=$abc$21987$n324 Q=KEYBOARD.row_time[11] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n810 E=$abc$19662$n243 Q=KEYBOARD.row_time[13] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n878 E=$abc$21987$n324 Q=KEYBOARD.row_time[12] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n814 E=$abc$19662$n243 Q=KEYBOARD.row_time[14] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n880 E=$abc$21987$n324 Q=KEYBOARD.row_time[13] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESS C=CLK D=$abc$19662$n1449 E=$abc$19662$n25 Q=KEYBOARD.IS_RAM_INIT S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n881 E=$abc$21987$n324 Q=KEYBOARD.row_time[14] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1520 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$21987$n1594 E=$abc$21987$n36 Q=KEYBOARD.IS_RAM_INIT S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1444 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[1] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1593 E=$abc$21987$n51 Q=KEYBOARD.last_wr .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1525 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1730 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNE C=CLK D=$abc$19662$n1446 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[3] +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1587 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1530 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1735 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1533 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$21987$n1589 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1536 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1740 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1539 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1743 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFNESR C=CLK D=$abc$19662$n1448 E=$abc$19662$n309 Q=KEYBOARD.report_wr_en R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1746 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$19662$n319 Q=I2C.FLT_SDA.out S=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1749 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$19662$n1562 E=$abc$19662$n320 Q=I2C.FLT_SDA.counter[0] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$21987$n1591 E=$abc$21987$n390 Q=KEYBOARD.report_wr_en R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$19662$n1563 E=$abc$19662$n320 Q=I2C.FLT_SDA.counter[1] R=$abc$19662$n21 +.attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$21987$n400 Q=I2C.FLT_SDA.out S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$19662$n1564 E=$abc$19662$n320 Q=I2C.FLT_SDA.counter[2] R=$abc$19662$n21 +.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$21987$n1772 E=$abc$21987$n401 Q=I2C.FLT_SDA.counter[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$19662$n330 Q=I2C.FLT_SCL.out S=$abc$19662$n21 +.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$21987$n1773 E=$abc$21987$n401 Q=I2C.FLT_SDA.counter[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$19662$n1565 E=$abc$19662$n331 Q=I2C.FLT_SCL.counter[0] R=$abc$19662$n21 +.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$21987$n1774 E=$abc$21987$n401 Q=I2C.FLT_SDA.counter[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$19662$n1566 E=$abc$19662$n331 Q=I2C.FLT_SCL.counter[1] R=$abc$19662$n21 +.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$21987$n410 Q=I2C.FLT_SCL.out S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$19662$n1567 E=$abc$19662$n331 Q=I2C.FLT_SCL.counter[2] R=$abc$19662$n21 +.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$21987$n1775 E=$abc$21987$n411 Q=I2C.FLT_SCL.counter[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$21987$n1776 E=$abc$21987$n411 Q=I2C.FLT_SCL.counter[1] R=$abc$21987$n21 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$21987$n1777 E=$abc$21987$n411 Q=I2C.FLT_SCL.counter[2] R=$abc$21987$n21 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:20|i2c_slave.v:158" +.attr src "top.v:19|i2c_slave.v:158" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|descriptors.v:147" +.attr src "top.v:36|descriptors.v:147" .param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000 .param INIT_8 0000000000100101000000000000000000000000000101010000000011100111000000000010100100000000111000000000000000011001000000000000011100000000000001010000000000000001000000001010000100000000000001100000000000001001000000000000000100000000000001010000000000000000 @@ -3306,7 +3571,28 @@ .param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] RDATA[1]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] RDATA[3]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] RDATA[5]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] RDATA[7]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] RDATA[9]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] RDATA[11]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] RDATA[13]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] RDATA[15]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.row_time[8] WADDR[1]=KEYBOARD.row_time[9] WADDR[2]=KEYBOARD.row_time[10] WADDR[3]=KEYBOARD.row_counter[0] WADDR[4]=KEYBOARD.row_counter[1] WADDR[5]=KEYBOARD.row_counter[2] WADDR[6]=KEYBOARD.row_counter[3] WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.tmr_wr_en WDATA[0]=KEYBOARD.tmr_to_ram[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.tmr_to_ram[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.tmr_to_ram[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.tmr_to_ram[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.tmr_to_ram[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.tmr_to_ram[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.tmr_to_ram[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.tmr_to_ram[7] WDATA[15]=$undef WE=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" +.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 +.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param READ_MODE 00000000000000000000000000000001 +.param WRITE_MODE 00000000000000000000000000000001 +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.last_adr[0] RADDR[1]=KEYBOARD.last_adr[1] RADDR[2]=KEYBOARD.last_adr[2] RADDR[3]=KEYBOARD.last_adr[3] RADDR[4]=KEYBOARD.last_adr[4] RADDR[5]=KEYBOARD.last_adr[5] RADDR[6]=KEYBOARD.last_adr[6] RADDR[7]=KEYBOARD.last_adr[7] RADDR[8]=KEYBOARD.last_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.last_adr[0] WADDR[1]=KEYBOARD.last_adr[1] WADDR[2]=KEYBOARD.last_adr[2] WADDR[3]=KEYBOARD.last_adr[3] WADDR[4]=KEYBOARD.last_adr[4] WADDR[5]=KEYBOARD.last_adr[5] WADDR[6]=KEYBOARD.last_adr[6] WADDR[7]=KEYBOARD.last_adr[7] WADDR[8]=KEYBOARD.last_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.last_wr WDATA[0]=KEYBOARD.COLUMN_SHADOW[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.COLUMN_SHADOW[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.COLUMN_SHADOW[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.COLUMN_SHADOW[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.COLUMN_SHADOW[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.COLUMN_SHADOW[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.COLUMN_SHADOW[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.COLUMN_SHADOW[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 @@ -3327,7 +3613,7 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 @@ -3348,9 +3634,9 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[5] RADDR[1]=KEYBOARD.row_time[6] RADDR[2]=KEYBOARD.row_time[7] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:288" +.attr src "top.v:34|matrix_kbd.v:276" .param INIT_0 0000000001100010000000000101110100000000010010010000000001000101000000000110000000000000010110100000000001010100000000000100111100000000010100100000000000000000000000000100101000000000010011010000000001010111000000000101100000000000010010000000000001010000 .param INIT_1 0000000001100011000000000101111000000000010010110000000001001110000000000110000100000000010110110000000001010101000000000101011000000000000000000000000001011100000000000100110000000000010001000000000001011111000000000101100100000000010100110000000001010001 .param INIT_2 0000000000101001000000000010101100000000001101010000000000011110000000000001010000000000000001000000000000011101000000000000000000000000111000100000000000000000000000000000000000000000010001100000000001000111000000000000000000000000000000000000000011100110 @@ -3363,85 +3649,85 @@ .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:37|matrix_kbd.v:314" +.attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=REPORT_DATA.clk RCLKE=$true RDATA[0]=REPORT_DATA.rdata[0] RDATA[1]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.rdata[1] RDATA[3]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.rdata[2] RDATA[5]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.rdata[3] RDATA[7]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.rdata[4] RDATA[9]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.rdata[5] RDATA[11]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.rdata[6] RDATA[13]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.rdata[7] RDATA[15]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=REPORT_DATA.clk WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=I2C_HID_DESC.CLK WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 @@ -3532,8 +3818,6 @@ 1 1 .names I2C_COUNTER[6] I2C_HID_DESC.ADR[6] 1 1 -.names CLK I2C_HID_DESC.CLK -1 1 .names I2C_COUNTER[0] I2C_HID_DESC.RAM_ADR[0] 1 1 .names I2C_COUNTER[1] I2C_HID_DESC.RAM_ADR[1] @@ -3594,12 +3878,84 @@ 1 1 .names KEYBOARD.isr ISR 1 1 -.names LED2 KBD_LED_STATUS[0] +.names $undef KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 +.names CLK KEYBOARD.CHATTERING_SUPRESSION_TIMERS.clk +1 1 +.names KEYBOARD.row_time[8] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[0] +1 1 +.names KEYBOARD.row_time[9] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[1] +1 1 +.names KEYBOARD.row_time[10] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[2] +1 1 +.names KEYBOARD.row_counter[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[3] +1 1 +.names KEYBOARD.row_counter[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[4] +1 1 +.names KEYBOARD.row_counter[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[5] +1 1 +.names KEYBOARD.row_counter[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[6] +1 1 +.names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[7] +1 1 +.names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[8] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] +1 1 +.names KEYBOARD.row_time[8] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[0] +1 1 +.names KEYBOARD.row_time[9] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[1] +1 1 +.names KEYBOARD.row_time[10] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[2] +1 1 +.names KEYBOARD.row_counter[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[3] +1 1 +.names KEYBOARD.row_counter[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[4] +1 1 +.names KEYBOARD.row_counter[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[5] +1 1 +.names KEYBOARD.row_counter[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[6] +1 1 +.names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[7] +1 1 +.names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[8] +1 1 +.names KEYBOARD.tmr_to_ram[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[0] +1 1 +.names KEYBOARD.tmr_to_ram[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[1] +1 1 +.names KEYBOARD.tmr_to_ram[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[2] +1 1 +.names KEYBOARD.tmr_to_ram[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[3] +1 1 +.names KEYBOARD.tmr_to_ram[4] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[4] +1 1 +.names KEYBOARD.tmr_to_ram[5] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[5] +1 1 +.names KEYBOARD.tmr_to_ram[6] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[6] +1 1 +.names KEYBOARD.tmr_to_ram[7] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[7] +1 1 +.names KEYBOARD.tmr_wr_en KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wen +1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] @@ -3624,23 +3980,23 @@ 1 1 .names CLK KEYBOARD.RAM.clk 1 1 -.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0] +.names KEYBOARD.last_adr[0] KEYBOARD.RAM.raddr[0] 1 1 -.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1] +.names KEYBOARD.last_adr[1] KEYBOARD.RAM.raddr[1] 1 1 -.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2] +.names KEYBOARD.last_adr[2] KEYBOARD.RAM.raddr[2] 1 1 -.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3] +.names KEYBOARD.last_adr[3] KEYBOARD.RAM.raddr[3] 1 1 -.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4] +.names KEYBOARD.last_adr[4] KEYBOARD.RAM.raddr[4] 1 1 -.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5] +.names KEYBOARD.last_adr[5] KEYBOARD.RAM.raddr[5] 1 1 -.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6] +.names KEYBOARD.last_adr[6] KEYBOARD.RAM.raddr[6] 1 1 -.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] +.names KEYBOARD.last_adr[7] KEYBOARD.RAM.raddr[7] 1 1 -.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.raddr[8] +.names KEYBOARD.last_adr[8] KEYBOARD.RAM.raddr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 @@ -3658,41 +4014,41 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 -.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0] +.names KEYBOARD.last_adr[0] KEYBOARD.RAM.waddr[0] 1 1 -.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1] +.names KEYBOARD.last_adr[1] KEYBOARD.RAM.waddr[1] 1 1 -.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2] +.names KEYBOARD.last_adr[2] KEYBOARD.RAM.waddr[2] 1 1 -.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3] +.names KEYBOARD.last_adr[3] KEYBOARD.RAM.waddr[3] 1 1 -.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4] +.names KEYBOARD.last_adr[4] KEYBOARD.RAM.waddr[4] 1 1 -.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5] +.names KEYBOARD.last_adr[5] KEYBOARD.RAM.waddr[5] 1 1 -.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6] +.names KEYBOARD.last_adr[6] KEYBOARD.RAM.waddr[6] 1 1 -.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] +.names KEYBOARD.last_adr[7] KEYBOARD.RAM.waddr[7] 1 1 -.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.waddr[8] +.names KEYBOARD.last_adr[8] KEYBOARD.RAM.waddr[8] 1 1 -.names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] +.names KEYBOARD.COLUMN_SHADOW[0] KEYBOARD.RAM.wdata[0] 1 1 -.names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1] +.names KEYBOARD.COLUMN_SHADOW[1] KEYBOARD.RAM.wdata[1] 1 1 -.names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2] +.names KEYBOARD.COLUMN_SHADOW[2] KEYBOARD.RAM.wdata[2] 1 1 -.names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3] +.names KEYBOARD.COLUMN_SHADOW[3] KEYBOARD.RAM.wdata[3] 1 1 -.names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4] +.names KEYBOARD.COLUMN_SHADOW[4] KEYBOARD.RAM.wdata[4] 1 1 -.names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5] +.names KEYBOARD.COLUMN_SHADOW[5] KEYBOARD.RAM.wdata[5] 1 1 -.names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6] +.names KEYBOARD.COLUMN_SHADOW[6] KEYBOARD.RAM.wdata[6] 1 1 -.names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7] +.names KEYBOARD.COLUMN_SHADOW[7] KEYBOARD.RAM.wdata[7] 1 1 -.names KEYBOARD.ram_wr KEYBOARD.RAM.wen +.names KEYBOARD.last_wr KEYBOARD.RAM.wen 1 1 .names CLK KEYBOARD.REPORT.clk 1 1 @@ -3824,11 +4180,11 @@ 1 1 .names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 -.names KEYBOARD.row_time[5] KEYBOARD.kbd_code[0] +.names KEYBOARD.row_time[8] KEYBOARD.kbd_code[0] 1 1 -.names KEYBOARD.row_time[6] KEYBOARD.kbd_code[1] +.names KEYBOARD.row_time[9] KEYBOARD.kbd_code[1] 1 1 -.names KEYBOARD.row_time[7] KEYBOARD.kbd_code[2] +.names KEYBOARD.row_time[10] KEYBOARD.kbd_code[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.kbd_code[3] 1 1 @@ -3838,21 +4194,21 @@ 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.kbd_code[6] 1 1 -.names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] +.names KEYBOARD.RAM.r_data[0] KEYBOARD.last_column[0] 1 1 -.names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1] +.names KEYBOARD.RAM.r_data[1] KEYBOARD.last_column[1] 1 1 -.names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2] +.names KEYBOARD.RAM.r_data[2] KEYBOARD.last_column[2] 1 1 -.names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3] +.names KEYBOARD.RAM.r_data[3] KEYBOARD.last_column[3] 1 1 -.names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4] +.names KEYBOARD.RAM.r_data[4] KEYBOARD.last_column[4] 1 1 -.names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5] +.names KEYBOARD.RAM.r_data[5] KEYBOARD.last_column[5] 1 1 -.names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6] +.names KEYBOARD.RAM.r_data[6] KEYBOARD.last_column[6] 1 1 -.names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] +.names KEYBOARD.RAM.r_data[7] KEYBOARD.last_column[7] 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.report_adress_wr[0] 1 1 @@ -3878,13 +4234,41 @@ 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.report_data_rd[7] 1 1 -.names I2C_TRANS LED5 +.names KEYBOARD.row_time[8] KEYBOARD.tmr_adr[0] +1 1 +.names KEYBOARD.row_time[9] KEYBOARD.tmr_adr[1] +1 1 +.names KEYBOARD.row_time[10] KEYBOARD.tmr_adr[2] +1 1 +.names KEYBOARD.row_counter[0] KEYBOARD.tmr_adr[3] +1 1 +.names KEYBOARD.row_counter[1] KEYBOARD.tmr_adr[4] +1 1 +.names KEYBOARD.row_counter[2] KEYBOARD.tmr_adr[5] +1 1 +.names KEYBOARD.row_counter[3] KEYBOARD.tmr_adr[6] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] KEYBOARD.tmr_from_ram[0] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] KEYBOARD.tmr_from_ram[1] 1 1 -.names REPORT_DATA.rdata[0] REPORT_DATA.r_data[0] +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] KEYBOARD.tmr_from_ram[2] 1 1 -.names REPORT_DATA.rdata[1] REPORT_DATA.r_data[1] +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] KEYBOARD.tmr_from_ram[3] 1 1 -.names REPORT_DATA.rdata[2] REPORT_DATA.r_data[2] +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] KEYBOARD.tmr_from_ram[4] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] KEYBOARD.tmr_from_ram[5] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] KEYBOARD.tmr_from_ram[6] +1 1 +.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] KEYBOARD.tmr_from_ram[7] +1 1 +.names $undef LED2 +1 1 +.names I2C_TRANS LED5 +1 1 +.names I2C_HID_DESC.CLK REPORT_DATA.clk 1 1 .names I2C_COUNTER[0] REPORT_DATA.raddr[0] 1 1 @@ -3904,6 +4288,22 @@ 1 1 .names $false REPORT_DATA.raddr[8] 1 1 +.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] +1 1 +.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] +1 1 +.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] +1 1 +.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] +1 1 +.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] +1 1 +.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] +1 1 +.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] +1 1 +.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] +1 1 .names wr_cnt[0] REPORT_DATA.waddr[0] 1 1 .names wr_cnt[1] REPORT_DATA.waddr[1] @@ -3990,21 +4390,21 @@ 1 1 .names ring_rd[3] report_data_radr[7] 1 1 -.names REPORT_DATA.rdata[0] report_data_rd[0] +.names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 -.names REPORT_DATA.rdata[1] report_data_rd[1] +.names REPORT_DATA.r_data[1] report_data_rd[1] 1 1 -.names REPORT_DATA.rdata[2] report_data_rd[2] +.names REPORT_DATA.r_data[2] report_data_rd[2] 1 1 -.names REPORT_DATA.rdata[3] report_data_rd[3] +.names REPORT_DATA.r_data[3] report_data_rd[3] 1 1 -.names REPORT_DATA.rdata[4] report_data_rd[4] +.names REPORT_DATA.r_data[4] report_data_rd[4] 1 1 -.names REPORT_DATA.rdata[5] report_data_rd[5] +.names REPORT_DATA.r_data[5] report_data_rd[5] 1 1 -.names REPORT_DATA.rdata[6] report_data_rd[6] +.names REPORT_DATA.r_data[6] report_data_rd[6] 1 1 -.names REPORT_DATA.rdata[7] report_data_rd[7] +.names REPORT_DATA.r_data[7] report_data_rd[7] 1 1 .names wr_cnt[0] report_data_wadr[0] 1 1 @@ -4038,4 +4438,6 @@ 1 1 .names KEYBOARD.REPORT.r_data[7] report_data_wr[7] 1 1 +.names $undef temp_output_report[0] +1 1 .end diff --git a/i2c_keyboard/i2c_kbd_alt.ex b/i2c_keyboard/i2c_kbd_alt.ex index ea6d6f2..b9ff5c4 100644 --- a/i2c_keyboard/i2c_kbd_alt.ex +++ b/i2c_keyboard/i2c_kbd_alt.ex @@ -8,16 +8,31 @@ IOB_0 PINTYPE_5 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 -buffer local_g0_3 io_0/OUT_ENB -buffer span4_vert_11 local_g0_3 +buffer local_g0_1 io_0/OUT_ENB +buffer local_g1_3 io_0/D_OUT_0 +buffer span4_vert_17 local_g0_1 +buffer span4_vert_3 local_g1_3 .io_tile 9 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span4_horz_r_8 -buffer io_1/D_IN_0 span4_vert_4 +buffer io_0/D_IN_0 span4_horz_r_12 +routing span4_horz_l_12 span4_vert_1 +routing span4_horz_l_14 span4_vert_13 + +.io_tile 13 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +IoCtrl IE_0 +IoCtrl IE_1 .io_tile 10 17 IOB_0 PINTYPE_0 @@ -30,16 +45,14 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_0 io_1/OUT_ENB -buffer local_g0_3 io_0/OUT_ENB -buffer span4_horz_r_11 local_g0_3 -buffer span4_vert_16 local_g0_0 - -.io_tile 3 0 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_vert_1 span4_horz_l_12 -routing span4_vert_31 span4_horz_l_13 +buffer local_g0_3 io_1/D_OUT_0 +buffer local_g1_1 io_1/OUT_ENB +buffer local_g1_3 io_0/D_OUT_0 +buffer local_g1_4 io_0/OUT_ENB +buffer logic_op_bnr_4 local_g1_4 +buffer span4_vert_3 local_g0_3 +buffer span4_vert_3 local_g1_3 +buffer span4_vert_9 local_g1_1 .io_tile 0 14 IOB_0 PINTYPE_0 @@ -52,12 +65,20 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_1 io_1/D_OUT_0 -buffer local_g0_2 io_0/D_OUT_0 -buffer span4_horz_9 local_g0_1 -buffer span4_vert_b_2 local_g0_2 +buffer local_g0_0 io_0/D_OUT_0 +buffer local_g1_6 io_1/D_OUT_0 +buffer span4_horz_6 local_g1_6 +buffer span4_horz_8 local_g0_0 .io_tile 13 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 IOB_0 PINTYPE_0 IOB_0 PINTYPE_3 IOB_0 PINTYPE_5 @@ -68,33 +89,20 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_3 io_0/OUT_ENB -buffer local_g1_7 io_1/OUT_ENB -buffer logic_op_lft_3 local_g0_3 -buffer logic_op_lft_7 local_g1_7 -routing span4_horz_13 span4_vert_t_14 -routing span4_horz_7 span4_horz_31 +buffer local_g0_4 io_0/D_OUT_0 +buffer local_g0_5 io_0/OUT_ENB +buffer local_g1_3 io_1/OUT_ENB +buffer local_g1_4 io_1/D_OUT_0 +buffer span4_horz_11 local_g1_3 +buffer span4_horz_5 local_g0_5 +buffer span4_vert_b_4 local_g0_4 +buffer span4_vert_b_4 local_g1_4 .io_tile 8 0 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_1 -routing span4_vert_31 span4_vert_7 - -.io_tile 1 17 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_r_0 span4_horz_l_12 - -.io_tile 0 16 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_vert_t_12 span4_horz_1 - -.io_tile 0 10 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_13 span4_vert_t_14 +routing span4_horz_l_12 span4_vert_1 .io_tile 13 8 IOB_0 PINTYPE_0 @@ -102,54 +110,66 @@ IOB_0 PINTYPE_3 IOB_0 PINTYPE_5 IoCtrl IE_1 IoCtrl REN_0 -buffer io_0/D_IN_0 span12_horz_0 -buffer local_g0_3 fabout +buffer local_g0_4 io_0/D_OUT_0 buffer local_g0_5 io_0/OUT_ENB -buffer span12_horz_11 local_g0_3 -buffer span4_horz_29 local_g0_5 +buffer local_g1_2 fabout +buffer span4_horz_26 local_g1_2 +buffer span4_horz_45 local_g0_5 +buffer span4_vert_b_4 local_g0_4 +routing span4_horz_31 span4_horz_7 +routing span4_horz_43 span4_vert_t_15 .io_tile 6 17 +IoCtrl IE_0 IoCtrl IE_1 -buffer local_g0_1 fabout -buffer logic_op_bot_1 local_g0_1 +buffer local_g0_7 fabout +buffer span12_vert_7 local_g0_7 .io_tile 4 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span12_vert_16 +buffer io_0/D_IN_0 span4_horz_r_0 +buffer io_1/D_IN_0 span4_horz_r_10 .io_tile 13 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 IOB_0 PINTYPE_0 IOB_0 PINTYPE_3 IOB_0 PINTYPE_4 IOB_1 PINTYPE_0 IOB_1 PINTYPE_3 IOB_1 PINTYPE_4 -IoCtrl IE_0 -IoCtrl IE_1 -buffer local_g0_1 io_1/D_OUT_0 -buffer local_g1_3 io_0/D_OUT_0 -buffer span4_horz_3 local_g1_3 -buffer span4_horz_9 local_g0_1 +buffer local_g0_5 io_1/D_OUT_0 +buffer local_g1_5 io_0/D_OUT_0 +buffer span4_horz_21 local_g0_5 +buffer span4_vert_b_5 local_g1_5 +routing span4_horz_1 span4_vert_b_0 .io_tile 13 3 IOB_1 PINTYPE_0 IOB_1 PINTYPE_3 IOB_1 PINTYPE_5 -IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 buffer local_g0_6 io_1/OUT_ENB -buffer logic_op_tnl_6 local_g0_6 +buffer local_g1_6 io_1/D_OUT_0 +buffer span4_horz_38 local_g1_6 +buffer span4_horz_6 local_g0_6 .io_tile 0 11 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 -routing span4_horz_31 span4_horz_7 .io_tile 13 7 IOB_0 PINTYPE_0 @@ -159,9 +179,9 @@ IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_1/D_IN_0 span4_vert_b_10 -buffer local_g0_2 io_0/D_OUT_0 -buffer span4_horz_34 local_g0_2 +buffer local_g0_6 io_0/D_OUT_0 +buffer span4_vert_b_14 local_g0_6 +routing span4_horz_43 span4_vert_t_15 .io_tile 12 17 IOB_0 PINTYPE_0 @@ -174,17 +194,40 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_5 io_0/OUT_ENB -buffer local_g1_5 io_1/OUT_ENB -buffer span4_vert_13 local_g0_5 -buffer span4_vert_5 local_g1_5 -routing span4_vert_19 span4_horz_l_15 +buffer local_g0_0 io_1/OUT_ENB +buffer local_g0_1 io_1/D_OUT_0 +buffer local_g1_1 io_0/D_OUT_0 +buffer local_g1_4 io_0/OUT_ENB +buffer span12_vert_0 local_g0_0 +buffer span12_vert_20 local_g1_4 +buffer span4_vert_9 local_g0_1 +buffer span4_vert_9 local_g1_1 .io_tile 5 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 +buffer io_0/D_IN_0 span4_horz_r_0 +buffer io_1/D_IN_0 span4_horz_r_2 + +.io_tile 0 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +IoCtrl IE_0 +IoCtrl IE_1 + +.io_tile 10 0 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_l_12 span4_vert_25 +routing span4_horz_l_14 span4_vert_13 .io_tile 9 17 IOB_0 PINTYPE_0 @@ -197,10 +240,14 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g1_0 io_0/OUT_ENB +buffer local_g0_5 io_0/OUT_ENB +buffer local_g0_6 io_0/D_OUT_0 buffer local_g1_1 io_1/OUT_ENB +buffer local_g1_6 io_1/D_OUT_0 buffer span4_vert_17 local_g1_1 -buffer span4_vert_32 local_g1_0 +buffer span4_vert_30 local_g0_6 +buffer span4_vert_30 local_g1_6 +buffer span4_vert_37 local_g0_5 .io_tile 13 11 IOB_0 PINTYPE_0 @@ -213,31 +260,53 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_0 io_0/D_OUT_0 -buffer local_g1_0 io_1/D_OUT_0 -buffer span4_horz_16 local_g0_0 -buffer span4_horz_8 local_g1_0 +buffer local_g0_3 io_1/D_OUT_0 +buffer local_g0_4 io_0/D_OUT_0 +buffer span4_horz_27 local_g0_3 +buffer span4_vert_b_4 local_g0_4 +routing span4_vert_b_3 span4_vert_t_15 -.io_tile 5 17 +.io_tile 13 15 IoCtrl IE_0 IoCtrl IE_1 -routing span4_vert_1 span4_horz_l_12 +routing span4_vert_b_3 span4_vert_t_15 .io_tile 6 0 -IoCtrl REN_0 -IoCtrl REN_1 +IoCtrl IE_0 +IoCtrl IE_1 buffer local_g0_7 fabout -buffer span4_vert_23 local_g0_7 +buffer span12_vert_15 local_g0_7 +routing span4_horz_l_14 span4_horz_r_2 .io_tile 0 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 IOB_0 PINTYPE_0 IOB_0 PINTYPE_3 IOB_0 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g1_5 io_0/D_OUT_0 -buffer span12_horz_5 local_g1_5 +buffer local_g0_2 io_0/D_OUT_0 +buffer span12_horz_10 local_g0_2 + +.io_tile 0 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +IoCtrl IE_0 +IoCtrl IE_1 .io_tile 13 6 IOB_0 PINTYPE_0 @@ -250,10 +319,14 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_0 io_1/OUT_ENB -buffer local_g1_2 io_0/OUT_ENB -buffer span4_horz_16 local_g0_0 -buffer span4_vert_b_10 local_g1_2 +buffer local_g0_1 io_1/D_OUT_0 +buffer local_g0_2 io_1/OUT_ENB +buffer local_g1_0 io_0/OUT_ENB +buffer local_g1_1 io_0/D_OUT_0 +buffer logic_op_lft_2 local_g0_2 +buffer span12_horz_9 local_g0_1 +buffer span12_horz_9 local_g1_1 +buffer span4_horz_0 local_g1_0 .io_tile 1 0 IOB_0 PINTYPE_0 @@ -266,24 +339,34 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_0 io_1/OUT_ENB -buffer local_g0_1 io_0/OUT_ENB -buffer span4_horz_r_8 local_g0_0 -buffer span4_horz_r_9 local_g0_1 +buffer local_g0_3 io_1/D_OUT_0 +buffer local_g1_3 io_0/D_OUT_0 +buffer local_g1_5 io_1/OUT_ENB +buffer local_g1_6 io_0/OUT_ENB +buffer span12_vert_14 local_g1_6 +buffer span4_vert_21 local_g1_5 +buffer span4_vert_3 local_g0_3 +buffer span4_vert_3 local_g1_3 .io_tile 0 8 IOB_1 PINTYPE_0 IoCtrl IE_1 IoCtrl REN_0 -buffer io_1/D_IN_0 span4_vert_b_2 -buffer local_g1_2 fabout -buffer span4_vert_b_2 local_g1_2 +buffer io_1/D_IN_0 span12_horz_12 +buffer local_g0_5 fabout +buffer span4_horz_13 local_g0_5 .io_tile 13 10 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 +routing span4_horz_37 span4_vert_b_2 + +.io_tile 13 1 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_1 span4_vert_t_12 .io_tile 11 17 IOB_0 PINTYPE_0 @@ -297,39 +380,61 @@ IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 buffer local_g0_2 io_1/OUT_ENB +buffer local_g0_7 io_1/D_OUT_0 buffer local_g1_2 io_0/OUT_ENB -buffer span12_vert_2 local_g0_2 -buffer span4_vert_10 local_g1_2 +buffer local_g1_7 io_0/D_OUT_0 +buffer span12_vert_10 local_g1_2 +buffer span4_horz_r_7 local_g0_7 +buffer span4_horz_r_7 local_g1_7 +buffer span4_vert_10 local_g0_2 .io_tile 7 0 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_1 -buffer local_g0_1 fabout -buffer logic_op_top_1 local_g0_1 -routing span4_horz_r_0 span4_vert_1 +buffer local_g1_2 fabout +buffer span4_vert_10 local_g1_2 .io_tile 0 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 IOB_0 PINTYPE_0 IOB_0 PINTYPE_3 IOB_0 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_4 io_0/D_OUT_0 -buffer span4_horz_4 local_g0_4 +buffer local_g0_6 io_0/D_OUT_0 +buffer span4_horz_30 local_g0_6 + +.io_tile 13 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +routing span4_vert_b_0 span4_vert_t_12 .io_tile 7 17 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g0_5 fabout -buffer logic_op_bot_5 local_g0_5 +buffer local_g0_7 fabout +buffer logic_op_bnr_7 local_g0_7 .io_tile 0 9 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g1_4 fabout -buffer span12_horz_4 local_g1_4 +buffer local_g1_2 fabout +buffer span4_horz_10 local_g1_2 .io_tile 13 9 IOB_1 PINTYPE_0 @@ -338,1179 +443,1116 @@ IOB_1 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_1 fabout -buffer local_g0_3 io_1/D_OUT_0 -buffer span12_horz_17 local_g0_1 -buffer span4_horz_35 local_g0_3 -routing span4_vert_b_2 span4_horz_37 +buffer local_g0_7 io_1/D_OUT_0 +buffer local_g1_2 fabout +buffer span4_horz_26 local_g1_2 +buffer span4_vert_b_15 local_g0_7 +routing span4_horz_7 span4_vert_t_13 .logic_tile 7 3 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111110 0000 -LC_5 0000100000000000 0000 -LC_6 0000000000011000 0000 -LC_7 0001000000000000 0000 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_4/in_2 +LC_0 0110100110010110 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0000000011111111 1000 CarryEnable +LC_7 1000000000000000 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_2 lutff_2/in_2 buffer local_g0_5 lutff_4/in_1 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_6/in_2 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_7 lutff_5/in_2 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_5 lutff_4/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g2_4 lutff_0/in_2 +buffer local_g2_6 lutff_7/in_3 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_h_r_4 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g3_5 -buffer lutff_7/out local_g0_7 -buffer neigh_op_tnr_4 local_g3_4 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_6 local_g1_6 +buffer sp4_h_r_10 local_g0_2 buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_40 local_g3_0 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_47 local_g2_7 -routing sp4_h_r_6 sp4_h_l_39 -routing sp4_v_t_37 sp4_v_b_3 +buffer sp4_r_v_b_12 local_g2_4 +routing sp4_h_r_10 sp4_h_l_43 .logic_tile 6 9 -LC_1 0011010100000000 0000 -LC_2 1111111101010011 0000 -LC_3 0000000001010011 0000 -LC_4 0101111100111111 0000 +LC_0 1110101010101010 0100 DffEnable +LC_1 0010011100000000 0000 +LC_3 0000001100010001 0000 +LC_4 0000100000101010 0000 LC_5 0011010100000000 0000 -LC_7 0101111100111111 0000 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_1/in_0 +LC_6 0000100001001100 0000 +LC_7 0110100110010110 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_7/in_3 +buffer local_g1_3 lutff_global/cen buffer local_g1_7 lutff_3/in_1 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_5 lutff_4/in_3 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_6 lutff_7/in_3 -buffer local_g2_7 lutff_2/in_3 -buffer local_g2_7 lutff_7/in_2 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_6 lutff_5/in_1 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_6 lutff_7/in_2 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g0_1 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 buffer lutff_7/out local_g1_7 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_3 local_g0_3 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_22 local_g0_6 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_39 local_g2_7 -buffer sp4_h_r_39 local_g3_7 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_1 local_g0_1 -routing sp4_h_l_39 sp4_h_r_10 -routing sp4_h_r_10 sp4_v_t_47 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_b_9 sp4_h_l_39 - -.logic_tile 1 3 -buffer sp12_v_b_19 sp4_v_b_21 +buffer neigh_op_tnr_1 local_g3_1 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_tnr_3 local_g2_3 +buffer neigh_op_tnr_4 local_g3_4 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_34 local_g2_2 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_r_1 sp4_h_l_36 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_t_47 sp4_h_r_3 .logic_tile 12 1 -routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_l_47 sp4_v_t_47 .logic_tile 11 11 -LC_1 0010000000000000 0000 -LC_2 0000001000000000 0000 -LC_6 1000000000000000 0000 -LC_7 1000000000000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb_netwk_5 glb2local_0 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g1_2 lutff_1/in_0 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_6 lutff_6/in_0 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp12_h_r_9 local_g0_1 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_25 local_g2_1 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_r_2 sp4_v_t_45 -routing sp4_v_b_11 sp4_h_r_5 +LC_4 1010000010001000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_4/in_1 +buffer local_g2_0 lutff_4/in_2 +buffer local_g3_2 lutff_4/in_3 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_lft_4 local_g1_4 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_34 local_g3_2 +routing sp4_h_l_45 sp4_h_r_11 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_2 sp4_h_l_42 .logic_tile 4 8 -LC_0 0011000001010000 0000 -LC_1 1010010101011010 0000 -LC_3 0000100100000000 0000 -LC_4 0111000000000000 0000 -LC_5 0010000000000000 0000 -LC_6 0001000000000000 0000 -LC_7 0000100000000000 0000 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_6 lutff_0/in_0 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_4 lutff_7/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_7 lutff_7/in_1 +LC_0 0000001000000000 0000 +LC_1 0000000010100010 0000 +LC_2 0000000010101000 0000 +LC_3 0001010100000101 0000 +LC_4 0001000000010011 0000 +LC_5 0111010100000000 0100 DffEnable +LC_6 0010000000000000 0000 +LC_7 0000000000100000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g1_6 lutff_3/in_0 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_6/in_1 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_5 lutff_3/in_3 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_4/in_0 +buffer lutff_0/out local_g2_0 buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out local_g3_2 buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_h_r_30 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_13 local_g0_5 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_0 local_g1_0 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_r_v_b_29 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_tnr_3 local_g2_3 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_h_r_6 local_g0_6 +buffer sp12_h_r_6 local_g1_6 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_36 local_g3_4 buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_23 local_g3_7 buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_39 local_g3_7 -buffer sp4_v_b_9 local_g1_1 -routing sp12_v_b_1 sp12_v_t_22 -routing sp12_v_t_22 sp12_h_l_22 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_r_7 sp4_h_l_47 -routing sp4_v_b_0 sp4_h_l_37 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_9 sp4_h_l_39 +buffer sp4_r_v_b_45 local_g3_5 .logic_tile 7 12 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0000011000000000 0000 -LC_1 1100110011100000 0000 -LC_2 0001000000000000 0000 -LC_3 0000000010110000 0000 -LC_4 0010000000000000 0000 -LC_5 0011110100000000 0000 -LC_6 1100111110101100 0000 -LC_7 0101001100000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_6/in_2 +ColBufCtrl glb_netwk_7 +LC_0 0000000010000000 0100 DffEnable +LC_2 0001000001010101 0000 +LC_3 1000000000000000 0000 +LC_6 0001000011010000 0000 +LC_7 0000010011000100 0000 +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_6/in_0 buffer local_g0_5 lutff_6/in_3 buffer local_g0_7 lutff_7/in_0 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_5/in_2 +buffer local_g1_0 lutff_2/in_3 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_7 lutff_0/in_3 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/out local_g2_0 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_top_3 local_g0_3 +buffer sp12_v_b_3 local_g3_3 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_v_b_34 local_g3_2 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_3 sp4_h_l_43 + +.logic_tile 2 8 +LC_0 0000100000000000 0000 +LC_1 1101111100001111 0100 DffEnable +LC_3 1000000000000000 0100 DffEnable +LC_4 0000000000001000 0000 +LC_5 0001000000000000 0000 +LC_6 0000011000000010 0000 +LC_7 1111101000001000 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_4 lutff_0/in_1 buffer local_g1_4 lutff_6/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_3 lutff_7/in_2 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_6 lutff_1/in_3 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_5 lutff_0/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_2/out local_g3_2 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_5/out local_g0_5 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_14 local_g2_6 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_v_t_46 sp4_h_r_4 - -.logic_tile 2 8 -LC_0 0000110001000000 0000 -LC_1 0000000000000001 0000 -LC_2 0000000001010011 0000 -LC_3 0010000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0000000001110000 0000 -LC_6 0000001000000000 0000 -LC_7 0010000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb2local_2 local_g0_6 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_2 glb2local_1 -buffer glb_netwk_4 glb2local_2 -buffer glb_netwk_5 glb2local_0 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_6 lutff_0/in_2 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_5 lutff_1/in_3 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_3/out sp4_v_b_6 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_7 lutff_1/in_3 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_3/out sp4_h_r_6 buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_6/out local_g3_6 buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_bnl_5 local_g3_5 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp12_v_b_1 local_g2_1 -buffer sp12_v_b_1 local_g3_1 -buffer sp12_v_b_14 local_g2_6 -buffer sp12_v_b_3 local_g2_3 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_5 local_g1_5 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_37 local_g2_5 -routing sp4_h_r_10 sp4_v_t_47 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_t_36 sp4_h_r_1 +buffer lutff_7/out local_g3_7 +buffer neigh_op_top_7 local_g0_7 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_39 local_g2_7 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_h_r_7 sp4_v_t_36 .logic_tile 9 8 -LC_3 0010000000000000 0000 -LC_5 1111111100001000 0000 -LC_6 1111100000000000 0000 -LC_7 1101010000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_3 local_g0_7 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_4 glb2local_3 -buffer glb_netwk_5 glb2local_0 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_3 lutff_global/cen -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer local_g3_5 lutff_7/in_1 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_33 local_g0_2 -routing sp12_v_b_0 sp12_v_t_23 -routing sp12_v_b_1 sp12_v_t_22 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_41 sp4_v_b_7 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_45 sp4_v_b_11 -routing sp4_v_t_45 sp4_v_b_8 +LC_0 0000000100001011 0000 +LC_1 0000100000000000 0100 DffEnable +LC_2 0010000000000010 0000 +LC_6 0011011000000000 0000 +LC_7 0110100110010110 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_7/in_2 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_6 lutff_0/in_2 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_6/out local_g1_6 +buffer neigh_op_bnr_0 local_g1_0 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_tnl_7 local_g3_7 +buffer sp12_v_b_6 local_g3_6 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_21 local_g1_5 +routing sp4_h_l_37 sp4_h_r_8 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_h_r_11 sp4_h_l_39 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_b_9 sp4_h_r_3 .logic_tile 12 12 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0111000000000000 0110 DffEnable Set_NoReset -LC_1 0111000000000000 0110 DffEnable Set_NoReset -LC_6 0100000000000000 0100 DffEnable -LC_7 0111000000000000 0110 DffEnable Set_NoReset -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_global/s_r -buffer local_g0_6 lutff_6/in_0 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_7 lutff_7/in_1 -buffer local_g3_5 lutff_1/in_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_6 local_g0_6 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_2 local_g0_2 -buffer sp12_v_b_13 local_g3_5 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_4 local_g0_4 -routing sp12_h_l_22 sp12_v_t_22 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_l_40 sp4_v_t_47 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_l_45 sp4_v_t_45 -routing sp4_v_b_0 sp4_h_l_37 -routing sp4_v_b_3 sp4_h_r_3 -routing sp4_v_t_43 sp4_v_b_9 +ColBufCtrl glb_netwk_7 +routing sp4_h_l_36 sp4_h_r_1 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_v_b_10 sp4_h_l_38 +routing sp4_v_b_6 sp4_h_l_43 + +.logic_tile 5 16 +LC_0 0001000010111111 0000 +LC_1 0010000010100000 0000 +LC_2 0101000111110011 0000 +LC_3 1101110100001101 0000 +LC_4 1000000000000000 0000 +LC_5 0000000010000000 0000 +LC_6 1100110010001100 0000 +LC_7 0110100110010110 0000 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_5 lutff_2/in_3 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_3 lutff_3/in_3 +buffer local_g3_7 lutff_1/in_1 +buffer local_g3_7 lutff_4/in_0 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g1_1 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_5 local_g2_5 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_6 local_g0_6 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_47 local_g3_7 +buffer sp4_v_b_7 local_g0_7 .logic_tile 5 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 1110111011100000 0000 -LC_1 0000001000000000 0000 -LC_2 1110111011100000 0000 -LC_3 0001000000000000 0000 -LC_4 0000000000001110 0000 -LC_5 1110000000000000 0000 -LC_6 1111100000000000 0000 -LC_7 1110111011100000 0000 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_6/in_3 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_7/in_3 -buffer local_g1_4 lutff_7/in_2 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_1 lutff_4/in_3 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_2/in_0 +ColBufCtrl glb_netwk_7 +LC_0 0001001100010001 0000 +LC_1 0000000000100000 0000 +LC_2 0000000000000100 0000 +LC_3 0000010000000000 0000 +LC_4 0000000000100001 0000 +LC_5 0000111000001111 0000 +LC_6 0000000010000000 0000 +LC_7 0011001100110010 0000 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g0_7 lutff_4/in_1 +buffer local_g0_7 lutff_5/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_5 lutff_6/in_3 +buffer local_g3_1 lutff_0/in_2 buffer local_g3_2 lutff_0/in_1 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_4 lutff_0/in_3 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g0_5 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_lft_4 local_g1_4 -buffer sp12_h_r_13 local_g1_5 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_7 local_g0_7 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp12_v_b_12 local_g3_4 -buffer sp12_v_b_17 local_g3_1 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_43 local_g3_3 +buffer local_g3_4 lutff_2/in_1 +buffer local_g3_5 lutff_2/in_0 +buffer local_g3_6 lutff_4/in_3 +buffer local_g3_7 lutff_0/in_0 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g3_2 +buffer lutff_4/out local_g1_4 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g3_7 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_7 local_g1_7 +buffer sp12_v_b_0 local_g2_0 +buffer sp12_v_b_5 local_g2_5 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_12 local_g2_4 buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_33 local_g2_1 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_46 local_g2_6 -buffer sp4_v_b_6 local_g0_6 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_39 sp4_h_r_10 -routing sp4_h_r_10 sp4_v_t_41 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_11 sp4_h_r_11 -routing sp4_v_b_6 sp4_v_t_43 -routing sp4_v_t_38 sp4_h_l_38 -routing sp4_v_t_44 sp4_h_l_44 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_6 local_g1_6 +routing sp4_h_r_0 sp4_h_l_45 .logic_tile 8 10 -LC_0 0000000010100011 0000 -LC_2 1001011010010110 0000 -LC_3 0010000000000000 0000 -LC_5 1100000001010000 0000 -LC_7 0000000000011111 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_5 glb2local_0 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_7/in_3 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_3 lutff_3/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer lutff_0/out sp4_h_r_0 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_4 0000100000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 1110010000000000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_7 lutff_2/in_1 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_4 lutff_7/in_2 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_6 lutff_6/in_0 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_5 lutff_4/in_2 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g0_3 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bnl_2 local_g3_2 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_tnl_2 local_g2_2 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_3 local_g1_3 -routing sp4_h_l_39 sp4_v_t_42 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_top_3 local_g0_3 +buffer sp12_v_b_10 local_g2_2 +buffer sp12_v_b_10 local_g3_2 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_37 local_g3_5 +routing sp4_h_l_38 sp4_h_r_3 +routing sp4_h_r_8 sp4_h_l_46 routing sp4_v_b_6 sp4_h_r_6 .logic_tile 6 2 -LC_1 0000000100000000 0000 -buffer local_g0_0 lutff_1/in_1 -buffer local_g2_7 lutff_1/in_2 -buffer local_g3_4 lutff_1/in_0 -buffer lutff_1/out sp12_h_r_10 -buffer sp12_h_r_0 sp4_h_r_12 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000011111111 1000 CarryEnable +LC_7 0000100000000000 0100 DffEnable +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_4 lutff_5/in_2 +buffer local_g2_3 lutff_4/in_1 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bnr_1 local_g0_1 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_top_1 local_g1_1 +buffer sp4_h_r_12 local_g1_4 buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_44 local_g3_4 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_45 sp4_v_b_4 +buffer sp4_r_v_b_35 local_g2_3 +routing sp4_h_r_6 sp4_v_b_11 .logic_tile 1 6 -LC_3 0000100011111111 0100 DffEnable +LC_4 0010000000000000 0100 DffEnable NegClk -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_4 lutff_3/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_3/in_1 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_3/out sp4_v_b_38 -buffer sp12_h_r_11 local_g1_3 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_v_b_24 local_g2_0 -routing sp4_h_r_4 sp4_v_t_41 +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_4 lutff_global/s_r +buffer local_g1_6 lutff_4/in_1 +buffer lutff_4/out sp4_v_b_8 +buffer sp12_h_r_6 local_g1_6 +buffer sp4_v_b_20 local_g0_4 .logic_tile 11 14 -LC_0 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable +LC_1 0100000000000000 0100 DffEnable +LC_2 1100010000000000 0000 +LC_5 0101111101011101 0000 NegClk -buffer glb_netwk_3 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g1_1 lutff_0/in_0 -buffer local_g2_4 lutff_global/s_r -buffer local_g3_1 lutff_6/in_0 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_6/out sp4_h_r_28 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_28 local_g2_4 - -.logic_tile 7 11 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 0000 -LC_3 0010000000000000 0000 -LC_4 0000100011111110 0000 -LC_5 0101001100000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_5 lutff_5/in_1 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_3/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_4 lutff_4/in_2 -buffer local_g2_5 lutff_4/in_3 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_5/in_2 -buffer local_g2_7 lutff_7/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_7/out local_g1_7 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_17 local_g0_1 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_7 local_g0_7 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_46 local_g2_6 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_r_10 sp4_h_l_36 +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_4 lutff_5/in_3 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_5 lutff_global/s_r +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g3_2 +buffer lutff_5/out sp4_h_r_42 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g0_4 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_6 local_g1_6 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_29 local_g3_5 +routing sp4_h_l_38 sp4_h_r_6 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_h_l_47 sp4_v_b_4 + +.logic_tile 7 11 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 1000 CarryEnable +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_7 lutff_0/in_2 +buffer lutff_6/cout lutff_7/in_3 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_7 local_g0_7 +routing sp4_h_r_1 sp4_v_b_1 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_h_r_7 sp4_h_l_42 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_2 sp4_v_t_47 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_45 sp4_h_r_8 .logic_tile 2 5 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0000111100100000 0000 -LC_1 1010010101011010 0000 -LC_2 0000000001010011 0000 -LC_3 0000100000000000 0000 -LC_4 0000100000000000 0000 -LC_5 0000000100000000 0000 -LC_6 0001000100001111 0000 -LC_7 0000000001010011 0000 +ColBufCtrl glb_netwk_7 +LC_0 0001101100000000 0100 DffEnable +LC_1 0000001011001111 0100 DffEnable +LC_2 0000110001001000 0100 DffEnable +LC_3 0100000001110000 0100 DffEnable +LC_4 0100000001001100 0100 DffEnable +LC_5 0010000000000000 0000 +LC_6 0000001010100010 0100 DffEnable +LC_7 0000100000101010 0100 DffEnable +NegClk +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk buffer local_g0_0 lutff_0/in_0 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_0 lutff_3/in_1 buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g1_0 lutff_6/in_3 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_7/in_3 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_6 lutff_7/in_2 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_7 lutff_1/in_2 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_4/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp4_r_v_b_45 -buffer neigh_op_bnl_7 local_g2_7 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_7/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_6 lutff_6/in_1 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g3_0 lutff_2/in_3 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_6 lutff_7/in_2 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_5/out sp4_v_b_10 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_6 local_g0_6 buffer neigh_op_rgt_0 local_g3_0 buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_6 local_g2_6 buffer neigh_op_tnr_0 local_g2_0 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_tnr_4 local_g3_4 +buffer neigh_op_tnr_6 local_g3_6 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_5 local_g1_5 +buffer neigh_op_top_6 local_g1_6 buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_36 local_g3_4 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_35 local_g3_3 -buffer sp4_v_b_4 local_g1_4 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_t_36 sp4_h_r_6 -routing sp4_v_t_38 sp4_h_r_8 -routing sp4_v_t_46 sp4_h_r_11 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_5 local_g0_5 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_43 local_g3_3 .logic_tile 1 11 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 0000 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_1 lutff_2/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_4 lutff_0/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_h_r_4 +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 1000 CarryEnable +buffer local_g0_1 lutff_0/in_1 +buffer local_g1_1 lutff_1/in_1 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_2 lutff_2/in_1 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp12_h_r_14 -buffer sp4_h_r_7 local_g0_7 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_44 local_g3_4 +buffer lutff_4/out sp4_h_r_8 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_r_v_b_16 local_g3_0 .logic_tile 8 5 +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 -LC_0 1111000011101110 0000 -LC_1 0000001000000000 0000 -LC_2 0111111100000000 0000 -LC_3 0000000100000000 0000 -LC_4 0010000000000000 0000 -LC_5 1111101100000011 0000 -LC_6 0001000000000000 0000 -LC_7 1111011100000000 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_7/in_3 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_1 lutff_0/in_3 -buffer local_g2_1 lutff_1/in_2 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_1 0000000000001000 0000 +LC_2 0000000010000000 0000 +LC_3 0000000000001000 0000 +LC_4 0000100000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0100110000000000 0100 DffEnable +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_3/in_3 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_3 lutff_global/cen buffer local_g2_1 lutff_5/in_2 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_4 lutff_7/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g3_6 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_tnl_7 local_g2_7 -buffer neigh_op_tnl_7 local_g3_7 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_6 local_g0_6 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g0_7 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_24 local_g3_0 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_5 local_g0_5 -routing sp12_h_r_1 sp12_v_t_22 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_h_l_46 sp4_h_r_7 -routing sp4_v_b_0 sp4_v_t_45 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_t_42 sp4_v_b_7 -routing sp4_v_t_44 sp4_v_b_9 -routing sp4_v_t_46 sp4_h_l_46 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_5 lutff_4/in_1 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_5 lutff_1/in_3 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_6/out sp4_v_b_44 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_tnr_1 local_g2_1 +buffer neigh_op_tnr_3 local_g3_3 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_b_11 sp4_v_t_46 .logic_tile 5 8 -LC_0 0000000000001000 0000 -LC_1 0000000100000000 0000 -LC_2 0010000000000000 0000 -LC_3 0010000000000000 0000 -LC_4 1110000000000000 0000 -LC_6 0000001000000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb_netwk_4 glb2local_0 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_0 lutff_4/in_0 +LC_0 0100111111111111 0100 DffEnable +LC_1 1000000000000000 0000 +LC_2 0000000000001000 0000 +LC_3 1010001010101010 0000 +LC_4 0000000100000000 0000 +LC_5 1000110000000000 0000 +LC_6 1000000000000000 0100 DffEnable +LC_7 0000100000000000 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_1 lutff_6/in_1 buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_0/in_0 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_4 lutff_6/in_1 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_6/out local_g3_6 -buffer neigh_op_bot_6 local_g1_6 -buffer sp12_h_r_2 local_g0_2 -buffer sp12_h_r_21 local_g0_5 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_36 local_g3_4 -buffer sp4_h_r_39 local_g3_7 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_6 local_g0_6 -routing sp12_v_b_1 sp12_v_t_22 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_6 sp4_h_l_43 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_5 lutff_5/in_2 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_0/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_4 lutff_7/in_3 +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_5 lutff_3/in_3 +buffer local_g3_5 lutff_4/in_2 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out local_g2_6 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_h_r_9 local_g1_1 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_v_b_17 local_g0_1 +routing sp4_h_l_39 sp4_h_r_10 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_t_43 sp4_v_b_2 .logic_tile 6 7 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0000001000000000 0000 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_3/in_3 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 1000 CarryEnable +LC_5 0000000011010000 0000 +LC_6 0101010100110001 0100 DffEnable +LC_7 0100110000000000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_5/in_2 buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_5/out sp4_v_b_26 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_6 local_g1_6 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_v_b_7 sp4_h_r_7 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_4 lutff_5/in_0 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_top_7 local_g0_7 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_42 local_g2_2 +routing sp12_v_b_0 sp12_h_r_0 +routing sp4_h_l_36 sp4_h_r_1 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_t_41 sp4_v_b_7 .logic_tile 5 5 -CarryInSet ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 0000 -LC_7 0000100000000000 0000 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_4 lutff_7/in_1 +ColBufCtrl glb_netwk_7 +LC_0 0000100000000000 0000 +LC_2 0110100110010110 0000 +LC_3 0000100000000000 0000 +LC_5 0010101000000000 0100 DffEnable +LC_6 0101001100000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_2 lutff_6/in_0 buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_2 lutff_1/in_2 -buffer local_g2_1 lutff_7/in_2 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_7/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/cout lutff_6/in_3 -buffer neigh_op_bnr_5 local_g0_5 -buffer neigh_op_rgt_6 local_g2_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_top_6 local_g0_6 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp4_h_r_45 local_g2_5 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_25 local_g2_1 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_2 sp4_v_t_47 -routing sp4_v_b_3 sp4_v_t_46 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_t_38 sp4_v_b_3 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_6 lutff_0/in_2 +buffer local_g3_6 lutff_6/in_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out local_g3_6 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_6 local_g1_6 +buffer sp4_r_v_b_31 local_g1_7 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_3 sp4_h_l_38 .logic_tile 11 5 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0100000000000000 0100 DffEnable -LC_1 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable +ColBufCtrl glb_netwk_7 +LC_0 1010000010001000 0000 +LC_1 0100000001110000 0000 +LC_2 0100000000000000 0000 +LC_3 0101010100100000 0000 +LC_4 0010000001110000 0000 +LC_5 0000000000000010 0000 +LC_6 0011011100000000 0100 DffEnable +LC_7 1000101100001011 0000 NegClk -buffer glb_netwk_3 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_4 lutff_6/in_0 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_0/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_5 lutff_global/s_r -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out sp4_v_b_30 -buffer sp12_h_r_4 local_g0_4 -buffer sp12_v_b_16 local_g3_0 -buffer sp12_v_b_18 local_g3_2 -buffer sp12_v_b_20 local_g2_4 -buffer sp4_h_r_29 local_g3_5 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_l_47 sp4_v_t_47 -routing sp4_v_t_46 sp4_h_l_40 - -.logic_tile 7 6 -LC_0 0000000000001000 0000 -LC_1 1101000000000000 0000 -LC_2 0000001000000000 0000 -LC_3 0000001000000000 0000 -LC_4 0000001000000000 0000 -LC_5 0000000000001000 0000 -LC_6 0111000000000000 0100 DffEnable -LC_7 0111000000000000 0100 DffEnable buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_5 lutff_2/in_1 +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_6 lutff_5/in_1 buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_2 lutff_4/in_2 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_6 lutff_5/in_3 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_7 lutff_0/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bnr_5 local_g0_5 -buffer neigh_op_bnr_5 local_g1_5 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_rgt_3 local_g3_3 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_45 local_g3_5 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_38 local_g2_6 -buffer sp4_v_b_4 local_g1_4 -buffer sp4_v_b_45 local_g2_5 -routing sp4_h_l_39 sp4_v_b_2 -routing sp4_h_l_40 sp4_h_r_1 -routing sp4_h_l_40 sp4_v_b_5 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_h_l_45 sp4_v_t_45 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_v_b_11 sp4_v_t_42 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_t_40 sp4_v_b_8 -routing sp4_v_t_42 sp4_v_b_3 -routing sp4_v_t_43 sp4_h_r_6 - -.logic_tile 6 10 -LC_0 0010000000000000 0000 -LC_1 0010000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0010000000000000 0000 -LC_4 0101001100000000 0100 DffEnable -LC_5 0101001100000000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_6 lutff_2/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_0/in_1 buffer local_g1_6 lutff_1/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_3 lutff_7/in_2 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_0/in_2 buffer local_g2_6 lutff_1/in_1 -buffer local_g3_3 lutff_4/in_2 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_6/in_1 -buffer local_g3_7 lutff_3/in_1 -buffer local_g3_7 lutff_7/in_1 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out local_g0_5 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_0/in_3 +buffer local_g3_0 lutff_4/in_3 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_5 lutff_2/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_r_v_b_41 buffer lutff_5/out local_g3_5 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out local_g3_6 -buffer lutff_7/out local_g3_7 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_5 local_g1_5 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_43 local_g2_3 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_lft_7 local_g0_7 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_15 local_g1_7 buffer sp4_v_b_43 local_g3_3 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_t_37 sp4_h_l_37 -.logic_tile 1 14 -LC_3 0011010100000000 0100 DffEnable -LC_5 0001000000000000 0110 DffEnable Set_NoReset -LC_6 0001000000000000 0110 DffEnable Set_NoReset -LC_7 0001000000000000 0110 DffEnable Set_NoReset +.logic_tile 7 6 +LC_0 1111011101010101 0000 +LC_1 0110100110010110 0000 +LC_2 0100000011000000 0110 DffEnable Set_NoReset +LC_3 1000000010110011 0100 DffEnable +LC_4 0000010000000000 0000 +LC_5 1111001011111000 0100 DffEnable +LC_6 0000100010101010 0110 DffEnable Set_NoReset +LC_7 0000000010000000 0000 NegClk -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_4 glb2local_0 -buffer glb_netwk_4 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_5/in_1 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_6/in_3 buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_6 lutff_7/in_1 -buffer local_g2_7 lutff_6/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_5 lutff_0/in_3 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_3 lutff_4/in_2 +buffer local_g3_5 lutff_0/in_0 buffer local_g3_5 lutff_3/in_1 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_6 local_g2_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp12_v_b_5 local_g3_5 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_r_v_b_26 local_g0_2 -routing sp12_v_b_0 sp12_h_r_0 +buffer local_g3_5 lutff_7/in_3 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_top_0 local_g0_0 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_7 local_g0_7 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_v_b_45 local_g3_5 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_37 sp4_h_r_8 +routing sp4_h_r_1 sp4_h_l_36 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_b_9 sp4_h_l_39 -.logic_tile 12 6 +.logic_tile 6 10 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable @@ -1519,923 +1561,1230 @@ LC_3 0000000000000000 1000 CarryEnable LC_4 0000000000000000 1000 CarryEnable LC_5 0000000000000000 1000 CarryEnable LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_3 lutff_5/in_2 -buffer local_g3_4 lutff_1/in_2 +LC_7 0000000011111111 1000 CarryEnable +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_4 lutff_2/in_1 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_0 lutff_1/in_2 buffer lutff_6/cout lutff_7/in_3 -buffer neigh_op_tnl_0 local_g2_0 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_top_0 local_g0_0 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_19 local_g0_3 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_5 sp4_h_l_47 -routing sp4_v_t_37 sp4_h_l_37 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_7 local_g0_7 +buffer sp4_r_v_b_35 local_g0_0 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_r_2 sp4_v_b_7 + +.logic_tile 12 6 +LC_2 0010000000000000 0100 DffEnable +LC_6 0000100000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_4 lutff_global/s_r +buffer local_g2_6 lutff_6/in_2 +buffer lutff_6/out sp4_v_b_12 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_38 local_g2_6 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_39 sp4_v_b_2 +routing sp4_h_r_8 sp4_h_l_41 +routing sp4_v_b_8 sp4_h_l_36 +routing sp4_v_b_8 sp4_h_r_8 +routing sp4_v_t_42 sp4_h_r_0 .logic_tile 4 13 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_1 0000001000000000 0000 -LC_2 1001000000000000 0000 -LC_4 1000000000000000 0000 -LC_6 0010000000000000 0000 -LC_7 0000000100000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_4 glb2local_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_4 lutff_7/in_2 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_6 lutff_1/in_1 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_6 lutff_7/in_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_4 local_g2_4 +ColBufCtrl glb_netwk_7 +LC_0 1000110000000000 0000 +LC_1 0000101000000010 0000 +LC_3 1000100010000000 0100 DffEnable +LC_5 0100000000000000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_3/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_4 lutff_3/in_3 +buffer local_g3_3 lutff_1/in_3 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_r_v_b_27 buffer sp12_h_r_4 local_g0_4 -buffer sp12_h_r_4 local_g1_4 -buffer sp12_v_b_10 local_g2_2 -buffer sp12_v_b_10 local_g3_2 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_6 local_g2_6 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_v_b_9 local_g1_1 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_v_b_11 sp4_h_l_41 -routing sp4_v_b_11 sp4_h_r_11 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_23 local_g3_7 +buffer sp4_v_b_7 local_g0_7 .logic_tile 2 13 +CarryInSet +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0010000000000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk +ColBufCtrl glb_netwk_7 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_4 1000000011100000 0100 DffEnable +LC_7 0100000000000000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_0/in_1 -buffer local_g1_1 lutff_0/in_0 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_0/out sp4_h_r_32 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp12_h_r_9 local_g1_1 -buffer sp4_v_b_10 local_g0_2 -routing sp12_v_b_0 sp12_h_r_0 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_r_4 sp4_h_l_41 -routing sp4_h_r_6 sp4_v_t_43 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_45 sp4_h_r_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_top_4 local_g0_4 +buffer neigh_op_top_5 local_g1_5 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_19 local_g1_3 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_6 sp4_h_l_43 .logic_tile 9 11 +LC_0 0100000000000000 0100 DffEnable +LC_1 0010000000000000 0100 DffEnable +LC_2 1110000001000000 0000 +LC_3 1101100000000000 0000 +LC_4 1110000001000000 0000 +LC_5 0010000000000000 0100 DffEnable +LC_6 1011000010000000 0000 +LC_7 0000000010000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_6 lutff_2/in_3 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_6 lutff_6/in_3 +buffer local_g3_7 lutff_0/in_0 +buffer local_g3_7 lutff_1/in_1 +buffer local_g3_7 lutff_5/in_1 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_47 local_g3_7 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_v_b_26 local_g2_2 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_2 sp4_v_t_47 +routing sp4_v_b_6 sp4_h_r_6 + +.logic_tile 8 16 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0000000000000000 1000 CarryEnable LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000000000000000 0000 -LC_6 1001100101100110 0000 -LC_7 1000000000000000 0000 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g3_0 lutff_6/in_3 +LC_4 0000000011111111 1000 CarryEnable +LC_7 0000000010000000 0000 +buffer local_g0_0 lutff_0/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_0 lutff_2/in_1 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_22 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_v_b_19 sp4_v_b_21 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_32 local_g0_3 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_7 sp4_v_t_47 -routing sp4_v_t_46 sp4_h_l_40 +buffer neigh_op_bnl_6 local_g2_6 +buffer sp12_v_b_8 local_g2_0 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_1 local_g1_1 -.logic_tile 8 16 -LC_0 0000000011001010 0100 DffEnable -NegClk -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_0/in_2 -buffer local_g1_4 lutff_0/in_3 -buffer local_g2_5 lutff_0/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_0/out sp4_r_v_b_1 +.logic_tile 6 15 +LC_0 1000101000000000 0100 DffEnable +LC_1 1000000000000000 0000 +LC_2 1101000000000000 0100 DffEnable +LC_3 0000000010000000 0000 +LC_4 1100111110001010 0000 +LC_5 1100000010000000 0100 DffEnable +LC_6 0000000001000000 0000 +LC_7 1010111110001100 0000 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_2 lutff_global/cen +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_7 lutff_5/in_0 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_r_v_b_17 buffer lutff_0/out sp4_v_b_0 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_2 local_g0_2 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_5/out local_g2_5 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_tnr_7 local_g2_7 +buffer neigh_op_top_4 local_g0_4 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_b_1 sp4_h_l_36 + +.logic_tile 1 1 +routing sp4_h_r_3 sp4_v_b_3 .logic_tile 12 3 -LC_4 0100000000000000 0100 DffEnable +LC_1 0000001101010111 0110 DffEnable Set_NoReset NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g2_4 lutff_4/in_0 -buffer lutff_4/out sp12_v_b_8 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_v_b_2 local_g0_2 -routing sp4_h_l_45 sp4_v_b_2 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_4 lutff_1/in_3 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_v_b_18 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_top_4 local_g0_4 +buffer sp4_h_r_27 local_g2_3 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_v_t_36 sp4_h_r_6 .logic_tile 11 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_1 0000001000000000 0000 -LC_2 0000100000000000 0000 -LC_3 0110000000000000 0000 -LC_4 0000000010000000 0000 -LC_5 0010000000000000 0000 -LC_6 0010000000000000 0000 -LC_7 0000000010110000 0000 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_4/in_3 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_7/in_1 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_5 lutff_6/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_rgt_4 local_g2_4 -buffer sp12_v_b_23 local_g2_7 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_11 local_g2_3 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_4 local_g1_4 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_r_v_b_45 local_g3_5 -buffer sp4_r_v_b_47 local_g3_7 +ColBufCtrl glb_netwk_7 +LC_1 0100000000000000 0100 DffEnable +LC_2 0000100000000000 0100 DffEnable +LC_3 0010000000000000 0000 +LC_4 0000000000000010 0000 +LC_5 0000100000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_4 lutff_global/s_r +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_3/in_3 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_5 lutff_4/in_2 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_10 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_13 local_g2_5 buffer sp4_r_v_b_5 local_g1_5 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_15 local_g0_7 -routing sp12_v_b_1 sp12_h_l_22 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_17 local_g0_1 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_r_10 sp4_v_t_47 +routing sp4_v_t_43 sp4_h_l_43 +routing sp4_v_t_43 sp4_v_b_9 .logic_tile 4 10 -LC_0 1111111111110010 0000 -LC_1 0010000000000000 0000 -LC_2 1010110000000000 0000 -LC_3 1000000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_1/in_0 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_5 lutff_3/in_0 +LC_0 0001000001000000 0000 +LC_1 0000000000001000 0000 +LC_2 0001000000000000 0000 +LC_3 1101110111010000 0100 DffEnable +LC_4 0101110100001100 0100 DffEnable +LC_5 0100000000000000 0000 +LC_6 0001111100010001 0100 DffEnable +LC_7 0101000001110011 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_6 lutff_7/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_6 lutff_2/in_0 buffer local_g2_6 lutff_3/in_1 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_5 lutff_0/in_2 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_0/out sp4_h_r_32 +buffer local_g3_0 lutff_4/in_3 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_6 lutff_7/in_0 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out local_g1_1 buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_v_b_13 local_g3_5 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_38 local_g2_6 -buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_8 local_g1_0 -routing sp4_v_t_47 sp4_h_l_41 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_top_4 local_g1_4 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_18 local_g0_2 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_v_b_9 sp4_h_r_3 +routing sp4_v_t_41 sp4_v_b_7 .logic_tile 7 14 -LC_0 0000011100000000 0000 -LC_1 1111111100000111 0000 -LC_2 0000000000001000 0000 -LC_4 1001100101100110 0000 -LC_5 0010000000000000 0000 -LC_6 1111001000000000 0000 -LC_7 0000101100000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb_netwk_5 glb2local_0 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_4 lutff_2/in_3 -buffer local_g3_4 lutff_4/in_3 -buffer local_g3_5 lutff_6/in_2 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out sp4_v_b_28 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_top_2 local_g1_2 -buffer sp12_v_b_10 local_g2_2 -buffer sp12_v_b_3 local_g2_3 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_9 local_g0_1 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_v_b_1 sp4_v_t_36 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000011111111 1000 CarryEnable +LC_7 0000000000100000 0000 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_6 lutff_7/in_3 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_3 lutff_3/in_2 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_6 lutff_7/in_2 +buffer lutff_5/cout lutff_6/in_3 +buffer neigh_op_bnr_2 local_g0_2 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_11 local_g2_3 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_9 sp4_v_b_9 +routing sp4_v_b_7 sp4_h_r_1 .logic_tile 2 6 -LC_0 1001000000001001 0000 -LC_1 0000000000000001 0000 -LC_2 1001000000001001 0000 -LC_4 0000001000000000 0000 -LC_6 0000000001010011 0000 -LC_7 1000000000000000 0000 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_7/in_3 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_7/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_5 lutff_2/in_3 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_4 lutff_0/in_3 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out local_g1_2 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_7/out sp12_h_r_6 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0000000011111111 1000 CarryEnable +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_4 lutff_6/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp4_v_b_14 buffer neigh_op_bnr_0 local_g0_0 -buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_2 local_g0_2 buffer neigh_op_bnr_4 local_g1_4 buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_v_b_7 local_g2_7 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_17 local_g0_1 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_v_t_37 sp4_h_r_5 -routing sp4_v_t_41 sp4_h_r_4 -routing sp4_v_t_43 sp4_h_r_6 -routing sp4_v_t_45 sp4_h_l_39 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_4 local_g3_4 +routing sp4_v_t_47 sp4_v_b_1 .logic_tile 9 14 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 +LC_1 0100000000000000 0100 DffEnable +LC_2 0000000000000001 0000 +LC_3 0011001011111111 0000 +LC_5 1100010000000000 0000 +LC_6 1000000010100000 0000 +LC_7 0011001111111011 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_6 lutff_6/in_0 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_5 lutff_7/in_2 +buffer local_g2_6 lutff_7/in_1 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_5 lutff_3/in_3 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out local_g3_2 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g1_7 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_37 local_g2_5 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_r_10 sp4_v_t_41 + +.logic_tile 8 2 +LC_0 0100000000000000 0100 DffEnable +LC_1 0000000000001000 0000 +LC_2 0000100000000000 0000 +LC_3 0000001000000000 0000 +LC_4 0000000000000001 0000 LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 1000000000000000 0000 -buffer local_g0_1 lutff_5/in_0 +LC_6 0000100000000000 0100 DffEnable +LC_7 0010000000000000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_2 lutff_3/in_1 buffer local_g0_5 lutff_1/in_2 -buffer local_g1_6 lutff_0/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_7 lutff_1/in_1 buffer local_g1_7 lutff_2/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_4 lutff_7/in_0 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_4 local_g3_4 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_26 local_g2_2 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_r_4 sp4_v_t_41 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_2 sp4_h_l_42 - -.logic_tile 8 2 -LC_1 0000001000000000 0000 -LC_3 0000100000000000 0000 -LC_4 0000001000000000 0000 -LC_5 0010100000000000 0000 -LC_6 0000100000000000 0000 -LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_0 lutff_4/in_2 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_1 local_g0_1 -buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_4 local_g1_4 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_32 local_g2_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_7 lutff_4/in_1 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_7 lutff_1/in_3 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_0/out local_g2_0 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_tnr_4 local_g3_4 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_5 local_g1_5 buffer sp4_v_b_42 local_g3_2 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_t_40 sp4_v_b_1 .logic_tile 12 14 -routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_l_43 sp4_v_b_0 .logic_tile 5 11 -LC_0 0011001101010011 0000 -LC_1 0000100110010000 0000 -LC_2 0000000000001001 0000 +LC_0 0111010101111111 0000 +LC_1 0100000000000000 0000 +LC_2 0000001000000000 0000 LC_3 0010000000000000 0000 -LC_4 0010000000000000 0000 -LC_5 0000001000000000 0000 -LC_6 1001000000001001 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_6/in_3 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_3/in_1 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_2/in_1 +LC_4 0101000000110000 0000 +LC_5 0000000001000000 0000 +LC_6 0010001000110000 0000 +LC_7 0010000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_6 lutff_4/in_2 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_2 lutff_6/in_3 +buffer local_g3_5 lutff_5/in_3 +buffer local_g3_7 lutff_2/in_0 buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 +buffer lutff_1/out local_g3_1 +buffer lutff_3/out local_g1_3 buffer lutff_5/out local_g2_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_4 local_g1_4 -buffer sp12_h_r_21 local_g0_5 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_31 local_g2_7 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_r_5 sp4_v_b_5 -routing sp4_v_b_4 sp4_h_r_4 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_tnl_2 local_g2_2 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_4 local_g1_4 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_26 local_g3_2 +buffer sp4_h_r_45 local_g3_5 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_2 local_g0_2 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_r_7 sp4_h_l_38 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_b_7 sp4_v_t_42 +routing sp4_v_t_41 sp4_h_r_9 .logic_tile 4 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 ColBufCtrl glb_netwk_7 -LC_0 0001111100000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000001000000000 0000 -LC_3 1000000000000000 0000 -LC_5 0000000001010011 0000 -LC_6 0000001000000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_4 glb2local_1 +LC_1 0001000000000000 0000 +LC_4 0000000011000100 0000 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_1 lutff_4/in_3 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_4/out sp4_h_r_8 +buffer neigh_op_lft_6 local_g0_6 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_r_v_b_7 local_g1_7 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_h_r_4 sp4_h_l_41 + +.logic_tile 9 3 +LC_0 0001000100011111 0110 DffEnable Set_NoReset +LC_1 0010011100000000 0100 DffEnable +LC_2 0000010100110111 0110 DffEnable Set_NoReset +LC_3 0000100000000000 0000 +LC_4 0011001101011010 0100 DffEnable +LC_5 0011001111100010 0000 +LC_6 0001000100011111 0110 DffEnable Set_NoReset +LC_7 0100000000000000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_3 lutff_0/in_1 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_2/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_3 lutff_0/in_3 buffer local_g2_3 lutff_1/in_0 -buffer local_g3_0 lutff_3/in_2 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_18 local_g0_2 -buffer sp4_h_r_12 local_g0_4 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_5 lutff_5/in_2 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_1 lutff_7/in_3 +buffer local_g3_3 lutff_5/in_3 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_6 lutff_6/in_3 +buffer local_g3_7 lutff_1/in_1 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_5 local_g1_5 buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_15 local_g1_7 buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_32 local_g3_0 -routing sp4_h_l_43 sp4_v_t_43 +buffer sp4_h_r_2 local_g1_2 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_23 local_g1_7 +routing sp4_h_l_45 sp4_h_r_8 routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_3 sp4_h_r_3 routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_b_9 sp4_v_t_44 - -.logic_tile 9 3 -LC_1 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_0 -buffer lutff_1/out sp4_v_b_34 -buffer neigh_op_top_2 local_g0_2 -buffer sp4_h_r_19 local_g0_3 -routing sp4_v_t_44 sp4_h_r_9 +routing sp4_v_b_3 sp4_v_t_43 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_9 sp4_h_l_44 +routing sp4_v_t_39 sp4_h_l_45 .logic_tile 12 15 -routing sp4_h_l_44 sp4_v_b_3 +LC_3 0000000010000000 0100 DffEnable +LC_4 0000100000000000 0100 DffEnable +LC_6 0110100110010110 0000 +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_3 lutff_6/in_3 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_5 lutff_3/in_3 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_6 lutff_4/in_2 +buffer lutff_4/out local_g2_4 +buffer lutff_6/out local_g2_6 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_5 local_g1_5 +buffer sp4_h_r_12 local_g1_4 +routing sp12_v_b_0 sp12_v_t_23 .logic_tile 1 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_1 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_3 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_tnr_1 local_g2_1 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_7 local_g0_7 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_r_0 sp4_v_t_37 -routing sp4_v_b_8 sp4_h_r_8 +ColBufCtrl glb_netwk_7 +routing sp12_h_r_1 sp12_v_t_22 .logic_tile 4 16 -LC_4 1111111101110000 0000 -buffer glb2local_1 local_g0_5 -buffer glb2local_3 local_g0_7 -buffer glb_netwk_4 glb2local_3 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_4 lutff_4/in_0 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_r_v_b_41 -buffer sp12_h_r_7 local_g1_7 -buffer sp4_r_v_b_12 local_g2_4 -routing sp12_h_r_1 sp12_v_b_1 -routing sp12_v_b_1 sp12_h_l_22 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 1000 CarryEnable +LC_3 0110100110010110 0000 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_4 lutff_1/in_1 +buffer local_g3_0 lutff_1/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_v_b_32 local_g2_0 +buffer sp4_v_b_32 local_g3_0 .logic_tile 9 16 -LC_1 0010000000000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb_netwk_5 glb2local_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_r_v_b_35 -buffer sp12_h_r_16 local_g1_0 +routing sp4_h_r_6 sp4_v_t_37 .logic_tile 7 5 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0000000000001000 0000 -LC_1 0000000100000000 0000 -LC_2 0000000000001000 0000 -LC_3 0001000000000000 0000 -LC_4 0000001110110011 0000 -LC_5 0000100000000000 0000 -LC_6 0010000000000000 0000 -LC_7 1000000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_2 glb2local_0 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_4 lutff_7/in_1 +ColBufCtrl glb_netwk_7 +LC_0 0100000000000000 0000 +LC_1 0010001100110011 0000 +LC_2 0001010100000000 0000 +LC_3 0000001000000000 0000 +LC_4 0000000010101000 0000 +LC_5 0101110100000000 0100 DffEnable +LC_7 1010100010100000 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_3 lutff_3/in_2 buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_0/in_3 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_4 lutff_2/in_3 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_5/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_7 lutff_4/in_3 buffer local_g3_1 lutff_4/in_0 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_7/in_0 -buffer local_g3_7 lutff_0/in_2 -buffer local_g3_7 lutff_2/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_tnl_6 local_g3_6 -buffer neigh_op_tnr_3 local_g2_3 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_21 sp4_v_b_22 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_15 local_g1_7 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out local_g2_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_18 local_g0_2 buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_9 local_g2_1 -buffer sp4_v_b_2 local_g1_2 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_31 local_g3_7 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_38 local_g2_6 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_h_r_2 sp4_h_l_47 +buffer sp4_h_r_6 local_g1_6 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_v_b_15 local_g1_7 +routing sp4_h_l_36 sp4_h_r_9 +routing sp4_h_r_2 sp4_v_b_2 routing sp4_h_r_2 sp4_v_t_45 -routing sp4_v_t_39 sp4_v_b_2 -routing sp4_v_t_43 sp4_v_b_9 .logic_tile 2 3 -LC_6 1000000000000000 0100 DffEnable -NegClk -buffer glb_netwk_5 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g3_3 lutff_6/in_0 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_r_v_b_45 -buffer sp4_r_v_b_43 local_g3_3 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_h_r_5 sp4_v_t_46 -routing sp4_v_t_46 sp4_h_l_46 - -.logic_tile 1 9 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 0010111100000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_4 glb2local_1 -buffer local_g0_5 lutff_4/in_3 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_3 lutff_4/in_0 -buffer local_g2_7 lutff_1/in_2 -buffer local_g2_7 lutff_4/in_1 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_3/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_4/out sp4_v_b_8 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_3 local_g1_3 -routing sp4_v_b_3 sp4_h_r_9 - -.logic_tile 8 7 -LC_0 0000000011111110 0000 -LC_1 0000000000000010 0000 -LC_2 1000000000000000 0000 -LC_4 0000000100000000 0000 -LC_5 0000100100000000 0000 -LC_6 0000001000000000 0000 -LC_7 0000001000000000 0000 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_2 lutff_0/in_1 +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000000000000 1000 CarryEnable +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_4/in_2 buffer local_g1_3 lutff_5/in_1 -buffer local_g1_5 lutff_0/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_4 lutff_1/in_3 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_7/in_1 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_3 lutff_4/in_2 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_4/in_0 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_6 lutff_6/in_1 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_6 lutff_5/in_2 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_5 local_g0_5 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_19 local_g1_3 +routing sp4_h_r_1 sp4_v_b_6 + +.logic_tile 1 9 +LC_7 1000000000000000 0000 buffer local_g3_6 lutff_7/in_2 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g0_2 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bnl_6 local_g3_6 + +.logic_tile 8 7 +LC_0 1101010101010101 0000 +LC_1 0000000100000000 0000 +LC_2 0000100000000000 0000 +LC_3 1111111011111111 0000 +LC_4 0000000000010000 0000 +LC_5 0000000000000010 0000 +LC_6 0000010000000000 0000 +LC_7 0001000000000000 0000 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_6 lutff_5/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_4 lutff_5/in_2 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_5 lutff_4/in_3 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_2 lutff_6/in_3 +buffer local_g3_2 lutff_7/in_2 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_3 lutff_7/in_3 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_5 lutff_6/in_2 +buffer lutff_0/out local_g1_0 buffer lutff_2/out local_g1_2 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnl_3 local_g2_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_top_1 local_g0_1 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_v_b_10 local_g2_2 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp4_h_r_45 local_g2_5 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_35 local_g3_3 -buffer sp4_v_b_45 local_g3_5 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_8 sp4_h_l_46 -routing sp4_v_b_3 sp4_h_r_9 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_b_7 sp4_h_r_7 -routing sp4_v_t_43 sp4_v_b_6 +buffer lutff_5/out local_g2_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnr_1 local_g0_1 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_3 local_g3_3 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_v_b_20 local_g1_4 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_3 sp4_h_l_46 +routing sp4_h_r_7 sp4_h_l_47 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_41 sp4_h_r_4 +routing sp4_v_t_41 sp4_v_b_4 +routing sp4_v_t_41 sp4_v_b_7 .logic_tile 12 4 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_3 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable +ColBufCtrl glb_netwk_7 +LC_0 1011100000000000 0000 +LC_2 0000001010101010 0100 DffEnable +LC_3 1011001100010001 0000 +LC_4 1000000000000000 0000 +LC_5 0011000001110000 0100 DffEnable +LC_7 1000000010101111 0000 NegClk -buffer glb_netwk_3 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_5 lutff_6/in_0 -buffer local_g3_5 lutff_global/s_r -buffer sp12_h_r_13 local_g1_5 -buffer sp12_h_r_17 local_g0_1 -buffer sp4_h_r_37 local_g3_5 -buffer sp4_v_b_23 local_g0_7 -routing sp4_h_l_42 sp4_h_r_7 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_7/in_3 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_5 lutff_0/in_2 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_3 lutff_4/in_2 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_6 lutff_3/in_2 +buffer local_g3_6 lutff_5/in_0 +buffer local_g3_7 lutff_0/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnl_3 local_g2_3 +buffer neigh_op_bnl_4 local_g2_4 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_4 local_g0_4 +buffer sp12_h_r_10 local_g1_2 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_h_r_47 local_g3_7 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_b_7 sp4_h_l_37 +routing sp4_v_t_40 sp4_h_r_5 +routing sp4_v_t_41 sp4_h_l_41 +routing sp4_v_t_43 sp4_h_r_11 .logic_tile 4 2 -LC_0 0110100110010110 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 0000 -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g3_4 lutff_5/in_2 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_r_v_b_11 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_top_2 local_g0_2 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_v_b_23 sp4_v_b_23 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_41 sp4_v_b_7 .logic_tile 2 14 +LC_0 1000010000000000 0000 +LC_1 0111000000000000 0110 DffEnable Set_NoReset +LC_4 0010101000000000 0110 DffEnable Set_NoReset +LC_5 0010000000000000 0100 DffEnable +LC_6 1000000000000000 0000 +LC_7 0110100110010110 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_6 lutff_6/in_1 +buffer local_g3_0 lutff_7/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g1_1 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_3 local_g0_3 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_v_b_3 local_g1_3 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_3 sp4_h_r_3 + +.logic_tile 9 6 +LC_0 1000000000000000 0000 +LC_1 0101011101011111 0100 DffEnable +LC_3 0100000000000000 0000 +LC_4 0000100000000000 0000 +LC_5 0000100000101010 0000 +LC_6 0000100000000100 0000 +LC_7 0000001000001000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_5/in_1 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_3 lutff_7/in_2 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_2 lutff_6/in_3 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_h_r_8 local_g0_0 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_6 local_g0_6 +routing sp4_h_l_43 sp4_h_r_2 +routing sp4_v_b_5 sp4_v_t_45 +routing sp4_v_t_41 sp4_h_r_9 + +.logic_tile 6 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_1 0010000000000000 0100 DffEnable +LC_2 0110100110010110 0000 +LC_3 1100110010000000 0000 +LC_6 0000010000000000 0000 +LC_7 0000000001000000 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_6 lutff_3/in_3 +buffer local_g2_6 lutff_7/in_3 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_6 lutff_2/in_3 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out local_g0_3 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp4_v_b_12 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_rgt_0 local_g2_0 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_30 local_g3_6 +routing sp4_h_r_5 sp4_h_l_36 +routing sp4_h_r_6 sp4_h_l_43 +routing sp4_h_r_9 sp4_h_l_44 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_t_38 sp4_h_r_8 + +.logic_tile 5 3 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable @@ -2445,4585 +2794,4842 @@ LC_4 0110100110010110 1000 CarryEnable LC_5 0110100110010110 1000 CarryEnable LC_6 0110100110010110 1000 CarryEnable LC_7 0110100110010110 1000 CarryEnable -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_5 lutff_5/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g3_0 lutff_1/in_2 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_4 lutff_0/in_1 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_r_v_b_21 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp12_h_r_14 buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp4_h_r_24 buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_v_b_26 buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_1 local_g0_1 buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_tnl_0 local_g3_0 -buffer sp12_h_r_17 local_g0_1 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_v_b_16 local_g0_0 -routing sp12_v_t_22 sp12_h_r_1 - -.logic_tile 9 6 -LC_0 0001000000000000 0000 -LC_1 0010000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 0000000000000010 0000 -LC_5 1001000000000000 0000 -LC_6 1111111111110001 0000 -LC_7 1000000000000000 0000 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_5 lutff_7/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_4 lutff_4/in_3 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_5 lutff_3/in_0 -buffer local_g3_6 lutff_1/in_0 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_4 local_g1_4 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp12_v_b_5 local_g2_5 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_v_b_0 sp4_h_l_37 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_3 sp4_h_l_45 +buffer neigh_op_rgt_3 local_g2_3 +buffer sp4_h_r_28 local_g3_4 -.logic_tile 6 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_6 -LC_0 0000111011111111 0000 -LC_1 0000111011111111 0000 -LC_2 1101000000000000 0000 -LC_3 1101000000000000 0000 -LC_4 0000100000000000 0000 -LC_5 0000100000000000 0000 -LC_6 1000000000000000 0100 DffEnable -LC_7 1000000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_3 local_g0_7 +.logic_tile 11 7 +LC_0 0000010000000111 0000 +LC_1 0101011101010101 0100 DffEnable +LC_2 0000110001001100 0100 DffEnable +LC_3 0001000000000000 0000 +LC_4 0101010101110101 0100 DffEnable +LC_5 0000000001010011 0000 +LC_6 1001000000001001 0000 +LC_7 1000001001000001 0000 +NegClk buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_2 glb2local_0 -buffer glb_netwk_2 glb2local_3 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_7/in_1 +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_3/in_2 buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_6 lutff_4/in_2 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_6 lutff_5/in_2 -buffer local_g3_7 lutff_2/in_2 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g3_7 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_7 lutff_1/in_2 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_6/in_0 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_4 lutff_7/in_3 +buffer local_g2_6 lutff_6/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_5 lutff_2/in_2 +buffer local_g3_6 lutff_3/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out sp4_h_r_44 buffer lutff_7/out sp4_h_r_46 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_tnl_3 local_g3_3 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnl_5 local_g3_5 -buffer sp12_v_b_19 sp4_v_b_21 -buffer sp4_r_v_b_14 local_g2_6 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_tnl_0 local_g3_0 +buffer neigh_op_tnl_4 local_g2_4 +buffer neigh_op_tnl_6 local_g2_6 +buffer neigh_op_top_3 local_g0_3 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_2 local_g1_2 +buffer sp4_h_r_34 local_g2_2 buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_21 local_g1_5 -routing sp4_h_l_45 sp4_h_r_8 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_h_l_45 sp4_v_t_45 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_45 sp4_h_r_1 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_46 sp4_h_r_2 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_v_b_9 sp4_h_r_9 -.logic_tile 5 3 -LC_0 0010000000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0000000010000000 0000 -LC_3 0000000000000001 0000 -LC_5 1000000000000000 0000 -LC_6 0000000000000001 0000 -LC_7 0001000000000000 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_7/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_2 lutff_3/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_5 lutff_6/in_3 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_6 lutff_3/in_3 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_3 lutff_5/in_3 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_4 lutff_3/in_2 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 +.logic_tile 6 8 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_5 0110100110010110 0000 +LC_6 0010000000000000 0000 +LC_7 0000000010101000 0110 DffEnable Set_NoReset +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/out local_g0_3 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g3_7 buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnl_0 local_g3_0 -buffer neigh_op_bnl_1 local_g2_1 -buffer neigh_op_bnl_1 local_g3_1 -buffer neigh_op_bnl_2 local_g2_2 -buffer neigh_op_bnl_2 local_g3_2 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_14 +buffer lutff_7/out sp4_v_b_30 buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_bnl_4 local_g2_4 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnl_6 local_g2_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_12 local_g1_4 -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_l_43 sp4_v_t_43 -routing sp4_h_l_43 sp4_v_t_46 - -.logic_tile 11 7 -LC_0 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g1_2 lutff_5/in_0 -buffer local_g2_2 lutff_0/in_0 -buffer local_g3_4 lutff_3/in_0 -buffer local_g3_7 lutff_4/in_0 -buffer lutff_5/out sp4_h_r_26 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_v_b_15 local_g3_7 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_r_v_b_26 local_g0_2 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_h_l_42 sp4_v_b_7 - -.logic_tile 6 8 -LC_1 0000000000000001 0000 -LC_2 0010000000000000 0000 -LC_3 0000001000000000 0000 -LC_5 0000100000000000 0000 -LC_6 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_44 -buffer neigh_op_rgt_7 local_g3_7 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_v_b_3 local_g2_3 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_36 sp4_h_r_9 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_b_9 sp4_h_l_44 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer sp12_h_r_2 local_g0_2 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_v_b_2 local_g1_2 +routing sp12_h_l_23 sp12_v_b_0 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_t_45 sp4_v_b_8 .logic_tile 1 12 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 ColBufCtrl glb_netwk_7 -LC_0 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk +LC_2 0000100000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_0/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer sp4_v_b_0 local_g0_0 -routing sp12_v_b_1 sp12_v_t_22 -routing sp4_v_b_0 sp4_h_r_6 +buffer local_g0_0 lutff_2/in_2 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_v_b_20 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_v_b_8 local_g0_0 .logic_tile 8 12 +CarryInSet +ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0001000111110001 0100 DffEnable -LC_1 0010001011110010 0100 DffEnable -LC_2 1101000011111111 0100 DffEnable -LC_4 1110000000000000 0100 DffEnable -LC_5 0001000111110001 0100 DffEnable -LC_7 0001000100011111 0100 DffEnable -NegClk -buffer glb_netwk_5 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_2/in_3 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_2 lutff_2/in_0 -buffer local_g2_4 lutff_7/in_3 -buffer local_g2_5 lutff_4/in_1 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_4 lutff_2/in_1 -buffer local_g3_4 lutff_7/in_0 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_2 local_g2_2 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_top_0 local_g0_0 -buffer neigh_op_top_2 local_g1_2 +ColBufCtrl glb_netwk_7 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0000000011111111 1000 CarryEnable +buffer local_g1_4 lutff_5/in_2 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_4 lutff_0/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_5/cout lutff_6/in_3 buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_20 local_g0_4 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_42 local_g3_2 -buffer sp4_v_b_5 local_g1_5 -routing sp4_v_b_5 sp4_v_t_45 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_t_37 sp4_v_b_0 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_30 local_g3_6 +buffer sp4_v_b_36 local_g3_4 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_40 local_g2_0 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_h_l_45 sp4_v_t_45 +routing sp4_v_b_5 sp4_h_r_5 +routing sp4_v_b_7 sp4_h_l_42 .logic_tile 11 8 -LC_3 0000001000000000 0000 -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_7 lutff_3/in_0 -buffer local_g2_4 lutff_6/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_6 lutff_3/in_2 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_v_b_12 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_44 local_g2_4 - -.logic_tile 4 15 -LC_3 0001000000000000 0110 DffEnable Set_NoReset -LC_4 0101001100000000 0110 DffEnable Set_NoReset -LC_5 0101001100000000 0100 DffEnable +LC_0 0000001011111111 0100 DffEnable +LC_1 0001110000001100 0000 +LC_2 0000001100000101 0000 +LC_3 0000001000010011 0000 +LC_4 0000000000000100 0000 +LC_5 1000000000000000 0000 +LC_6 0000010100110011 0100 DffEnable +LC_7 0001000000000000 0000 NegClk -buffer glb2local_0 local_g0_4 -buffer glb2local_3 local_g0_7 buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_4 glb2local_0 -buffer glb_netwk_4 glb2local_3 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_5/in_1 +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_4 lutff_1/in_3 buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_1 lutff_5/in_0 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_4 lutff_7/in_1 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_7 lutff_2/in_3 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_1 lutff_3/in_3 buffer local_g3_3 lutff_global/cen -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_v_b_8 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_6 lutff_6/in_1 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_4/out local_g3_4 buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_5/out sp4_v_b_10 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_7 local_g1_7 -buffer sp12_v_b_11 local_g3_3 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_v_b_40 local_g2_0 -routing sp4_h_l_40 sp4_v_b_5 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_b_7 sp4_h_r_7 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bnl_4 local_g2_4 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_6 local_g1_6 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_41 local_g3_1 +buffer sp4_v_b_46 local_g3_6 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_b_9 sp4_h_l_44 +routing sp4_v_t_46 sp4_h_l_46 + +.logic_tile 4 15 +LC_0 0000100000000000 0000 +LC_3 0000000010000000 0100 DffEnable +LC_5 0100000000000000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_3/in_3 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out sp4_v_b_22 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp12_v_b_2 local_g2_2 +buffer sp4_r_v_b_3 local_g1_3 +routing sp4_h_r_11 sp4_v_b_11 .logic_tile 7 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 -LC_0 0000000000000010 0000 -LC_1 0000000100000000 0000 -LC_2 0000110100000000 0000 -LC_3 0001000000000000 0000 -LC_4 1101000000000000 0000 -LC_5 0010000000000000 0000 -LC_6 0001000000000000 0000 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 1111000000100000 0000 +LC_1 0000000001000000 0000 +LC_2 1100000011000001 0000 +LC_3 0000000100000011 0000 +LC_4 0000000010000000 0000 +LC_5 1000000000000000 0000 +LC_6 0000000000000001 0000 LC_7 0001000000000000 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_2 lutff_4/in_1 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_6 lutff_7/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_2 lutff_5/in_2 buffer local_g3_4 lutff_2/in_1 -buffer local_g3_4 lutff_7/in_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out sp4_v_b_24 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_6 lutff_7/in_2 +buffer local_g3_7 lutff_1/in_3 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g2_2 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp4_h_r_24 buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_top_2 local_g1_2 -buffer sp12_v_b_13 local_g2_5 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_28 local_g3_4 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_34 local_g3_2 -buffer sp4_v_b_9 local_g0_1 -routing sp12_v_b_0 sp12_h_l_23 - -.logic_tile 2 11 -LC_1 1001000000001001 0000 -LC_2 1010010101011010 0000 -LC_3 1001011000000000 0000 -LC_4 0000000011100000 0000 -LC_5 0000000010000010 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_3 lutff_1/in_3 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_3 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_1/in_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g3_3 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out sp4_h_r_14 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g1_7 buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_4 local_g1_4 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_7 local_g1_7 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_26 local_g2_2 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_tnr_0 local_g3_0 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_tnr_6 local_g3_6 +buffer neigh_op_tnr_7 local_g3_7 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_28 local_g3_4 buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_42 local_g3_2 -buffer sp4_v_b_44 local_g3_4 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_6 sp4_v_b_11 +routing sp4_h_l_36 sp4_v_b_7 +routing sp4_h_l_42 sp4_h_r_7 +routing sp4_h_r_6 sp4_h_l_43 +routing sp4_h_r_6 sp4_h_l_44 routing sp4_h_r_6 sp4_v_b_6 -routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_4 sp4_h_r_4 + +.logic_tile 2 11 +LC_1 0100011100000000 0000 +LC_2 1000000000000000 0000 +LC_4 0000000010000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_v_b_24 +buffer neigh_op_bot_7 local_g0_7 +buffer sp12_h_r_10 local_g0_2 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_2 local_g1_2 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_3 sp4_v_b_8 .logic_tile 9 9 -LC_0 0000000000001000 0000 +LC_0 0000010000000000 0000 LC_1 0010000000000000 0000 -LC_5 1111011000000000 0000 -LC_7 0000000000001000 0000 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_5 lutff_7/in_3 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_0 lutff_7/in_2 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_7/out sp12_v_b_14 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_v_b_23 local_g2_7 -buffer sp4_h_r_2 local_g1_2 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_4 local_g0_4 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_b_8 sp4_h_r_2 -routing sp4_v_t_40 sp4_h_l_40 +LC_2 0000000001000001 0000 +LC_6 0000100010000000 0000 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_5 lutff_6/in_0 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_5 lutff_2/in_2 +buffer local_g3_5 lutff_6/in_2 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_r_v_b_45 +buffer neigh_op_bnl_3 local_g3_3 +buffer sp12_v_b_5 local_g3_5 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_v_b_21 local_g1_5 +routing sp4_h_l_39 sp4_h_r_10 +routing sp4_h_r_8 sp4_h_l_41 +routing sp4_h_r_8 sp4_h_l_46 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_b_9 sp4_v_t_40 +routing sp4_v_b_9 sp4_v_t_44 +routing sp4_v_t_36 sp4_h_r_1 .logic_tile 5 14 -LC_0 0011010100000000 0110 DffEnable Set_NoReset -LC_1 1010001100000000 0110 DffEnable Set_NoReset -LC_2 1010001100000000 0110 DffEnable Set_NoReset -LC_3 1010001100000000 0110 DffEnable Set_NoReset -LC_4 1111000100000000 0110 DffEnable Set_NoReset -LC_5 0101001100000000 0110 DffEnable Set_NoReset -LC_6 0111000000000000 0110 DffEnable Set_NoReset -LC_7 0101001100000000 0110 DffEnable Set_NoReset -NegClk -buffer glb_netwk_4 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_4/in_0 +LC_0 0001000000000000 0000 +LC_1 0000001000000000 0000 +LC_2 1111000011100000 0000 +LC_3 0000000000100000 0000 +LC_4 0000010000000000 0000 +LC_5 0000001000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0000010000000000 0000 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_7/in_1 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_5 lutff_0/in_3 buffer local_g2_5 lutff_5/in_0 -buffer local_g2_6 lutff_7/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_4 lutff_5/in_2 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_1 lutff_4/in_2 buffer local_g3_5 lutff_3/in_1 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bnl_4 local_g2_4 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bnl_7 local_g3_7 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_5 local_g1_5 -buffer sp12_h_r_3 local_g0_3 -buffer sp12_v_b_10 local_g2_2 -buffer sp12_v_b_13 local_g3_5 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_43 local_g3_3 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_r_0 sp4_h_l_38 -routing sp4_v_t_43 sp4_h_l_43 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out local_g3_1 +buffer lutff_5/out local_g1_5 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_tnr_0 local_g2_0 +buffer neigh_op_tnr_2 local_g2_2 +buffer neigh_op_tnr_5 local_g2_5 +buffer sp12_h_r_3 local_g1_3 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_20 local_g0_4 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_r_1 sp4_v_b_6 .logic_tile 8 14 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 1000 CarryEnable -LC_3 0000000011111111 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000000000000000 0000 -LC_6 0000000000000111 0000 -LC_7 1001100101100110 0000 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g0_7 lutff_3/in_2 +LC_0 1000000000000000 0100 DffEnable +LC_1 0000100000000000 0000 +LC_2 0100000000000000 0100 DffEnable +LC_3 1000000000000000 0100 DffEnable +LC_4 1010001010100000 0000 +LC_5 0000000000000100 0000 +LC_6 1000000000000000 0100 DffEnable +LC_7 1000000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_1 lutff_4/in_0 buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_7/in_3 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_3 lutff_6/in_1 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_4 lutff_6/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g1_3 -buffer lutff_5/out local_g1_5 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_1 lutff_1/in_3 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_3 lutff_5/in_3 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_29 buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_bnl_1 local_g3_1 -buffer neigh_op_bnl_3 local_g2_3 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_lft_5 local_g0_5 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_15 local_g1_7 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_42 local_g2_2 -routing sp4_v_b_9 sp4_h_l_44 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bnr_1 local_g0_1 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_top_1 local_g1_1 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_32 local_g3_0 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_3 sp4_h_l_45 .logic_tile 8 15 -LC_0 0001111100000000 0100 DffEnable -LC_1 1000000000000000 0100 DffEnable -LC_4 0101001100000000 0100 DffEnable -LC_5 0111000000000000 0100 DffEnable -NegClk -buffer glb_netwk_5 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_6 lutff_0/in_0 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_r_v_b_11 -buffer neigh_op_bnl_1 local_g3_1 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_lft_4 local_g1_4 -buffer sp12_h_r_3 local_g1_3 -buffer sp12_v_b_6 local_g3_6 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_1 local_g1_1 +LC_0 0000000000010000 0000 +LC_1 0000101000001000 0000 +LC_2 0000100000000000 0000 +LC_3 0000000000000001 0000 +LC_4 0001000000000000 0000 +LC_5 0000100000000000 0000 +LC_6 0000000000000001 0000 +LC_7 0000100000000000 0000 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_5 lutff_6/in_1 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_5 lutff_1/in_3 +buffer lutff_2/out local_g3_2 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_tnl_5 local_g3_5 +buffer sp12_v_b_0 local_g2_0 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_r_v_b_13 local_g2_5 buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_h_r_11 sp4_v_b_4 .logic_tile 6 13 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0010000000000000 0000 -LC_1 0010000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0010000000000000 0000 -LC_4 0101001100000000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_3 lutff_7/in_2 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_3 lutff_3/in_0 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_5 lutff_3/in_1 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out local_g3_4 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 +ColBufCtrl glb_netwk_7 +LC_0 0000000000010000 0000 +LC_1 0000010000000000 0000 +LC_2 0000000000000100 0000 +LC_3 1000000000000000 0000 +LC_4 1011101110110000 0000 +LC_5 0000100000000000 0000 +LC_6 0000100000000000 0000 +LC_7 0000000000000100 0000 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_2/in_3 +buffer local_g2_7 lutff_0/in_3 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_4 lutff_5/in_2 +buffer local_g3_5 lutff_2/in_0 +buffer local_g3_5 lutff_6/in_2 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g3_1 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_7/out sp4_r_v_b_31 buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_5 local_g1_5 -buffer sp12_v_b_13 local_g3_5 -buffer sp12_v_b_21 sp4_v_b_22 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_27 local_g3_3 -routing sp4_h_l_44 sp4_h_r_9 -routing sp4_h_r_9 sp4_v_t_38 -routing sp4_v_b_2 sp4_v_t_39 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_37 sp4_v_b_0 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_9 sp4_h_l_39 +routing sp4_v_t_39 sp4_v_b_2 +routing sp4_v_t_44 sp4_h_r_2 .logic_tile 1 7 -LC_1 1000100000001111 0110 DffEnable Set_NoReset -LC_2 0111000000000000 0110 DffEnable Set_NoReset -LC_4 0101110111010101 0100 DffEnable -LC_5 0001111100000000 0100 DffEnable +LC_1 0000100000000000 0100 DffEnable NegClk -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_4 glb2local_0 -buffer glb_netwk_4 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_5/in_3 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_5 lutff_1/in_0 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_4 lutff_4/in_3 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_4/out local_g3_4 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_5/out sp4_v_b_26 -buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_13 local_g1_5 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_41 local_g3_1 -routing sp4_v_b_10 sp4_v_t_43 +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g1_5 lutff_global/s_r +buffer local_g1_6 lutff_1/in_2 +buffer lutff_1/out sp12_v_b_2 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_30 local_g1_6 +routing sp4_h_r_9 sp4_v_b_9 .logic_tile 11 15 -LC_0 0100000000000000 0100 DffEnable -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_3 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g1_5 lutff_global/s_r -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp4_r_v_b_29 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_v_b_0 local_g3_0 -buffer sp12_v_b_10 local_g3_2 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_8 local_g0_0 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_4 0000000010000000 0100 DffEnable +LC_5 0110100110010110 0000 +LC_6 0010000000000000 0100 DffEnable +LC_7 0000000000000001 0000 +buffer glb_netwk_5 lutff_global/clk +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_4 lutff_7/in_2 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_6 lutff_7/in_1 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_3 lutff_7/in_3 +buffer local_g3_4 lutff_7/in_0 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out local_g2_1 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g1_2 +buffer lutff_4/out local_g1_4 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_v_b_14 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g3_4 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_26 local_g3_2 +routing sp4_h_l_47 sp4_h_r_1 .logic_tile 7 8 -LC_0 0000000001010011 0000 -LC_1 0010000000000000 0000 -LC_2 0000111000000000 0000 -LC_3 0000000010101100 0000 -LC_4 0101000000110000 0000 -LC_5 1000000000000000 0000 -LC_6 1110000000000000 0000 -LC_7 0111000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_5 lutff_0/in_2 +LC_0 0000000000001001 0000 +LC_1 0010001000100000 0000 +LC_2 0000100000000000 0110 DffEnable Set_NoReset +LC_3 1001000001100000 0000 +LC_4 0001000011010000 0000 +LC_5 1000001001000001 0000 +LC_6 0100010001000000 0000 +LC_7 0000010011000100 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_1/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_5/in_2 buffer local_g1_7 lutff_1/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_1 lutff_0/in_3 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_5 lutff_6/in_1 -buffer local_g3_1 lutff_5/in_3 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_4/in_2 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g3_1 -buffer lutff_2/out local_g0_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_3 lutff_7/in_3 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_5 lutff_6/in_2 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_6 lutff_7/in_2 +buffer lutff_1/out sp4_h_r_2 buffer lutff_2/out local_g3_2 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_rgt_1 local_g2_1 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_v_b_3 local_g2_3 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_5 local_g0_5 -buffer sp4_v_b_5 local_g1_5 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_9 local_g0_1 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_44 sp4_h_r_5 -routing sp4_h_l_44 sp4_v_t_44 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_t_37 sp4_v_b_3 -routing sp4_v_t_41 sp4_v_b_0 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g2_5 +buffer lutff_6/out local_g3_6 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_tnr_4 local_g2_4 +buffer neigh_op_tnr_7 local_g2_7 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_6 local_g1_6 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_v_b_25 local_g3_1 +buffer sp4_v_b_7 local_g1_7 +routing sp4_h_r_11 sp4_h_l_39 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_9 sp4_h_l_44 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_11 sp4_v_t_46 +routing sp4_v_t_43 sp4_h_l_37 .logic_tile 2 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0000001011111111 0100 DffEnable -LC_2 0001111100000000 0100 DffEnable -NegClk -buffer glb_netwk_4 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g2_3 lutff_0/in_1 -buffer local_g3_3 lutff_global/cen -buffer lutff_2/out sp4_h_r_36 -buffer neigh_op_bnr_0 local_g0_0 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_top_2 local_g0_2 -buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_v_b_11 local_g2_3 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_43 local_g3_3 - -.logic_tile 9 12 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 1000000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0110 DffEnable Set_NoReset -LC_6 0100000000000000 0110 DffEnable Set_NoReset -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_6 lutff_2/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_5 lutff_global/s_r -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_3 lutff_global/cen -buffer lutff_0/out sp4_h_r_16 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp12_h_r_20 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_top_3 local_g1_3 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_17 local_g3_1 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_v_t_36 sp4_h_l_42 -routing sp4_v_t_36 sp4_v_b_4 -routing sp4_v_t_38 sp4_v_b_6 -routing sp4_v_t_41 sp4_v_b_7 - -.logic_tile 12 8 -LC_1 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_5 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_5 lutff_1/in_0 -buffer lutff_1/out sp12_v_b_2 -buffer sp12_h_r_13 local_g0_5 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_37 sp4_v_b_8 - -.logic_tile 11 16 -routing sp4_h_l_43 sp4_v_b_0 +ColBufCtrl glb_netwk_7 +LC_0 0000000011111111 1000 CarryEnable +LC_1 0000010000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0110100110010110 0000 +LC_7 0000010000000000 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_3 lutff_7/in_2 +buffer local_g1_4 lutff_7/in_0 +buffer local_g2_0 lutff_1/in_3 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_4 lutff_7/in_3 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_4 lutff_2/in_1 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_7/out local_g2_7 +buffer neigh_op_tnr_0 local_g2_0 +buffer neigh_op_tnr_2 local_g2_2 +buffer neigh_op_tnr_4 local_g3_4 +buffer neigh_op_tnr_6 local_g3_6 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_v_b_38 local_g2_6 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_h_r_2 sp4_v_t_39 +routing sp4_h_r_6 sp4_v_b_6 -.logic_tile 5 9 -LC_0 1010110000000000 0000 -LC_1 1010110000000000 0000 -LC_2 1010110000000000 0000 -LC_3 1010110000000000 0000 -LC_4 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk +.logic_tile 9 12 +CarryInSet +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 1000 CarryEnable +LC_4 0010000000000000 0000 +LC_6 0000100000000000 0100 DffEnable +LC_7 0010000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_4/in_1 buffer local_g0_2 lutff_global/cen buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_4 lutff_2/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_4 lutff_1/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g3_4 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnr_2 local_g0_2 -buffer sp12_v_b_18 local_g2_2 -buffer sp4_h_r_12 local_g0_4 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_5 lutff_4/in_0 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_6 lutff_6/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_lft_5 local_g1_5 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_19 local_g0_3 buffer sp4_h_r_36 local_g2_4 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_32 local_g0_3 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_24 local_g3_0 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_b_2 sp4_v_t_47 -routing sp4_v_b_5 sp4_v_t_45 -routing sp4_v_t_37 sp4_h_r_0 -routing sp4_v_t_39 sp4_v_b_2 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_26 local_g2_2 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_t_40 sp4_h_r_10 +routing sp4_v_t_43 sp4_h_r_11 -.logic_tile 4 7 -LC_0 0000000011111101 0000 -LC_1 0000000000000010 0000 -LC_2 0000111000000000 0000 -LC_3 0000000001010011 0000 -LC_4 0000000000000001 0000 -LC_5 1000111100000000 0000 -LC_6 1111111111110001 0000 -LC_7 0000000000000010 0000 -buffer glb2local_2 local_g0_6 -buffer glb_netwk_4 glb2local_2 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_4 lutff_3/in_3 -buffer local_g0_6 lutff_5/in_3 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_3 lutff_4/in_3 -buffer local_g2_4 lutff_1/in_3 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_7/in_3 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_5 lutff_4/in_2 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out local_g1_6 +.logic_tile 12 8 +LC_2 0000100000000000 0100 DffEnable +LC_7 0000100000000000 0100 DffEnable +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_6 lutff_2/in_2 +buffer local_g3_4 lutff_7/in_2 buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_6 local_g2_6 -buffer neigh_op_top_5 local_g1_5 -buffer sp12_v_b_18 local_g3_2 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_28 local_g3_4 -buffer sp4_h_r_31 local_g3_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_8 sp4_h_l_46 -routing sp4_v_b_0 sp4_v_t_37 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_t_39 sp4_v_b_10 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_rgt_4 local_g3_4 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_v_t_38 sp4_v_b_6 +routing sp4_v_t_40 sp4_v_b_5 -.logic_tile 9 1 -LC_7 0100000000000000 0100 DffEnable +.logic_tile 11 16 +LC_4 0000100000000000 0100 DffEnable +LC_7 0010000000000000 0100 DffEnable NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g2_7 lutff_7/in_0 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_tnl_7 local_g2_7 -buffer sp4_h_r_18 local_g0_2 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_v_b_4 sp4_h_l_44 +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_5 lutff_global/s_r +buffer local_g3_5 lutff_7/in_1 +buffer lutff_7/out sp4_h_r_30 +buffer sp12_v_b_5 local_g3_5 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_v_b_5 local_g1_5 -.logic_tile 6 6 -LC_0 0010000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0001000000000000 0000 -LC_3 1010010101011010 0000 -LC_4 0000111101110111 0000 -LC_5 0000000000000010 0000 -LC_6 1110110000000010 0000 -LC_7 0000000000000010 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_2 lutff_7/in_0 +.logic_tile 5 9 +LC_0 0000001011011110 0000 +LC_1 0000000001000000 0000 +LC_2 0000000000000100 0000 +LC_3 0000010000000000 0000 +LC_4 0000000010000000 0100 DffEnable +LC_5 0000000000100000 0000 +LC_6 0000001000000000 0000 +LC_7 0000000000000001 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_0/in_2 buffer local_g1_4 lutff_1/in_2 buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_5/in_2 +buffer local_g1_6 lutff_6/in_1 buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_5 lutff_3/in_2 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_6/in_3 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp4_h_r_14 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_2 lutff_1/in_3 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_3 lutff_global/cen +buffer lutff_4/out local_g2_4 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g1_6 buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_top_4 local_g0_4 -buffer sp4_r_v_b_11 local_g2_3 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_38 local_g3_6 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_45 local_g2_5 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_8 local_g0_0 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_tnl_3 local_g2_3 +buffer neigh_op_top_3 local_g1_3 +buffer sp12_v_b_3 local_g3_3 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_32 local_g2_0 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_b_11 sp4_h_l_46 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_6 sp4_h_l_43 -.logic_tile 5 6 -LC_0 0000111011111111 0000 -LC_1 0000100000000000 0000 -LC_2 1101000000000000 0000 -LC_3 0000000000000001 0000 -LC_5 0000000100000000 0000 -LC_6 1000000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_2 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_6 lutff_3/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_0/in_3 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_6 lutff_3/in_3 -buffer local_g3_2 lutff_3/in_2 -buffer local_g3_4 lutff_5/in_2 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_5/out local_g2_5 +.logic_tile 4 7 +LC_0 0010000010000000 0000 +LC_4 0010000000000000 0000 +LC_5 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +LC_7 1101111000000000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_5 lutff_4/in_2 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_2 lutff_7/in_2 +buffer lutff_0/out local_g3_0 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_26 buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_r_v_b_13 -buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_bnr_7 local_g1_7 -buffer neigh_op_bot_2 local_g0_2 -buffer sp4_r_v_b_0 local_g1_0 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g0_6 +buffer sp4_h_r_2 local_g0_2 buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_20 local_g3_4 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_33 local_g2_1 -routing sp4_v_b_11 sp4_h_l_41 -routing sp4_v_b_11 sp4_v_t_39 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_v_b_8 sp4_h_r_2 -.logic_tile 2 16 -LC_2 0010001000101111 0110 DffEnable Set_NoReset +.logic_tile 9 1 +LC_0 0100110000000000 0110 DffEnable Set_NoReset +LC_1 0100000011000000 0110 DffEnable Set_NoReset +LC_2 0111000000000000 0110 DffEnable Set_NoReset +LC_4 0111000000000000 0110 DffEnable Set_NoReset +LC_5 0100000011000000 0110 DffEnable Set_NoReset +LC_6 0000100010001000 0110 DffEnable Set_NoReset +LC_7 0010000010100000 0110 DffEnable Set_NoReset NegClk -buffer glb_netwk_4 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_5/in_0 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_2/in_3 -buffer local_g1_2 lutff_2/in_1 -buffer local_g3_1 lutff_2/in_0 -buffer lutff_2/out local_g1_2 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_4 lutff_6/in_3 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_1/in_3 +buffer local_g1_7 lutff_2/in_0 +buffer local_g1_7 lutff_4/in_0 +buffer local_g1_7 lutff_5/in_3 +buffer local_g1_7 lutff_6/in_2 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_5 lutff_1/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_1/out sp4_r_v_b_3 buffer lutff_2/out sp4_r_v_b_37 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_19 local_g0_3 -routing sp4_h_r_10 sp4_v_b_10 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_lft_6 local_g0_6 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_13 local_g0_5 +routing sp4_v_t_39 sp4_h_r_2 -.logic_tile 11 2 +.logic_tile 6 6 +CarryInSet LC_0 0000000000000000 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 0000000000000001 0000 -LC_5 0010000000000000 0100 DffEnable -LC_6 0010000000000000 0100 DffEnable -LC_7 0010000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_5 lutff_4/in_3 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_5 lutff_0/in_2 -buffer lutff_0/cout lutff_1/in_3 +LC_3 0000000011111111 1000 CarryEnable +LC_4 1111111110101110 0000 +LC_5 1000000000000000 0000 +LC_6 0100000000000000 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_4 lutff_5/in_1 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_4/in_2 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_6/in_2 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_4 lutff_6/in_1 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_6 lutff_0/in_1 buffer lutff_1/cout lutff_2/in_3 -buffer lutff_1/out local_g1_1 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp12_h_r_16 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_4/out sp4_v_b_24 buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g0_7 buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_5 local_g2_5 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bnr_0 local_g1_0 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_rgt_2 local_g2_2 buffer neigh_op_rgt_5 local_g3_5 -buffer sp12_h_r_18 local_g1_2 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_33 local_g3_1 -routing sp12_h_l_23 sp12_v_t_23 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_tnl_2 local_g3_2 +buffer neigh_op_tnl_5 local_g2_5 +buffer neigh_op_tnr_4 local_g3_4 +buffer sp4_v_b_38 local_g2_6 +routing sp4_h_r_0 sp4_h_l_37 +routing sp4_h_r_1 sp4_h_l_41 +routing sp4_h_r_5 sp4_h_l_45 +routing sp4_h_r_9 sp4_h_l_40 +routing sp4_v_t_43 sp4_h_r_6 -.logic_tile 7 7 -LC_0 1001011010010110 0000 -LC_1 1001000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0000000001010011 0000 -LC_4 0000111000000000 0000 -LC_5 0000000000110101 0000 -LC_6 0000000000000001 0000 -LC_7 0111000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_5/in_1 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_3 lutff_7/in_0 +.logic_tile 5 6 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_4 0000100010000000 0100 DffEnable +LC_5 0110100110010110 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_2/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_4/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_4/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_r_v_b_41 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_5 local_g1_5 +buffer sp4_h_r_26 local_g2_2 + +.logic_tile 11 2 +LC_1 1100010100000101 0000 +LC_2 0101000000110000 0000 +LC_3 0000001000010011 0000 +LC_4 0110100110010110 0000 +LC_5 0000110011110101 0000 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_1/in_2 +buffer local_g1_4 lutff_4/in_3 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_3/in_3 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_3 lutff_1/in_3 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_5 lutff_5/in_1 +buffer local_g3_6 lutff_2/in_3 +buffer local_g3_6 lutff_3/in_0 +buffer local_g3_6 lutff_5/in_2 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_42 +buffer neigh_op_tnl_0 local_g2_0 +buffer neigh_op_tnl_2 local_g3_2 +buffer neigh_op_tnl_4 local_g3_4 +buffer neigh_op_tnl_6 local_g2_6 +buffer sp4_h_r_4 local_g1_4 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_45 local_g3_5 +routing sp4_h_l_36 sp4_h_r_4 + +.logic_tile 7 7 +LC_0 0110100110010110 0000 +LC_1 0010000000000000 0000 +LC_2 1000100000001000 0000 +LC_3 0000000010000000 0000 +LC_4 0000000010000000 0100 DffEnable +LC_5 0100000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 1100101011001111 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_6 lutff_5/in_3 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_2 lutff_3/in_3 buffer local_g2_4 lutff_7/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_1 lutff_3/in_3 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_1 lutff_7/in_3 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_2/in_3 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_4 lutff_7/in_2 buffer local_g3_5 lutff_1/in_1 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out local_g2_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_tnr_1 local_g3_1 -buffer neigh_op_tnr_2 local_g2_2 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_r_v_b_23 local_g3_7 -buffer sp4_r_v_b_45 local_g3_5 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_5 local_g1_5 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_9 local_g0_1 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_h_r_4 sp4_v_t_41 -routing sp4_v_b_9 sp4_v_t_37 -routing sp4_v_t_38 sp4_v_b_6 +buffer lutff_1/out local_g3_1 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_11 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_6 local_g0_6 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_32 local_g2_0 +routing sp4_h_l_44 sp4_h_r_5 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_11 sp4_h_r_11 +routing sp4_v_b_8 sp4_v_t_46 +routing sp4_v_t_36 sp4_v_b_9 +routing sp4_v_t_47 sp4_h_r_3 .logic_tile 2 1 -routing sp12_h_r_0 sp12_v_t_23 -routing sp4_v_t_38 sp4_v_b_11 - -.logic_tile 1 15 -LC_0 0011010100000000 0100 DffEnable -LC_1 0001000000000000 0110 DffEnable Set_NoReset +LC_6 0010000000000000 0100 DffEnable NegClk -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_4 glb2local_0 -buffer glb_netwk_4 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g2_0 lutff_1/in_1 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_5 lutff_0/in_0 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_r_v_b_3 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_5 local_g3_5 -buffer sp12_v_b_18 local_g3_2 -buffer sp4_h_r_10 local_g0_2 -routing sp12_v_b_0 sp12_h_r_0 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_5 lutff_6/in_1 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_r_v_b_13 +buffer sp4_h_r_21 local_g0_5 +routing sp4_h_r_10 sp4_v_b_3 .logic_tile 12 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_0/in_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_0/out sp4_v_b_32 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_36 local_g2_4 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_t_42 sp4_v_b_10 +ColBufCtrl glb_netwk_7 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_v_b_10 sp4_v_t_36 +routing sp4_v_b_6 sp4_h_l_43 .logic_tile 4 12 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_1 0100000000000000 0100 DffEnable +ColBufCtrl glb_netwk_7 +LC_2 0100000000000000 0100 DffEnable +LC_3 0000010000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0000000010000000 0000 +LC_7 1000000000000000 0000 NegClk -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g2_7 lutff_1/in_0 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_1/out sp4_v_b_34 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_26 local_g0_2 +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_5 lutff_5/in_3 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_1 lutff_6/in_1 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_3 lutff_global/cen +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out local_g3_3 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g0_5 +buffer sp12_v_b_1 local_g3_1 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_21 local_g1_5 +routing sp12_v_b_1 sp12_h_l_22 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_t_46 sp4_h_r_4 .logic_tile 7 16 -LC_5 1110000000000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer sp12_h_r_12 local_g0_4 - -.logic_tile 2 12 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_6 -LC_0 0001000000000000 0000 -LC_6 0000100000000000 0000 -LC_7 1001011010010110 0000 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_3 lutff_6/in_2 -buffer local_g2_3 lutff_6/in_1 -buffer local_g3_5 lutff_0/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_15 -buffer sp12_v_b_21 local_g3_5 -buffer sp12_v_b_3 local_g2_3 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_5 local_g0_5 -buffer sp4_v_b_10 local_g0_2 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_r_10 sp4_h_l_43 -routing sp4_v_t_47 sp4_v_b_1 +LC_0 0000000000000100 0000 +LC_2 0000000000000001 0000 +LC_3 1000000000000000 0000 +LC_5 0100000000000000 0000 +LC_6 0000000001111111 0000 +LC_7 0100000011000000 0000 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_5 lutff_2/in_3 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_6 lutff_3/in_3 +buffer local_g2_6 lutff_7/in_3 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_0/out local_g3_0 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_bot_6 local_g1_6 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_45 local_g2_5 +routing sp4_v_b_2 sp4_h_r_2 + +.logic_tile 2 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_1 0010000000000000 0100 DffEnable +LC_4 0000000000100000 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_4/in_3 +buffer local_g1_5 lutff_1/in_1 +buffer local_g2_5 lutff_4/in_1 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_4/out sp4_v_b_8 +buffer sp12_h_r_21 local_g1_5 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_v_b_45 local_g2_5 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_8 sp4_h_r_2 .logic_tile 9 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 1000000000000000 0000 -LC_1 0000100000000000 0000 -LC_2 0000000011110010 0000 -LC_3 1000000000000000 0000 -LC_7 1000000000000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_3 lutff_2/in_1 +ColBufCtrl glb_netwk_7 +LC_0 1000101010000000 0000 +LC_1 0101011100000000 0100 DffEnable +LC_2 0000001101010111 0110 DffEnable Set_NoReset +LC_3 0110100110010110 0000 +LC_4 0000001010101010 0100 DffEnable +LC_5 0001111101001111 0100 DffEnable +LC_6 0000101000100010 0100 DffEnable +LC_7 0000010011001100 0100 DffEnable +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_5 lutff_1/in_2 buffer local_g0_5 lutff_2/in_3 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_5 lutff_7/in_2 -buffer local_g2_6 lutff_1/in_1 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_7 lutff_3/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_5 lutff_6/in_3 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_7/in_3 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_2 lutff_2/in_1 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_5 lutff_5/in_1 buffer lutff_0/out sp4_h_r_0 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_7/out sp12_h_r_6 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out local_g3_1 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g3_2 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out local_g3_4 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_6/out sp4_r_v_b_29 buffer lutff_7/out sp12_v_b_14 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_3 local_g0_3 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_2 local_g3_2 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_31 local_g3_7 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_v_b_2 sp4_h_r_2 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_37 sp4_v_b_3 -routing sp4_v_t_37 sp4_v_b_8 - -.logic_tile 12 16 -buffer sp12_v_b_17 sp4_v_b_20 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_14 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_10 local_g1_2 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_6 local_g0_6 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_h_r_5 sp4_v_b_10 +routing sp4_v_b_5 sp4_h_r_11 .logic_tile 5 1 -LC_0 0101001100000000 0000 -LC_2 1000000000000000 0000 -LC_4 0110000000000000 0000 -LC_5 1010010101011010 0000 -LC_6 0101001100000000 0000 -LC_7 0101001100000000 0000 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_3 lutff_7/in_2 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_2/in_3 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_6 lutff_7/in_0 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnl_2 local_g3_2 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_6 local_g3_6 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_3 local_g0_3 -buffer sp12_h_r_3 local_g1_3 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_23 local_g1_7 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_r_7 sp4_h_l_38 +routing sp4_h_r_8 sp4_h_l_45 .logic_tile 12 10 -LC_4 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk +LC_1 0000000010000000 0101 DffEnable AsyncSetReset +LC_3 0000100000000000 0000 +LC_5 1101010101010101 0101 DffEnable AsyncSetReset +LC_7 0000100000000000 0101 DffEnable AsyncSetReset +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_1 lutff_1/in_3 buffer local_g1_3 lutff_global/cen -buffer local_g1_7 lutff_4/in_0 -buffer lutff_4/out sp12_h_r_16 -buffer neigh_op_bot_7 local_g1_7 -buffer sp4_v_b_19 local_g1_3 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_t_44 sp4_v_b_0 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_5/in_3 +buffer local_g3_1 lutff_5/in_1 +buffer lutff_1/out local_g3_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_5/out local_g0_5 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g0_7 +buffer sp12_h_r_3 local_g1_3 +buffer sp4_h_r_9 local_g1_1 +routing sp4_h_l_36 sp4_h_r_9 +routing sp4_h_l_38 sp4_v_t_38 .logic_tile 7 2 -LC_0 0111000000000000 0000 -LC_1 1001000000000000 0000 -LC_2 1001011010010110 0000 -LC_3 1111011000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0100000000000000 0101 DffEnable AsyncSetReset -LC_6 0100000000000000 0101 DffEnable AsyncSetReset -LC_7 0111000000000000 0101 DffEnable AsyncSetReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_6 lutff_2/in_1 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0110100110010110 1000 CarryEnable +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_1/in_1 buffer local_g1_7 lutff_4/in_2 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_1 lutff_3/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_tnr_2 local_g2_2 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_h_r_25 local_g3_1 -routing sp4_v_t_43 sp4_h_r_11 +buffer local_g2_5 lutff_3/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/cout lutff_7/in_3 +buffer neigh_op_bnl_5 local_g2_5 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp4_h_r_3 local_g1_3 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_2 sp4_h_r_8 .logic_tile 6 14 -LC_1 0010000000000000 0000 -LC_2 0010000000000000 0000 -LC_4 1010010101011010 0000 -LC_5 0010000011010000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_6 lutff_5/in_2 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_6 lutff_5/in_1 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out local_g3_6 +LC_0 0000010000000101 0000 +LC_1 0000000010001100 0000 +LC_2 0011000100110011 0000 +LC_3 0000111100001101 0000 +LC_4 0101010100010101 0000 +LC_5 0100000000000000 0000 +LC_6 0001000000000000 0000 +LC_7 0000010000000000 0000 +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_6 lutff_7/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_0 lutff_2/in_3 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_3 lutff_4/in_2 +buffer local_g3_3 lutff_7/in_3 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_6 lutff_6/in_3 +buffer lutff_0/out local_g2_0 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_v_b_12 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bnl_3 local_g2_3 +buffer neigh_op_bot_1 local_g1_1 buffer neigh_op_bot_3 local_g1_3 -buffer sp12_v_b_10 local_g3_2 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_14 local_g1_6 -routing sp4_v_b_5 sp4_h_l_47 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_tnr_2 local_g2_2 +buffer neigh_op_top_2 local_g0_2 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_44 local_g2_4 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_h_r_8 sp4_v_b_8 -.logic_tile 12 2 -LC_0 0110100110010110 0000 -LC_2 0100000000000000 0100 DffEnable -LC_5 0010000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_5 lutff_0/in_2 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_5/out local_g1_5 -buffer sp12_v_b_12 local_g2_4 -buffer sp4_v_b_45 local_g2_5 +.logic_tile 1 2 +routing sp4_v_t_45 sp4_v_b_8 .logic_tile 11 10 -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g2_2 lutff_global/cen -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_5/out sp4_r_v_b_27 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_5 local_g0_5 -buffer sp4_h_r_26 local_g2_2 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_v_t_46 sp4_h_l_40 - -.logic_tile 4 9 -LC_0 0000000000000010 0000 -LC_1 1010110000000000 0000 -LC_2 0000001000000000 0000 -LC_3 1010010101011010 0000 -LC_4 1010110000000000 0000 -LC_5 1010110000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_4 glb2local_0 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_0/in_3 +LC_2 0110100110010110 0000 +LC_3 0110100110010110 0000 +LC_4 1111111101111111 0000 +LC_5 0000100000000000 0100 DffEnable +LC_6 1000000000000000 0000 +LC_7 1101010101010101 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_2 lutff_3/in_1 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_5/in_2 buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_0/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_7 lutff_4/in_1 +buffer local_g2_7 lutff_7/in_2 buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp4_h_r_38 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_4 lutff_2/in_1 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_5 lutff_3/in_3 +buffer local_g3_5 lutff_4/in_2 +buffer local_g3_5 lutff_7/in_3 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_2/out local_g3_2 +buffer lutff_3/out local_g2_3 buffer lutff_4/out sp12_h_r_0 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_tnl_2 local_g3_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp12_v_b_14 local_g3_6 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_26 local_g2_2 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_rgt_7 local_g3_7 buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_25 local_g3_1 -routing sp12_v_b_0 sp12_h_l_23 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_h_r_9 sp4_v_t_38 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_t_39 sp4_v_b_2 -routing sp4_v_t_40 sp4_h_r_5 -routing sp4_v_t_45 sp4_v_b_4 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_28 local_g3_4 +buffer sp4_v_b_42 local_g2_2 +routing sp4_v_t_44 sp4_v_b_5 -.logic_tile 7 15 -LC_1 0010001000001111 0000 -LC_2 1000000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0001000111110001 0000 -LC_5 0001000000000000 0000 -LC_6 0010000000001000 0000 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_4/in_3 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_2/in_0 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_4 lutff_6/in_3 -buffer local_g3_6 lutff_3/in_0 +.logic_tile 4 9 +LC_0 1101000000000000 0000 +LC_1 1000110011000000 0000 +LC_2 0101000001000000 0100 DffEnable +LC_3 1100100000000000 0000 +LC_4 0000000000101010 0000 +LC_5 0101000011010000 0000 +LC_6 0100000000000000 0000 +LC_7 0010001000101010 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_7 lutff_1/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_7/in_3 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_6 lutff_7/in_1 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_2 lutff_7/in_2 +buffer local_g3_3 lutff_global/cen buffer local_g3_6 lutff_4/in_1 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_22 +buffer local_g3_7 lutff_0/in_0 +buffer local_g3_7 lutff_1/in_3 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp4_h_r_24 buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g3_6 -buffer neigh_op_bnr_7 local_g1_7 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bnr_5 local_g0_5 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g0_7 buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_1 local_g3_1 -buffer sp12_v_b_0 local_g2_0 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_43 local_g3_3 -buffer sp4_v_b_7 local_g0_7 -routing sp12_h_r_1 sp12_v_b_1 -routing sp12_v_b_0 sp12_h_r_0 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_v_b_35 local_g3_3 +routing sp4_h_r_8 sp4_v_t_45 +routing sp4_v_b_5 sp4_h_l_47 +routing sp4_v_t_43 sp4_h_r_11 -.logic_tile 2 9 -LC_0 0000100100000000 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 0000110111111111 0100 DffEnable -LC_5 0000000011010000 0100 DffEnable -LC_6 0000000011010000 0100 DffEnable -LC_7 0010100000000000 0100 DffEnable -buffer glb2local_3 local_g0_7 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 glb2local_3 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 +.logic_tile 7 15 +LC_0 0101010101010100 0000 +LC_1 0000100000000000 0000 +LC_2 1000100000001000 0100 DffEnable +LC_3 0100010011000100 0000 +LC_4 0000000011010000 0000 +LC_5 0000000000000001 0000 +LC_6 0010001000000010 0000 +LC_7 1000110010001000 0000 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_5 lutff_4/in_3 +buffer local_g0_3 lutff_2/in_3 buffer local_g0_5 lutff_5/in_2 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_2/in_1 +buffer local_g0_6 lutff_5/in_1 buffer local_g1_3 lutff_2/in_2 -buffer local_g1_5 lutff_6/in_2 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g2_7 lutff_0/in_1 -buffer local_g2_7 lutff_7/in_2 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_h_r_24 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_3 lutff_7/in_1 +buffer local_g3_4 lutff_5/in_0 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g0_3 buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g0_6 buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnl_6 local_g3_6 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_bnl_1 local_g2_1 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bnl_3 local_g2_3 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_tnr_4 local_g2_4 +buffer neigh_op_top_3 local_g1_3 buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_5 local_g1_5 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_v_b_18 local_g0_2 -routing sp12_v_t_23 sp12_v_b_0 -routing sp4_h_r_2 sp4_v_t_39 -routing sp4_h_r_8 sp4_v_t_45 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_31 local_g2_7 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_2 local_g0_2 -.logic_tile 9 15 -LC_7 0010111100000000 0100 DffEnable +.logic_tile 2 9 +LC_0 1110111111101110 0000 +LC_3 1000101000000000 0100 DffEnable +LC_4 0100000000000000 0000 +LC_5 0000110000001101 0000 +LC_6 0111010001110111 0000 +LC_7 0000000001000000 0000 NegClk -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_4 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_5 lutff_7/in_0 -buffer local_g1_1 lutff_7/in_1 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_v_b_14 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_19 local_g0_3 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_r_8 sp4_v_t_45 +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_7/in_3 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_6 lutff_0/in_3 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_6 lutff_3/in_1 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_4 lutff_5/in_2 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_top_3 local_g1_3 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_6 local_g1_6 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_36 local_g3_4 +routing sp4_h_r_1 sp4_v_b_6 +routing sp4_h_r_6 sp4_v_t_43 + +.logic_tile 9 15 +LC_1 1000000000000000 0000 +buffer local_g0_6 lutff_1/in_1 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_r_v_b_35 +buffer sp4_h_r_22 local_g0_6 +routing sp4_v_b_10 sp4_v_t_43 .logic_tile 8 1 -LC_3 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_7 lutff_3/in_0 -buffer local_g3_3 lutff_global/cen -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_h_r_38 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_v_b_11 local_g3_3 -routing sp4_v_b_7 sp4_h_r_7 -routing sp4_v_t_38 sp4_h_r_3 +LC_6 0000100000000000 0000 +buffer local_g2_6 lutff_6/in_2 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_5/out sp4_r_v_b_27 +buffer neigh_op_bnl_6 local_g2_6 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_t_42 sp4_h_l_36 +routing sp4_v_t_42 sp4_v_b_3 +routing sp4_v_t_46 sp4_h_l_46 .logic_tile 12 9 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 1001100101100110 0000 -LC_3 0000100010000000 0000 -LC_4 0010000000000000 0000 -LC_5 1101000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_9 local_g0_1 -buffer sp12_v_b_1 local_g2_1 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_37 local_g2_5 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_13 local_g0_5 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_b_3 sp4_v_t_46 -routing sp4_v_t_41 sp4_v_b_0 +LC_1 0000100000000000 0100 DffEnable +LC_3 0010000000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_1 lutff_3/in_1 +buffer local_g2_4 lutff_global/s_r +buffer lutff_1/out sp4_v_b_18 +buffer lutff_3/out sp4_v_b_38 +buffer neigh_op_lft_7 local_g0_7 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_36 local_g2_4 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_44 sp4_v_t_44 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_6 sp4_v_t_43 .logic_tile 5 12 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0010000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 0000100000000000 0000 -LC_6 0101001100000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_3 lutff_7/in_2 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_tnr_3 local_g2_3 -buffer neigh_op_tnr_3 local_g3_3 -buffer sp12_h_r_0 local_g1_0 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_12 local_g2_4 -buffer sp12_v_b_14 local_g3_6 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_14 local_g1_6 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_v_b_2 sp4_v_t_39 -routing sp4_v_t_39 sp4_h_l_45 +ColBufCtrl glb_netwk_7 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0000010000000000 0000 +LC_3 0010000000000000 0000 +LC_4 0000000100000010 0000 +LC_5 0000001000000000 0000 +LC_6 0000000000001000 0000 +LC_7 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_4/in_3 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_3 lutff_2/in_0 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bnl_4 local_g2_4 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_2 local_g0_2 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_5 local_g1_5 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_42 sp4_h_r_3 +routing sp4_h_l_42 sp4_h_r_7 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_10 sp4_v_t_47 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_2 sp4_h_r_8 .logic_tile 4 4 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 ColBufCtrl glb_netwk_7 -LC_1 0010111100000000 0100 DffEnable -LC_6 0111000000000000 0100 DffEnable -NegClk -buffer glb2local_1 local_g0_5 -buffer glb_netwk_4 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_1/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_6 lutff_6/in_1 -buffer neigh_op_top_0 local_g0_0 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp12_v_b_21 sp4_v_b_22 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_v_b_19 local_g1_3 -routing sp4_v_b_8 sp4_v_t_46 +LC_0 0100000001110000 0100 DffEnable +LC_1 1000000000000000 0000 +LC_2 0100011100000000 0100 DffEnable +LC_3 0000100000000000 0000 +LC_4 0101000000110000 0100 DffEnable +LC_5 0010000000000000 0000 +LC_6 0000000010000000 0000 +LC_7 1000000000000000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_2 lutff_5/in_1 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer local_g3_7 lutff_5/in_3 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g0_2 +buffer lutff_4/out local_g3_4 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_tnr_3 local_g2_3 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_31 local_g3_7 .logic_tile 6 3 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000011111111 0000 -LC_7 0000000100000000 0000 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_3 lutff_7/in_2 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_3 lutff_4/in_2 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_3 lutff_0/in_1 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_7 lutff_2/in_2 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_tnl_7 local_g3_7 -buffer sp12_v_b_19 local_g2_3 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_v_b_36 local_g3_4 -routing sp4_v_t_41 sp4_h_r_9 +LC_0 0000000010000000 0100 DffEnable +LC_1 0000000010000000 0000 +LC_2 0000010000000000 0000 +LC_3 0000000010000000 0000 +LC_4 0000111000000100 0000 +LC_5 0000000010000000 0000 +LC_6 0000000000010000 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_6 lutff_3/in_3 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_4 lutff_4/in_2 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_5 lutff_5/in_3 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out local_g2_2 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out local_g3_6 +buffer neigh_op_bnr_2 local_g0_2 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_top_6 local_g0_6 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_r_v_b_23 local_g3_7 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_26 local_g3_2 +buffer sp4_v_b_36 local_g2_4 +routing sp4_v_t_42 sp4_h_l_36 +routing sp4_v_t_42 sp4_h_r_7 +routing sp4_v_t_42 sp4_v_b_7 .logic_tile 1 5 -CarryInSet ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_6 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_7 0110000000000000 0000 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_7/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_bot_7 local_g1_7 -routing sp4_v_t_39 sp4_h_r_7 - -.logic_tile 11 1 -routing sp12_h_l_22 sp12_v_t_22 -routing sp4_v_t_39 sp4_h_l_45 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_2 0010000001110000 0100 DffEnable +LC_5 0110100110010110 0000 +NegClk +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_5 lutff_2/in_3 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g2_2 lutff_global/cen +buffer lutff_2/out sp4_h_r_4 +buffer lutff_5/out local_g0_5 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_10 local_g2_2 .logic_tile 7 10 -LC_1 1111011100000000 0000 -LC_3 0010000000000000 0000 -LC_4 0000000001011100 0000 -LC_5 0101110000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_5 lutff_4/in_0 +LC_0 1000000000000000 0000 +LC_1 0111000000100000 0110 DffEnable Set_NoReset +LC_2 0010101000001000 0110 DffEnable Set_NoReset +LC_3 0011101000000000 0110 DffEnable Set_NoReset +LC_4 0011000010100000 0110 DffEnable Set_NoReset +LC_5 1000000000000000 0000 +LC_6 0101111100111111 0000 +LC_7 1000000000000000 0000 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_6 lutff_6/in_0 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_1 lutff_3/in_0 buffer local_g2_2 lutff_3/in_1 -buffer local_g3_6 lutff_4/in_3 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_v_b_10 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_h_r_7 local_g0_7 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_b_11 sp4_v_t_46 -routing sp4_v_b_8 sp4_v_t_41 +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_6 lutff_1/in_1 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_0 lutff_4/in_3 +buffer local_g3_5 lutff_6/in_2 +buffer local_g3_7 lutff_4/in_0 +buffer lutff_6/out sp4_r_v_b_13 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp12_v_b_7 sp4_v_b_15 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_21 local_g3_5 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_40 local_g2_0 +routing sp4_h_l_44 sp4_h_r_9 +routing sp4_v_b_7 sp4_v_t_42 +routing sp4_v_t_36 sp4_v_b_1 +routing sp4_v_t_40 sp4_h_r_10 .logic_tile 2 2 -LC_1 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g1_2 lutff_1/in_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_r_v_b_3 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_2 local_g0_2 +LC_0 0000100000000000 0000 +buffer local_g0_3 lutff_0/in_3 +buffer local_g1_3 lutff_0/in_2 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_r_v_b_17 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_v_b_19 local_g0_3 +routing sp4_v_t_36 sp4_v_b_4 .logic_tile 1 10 -LC_4 1000000000000000 0000 -LC_6 0000111000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_6 lutff_6/in_2 -buffer local_g1_0 lutff_6/in_1 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_r_v_b_13 -buffer neigh_op_bnr_0 local_g1_0 -buffer neigh_op_rgt_7 local_g3_7 -routing sp4_h_r_9 sp4_v_t_44 +LC_1 1000000000000000 0000 +buffer local_g1_5 lutff_1/in_1 +buffer sp12_h_r_5 local_g1_5 +routing sp4_v_b_10 sp4_h_r_10 .logic_tile 8 6 -LC_0 1100001000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0000000011100000 0000 -LC_3 0011001111010000 0000 -LC_4 0000001000000000 0000 -LC_5 1100111101011111 0000 -LC_6 0000000000000001 0000 -LC_7 0000000000101111 0000 -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_0/in_0 +LC_0 0000100000000000 0000 +LC_1 0000101000100010 0000 +LC_2 0100010001000000 0000 +LC_3 0001000100011111 0000 +LC_4 1110000011000000 0000 +LC_5 0000000000001000 0000 +LC_6 0000010100000011 0000 +LC_7 0010000000000000 0000 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_1/in_2 buffer local_g1_5 lutff_0/in_2 buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_3 lutff_6/in_1 -buffer local_g2_4 lutff_6/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_2/in_3 buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g3_2 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out local_g2_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnr_7 local_g1_7 -buffer neigh_op_lft_4 local_g0_4 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_1/in_3 +buffer local_g3_5 lutff_6/in_0 +buffer local_g3_6 lutff_4/in_3 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out local_g2_1 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g0_4 buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_tnr_4 local_g2_4 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp12_v_b_15 local_g3_7 -buffer sp12_v_b_22 local_g2_6 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_h_r_5 local_g0_5 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_32 local_g0_3 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_v_b_0 sp4_v_t_45 -routing sp4_v_t_41 sp4_v_b_7 -routing sp4_v_t_45 sp4_v_b_4 +buffer neigh_op_tnr_5 local_g3_5 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_3 local_g1_3 +buffer neigh_op_top_4 local_g1_4 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_32 local_g2_0 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_v_b_2 sp4_h_r_2 .logic_tile 8 9 -LC_0 0101001100000000 0000 -LC_1 1010110000000000 0000 -LC_2 1010110000000000 0000 -LC_3 0101110000000000 0110 DffEnable Set_NoReset -LC_4 0101110000000000 0110 DffEnable Set_NoReset -LC_5 0101110000000000 0110 DffEnable Set_NoReset -LC_6 0101110000000000 0110 DffEnable Set_NoReset -LC_7 0101110000000000 0110 DffEnable Set_NoReset +LC_0 0001000010110000 0000 +LC_1 0110100110010110 0000 +LC_2 1000001001000001 0000 +LC_3 0010000000000000 0100 DffEnable +LC_4 0010000001110000 0000 +LC_5 0110100110010110 0000 +LC_6 0000000010000000 0100 DffEnable +LC_7 0000100000000000 0100 DffEnable buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_4 lutff_0/in_2 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_6 lutff_7/in_0 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g3_7 -buffer neigh_op_lft_4 local_g1_4 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_21 local_g0_5 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_6 local_g3_6 -buffer sp4_h_r_41 local_g3_1 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_28 local_g3_4 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_35 local_g3_3 -buffer sp4_v_b_6 local_g0_6 -buffer sp4_v_b_6 local_g1_6 -routing sp4_h_l_40 sp4_v_b_11 -routing sp4_v_b_6 sp4_h_l_46 +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_0/in_3 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_3 lutff_1/in_3 +buffer local_g1_4 lutff_6/in_3 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_2 lutff_2/in_2 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_2 lutff_5/in_2 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g2_1 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_1 local_g3_1 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_top_5 local_g1_5 +buffer sp4_v_b_11 local_g1_3 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_41 sp4_v_t_41 .logic_tile 4 1 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0110100110010110 1000 CarryEnable -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_3 lutff_7/in_2 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_3 lutff_2/in_2 +LC_2 0000000011111111 1000 CarryEnable +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_3 lutff_1/in_1 +buffer local_g2_3 lutff_1/in_2 buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_tnr_1 local_g2_1 -buffer neigh_op_tnr_2 local_g3_2 -buffer neigh_op_tnr_3 local_g3_3 -buffer neigh_op_tnr_5 local_g2_5 -buffer neigh_op_tnr_6 local_g2_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_39 local_g2_7 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_v_t_46 sp4_h_r_11 +buffer lutff_2/out sp4_v_b_20 +buffer sp12_v_b_11 local_g2_3 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_v_b_18 local_g1_2 +routing sp4_h_r_11 sp4_v_t_40 .logic_tile 8 11 -LC_0 0001000000000000 0000 -LC_1 0000001000000000 0000 -LC_2 0110000000000000 0000 -LC_3 0000000011111110 0000 -LC_4 0001000000000000 0000 -LC_5 1001011010010110 0000 +LC_0 0110000000000000 0000 +LC_1 0100100000000000 0000 +LC_3 0100000000000000 0110 DffEnable Set_NoReset +LC_4 1000000000000000 0000 +LC_5 0110100110010110 0000 LC_6 1000000000000000 0000 -LC_7 0000000010111110 0000 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_0 lutff_7/in_3 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_5 lutff_5/in_1 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_v_b_18 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_5 lutff_4/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_3 lutff_5/in_2 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_6 lutff_1/in_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g1_1 buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out local_g0_3 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_8 buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_r_v_b_9 buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnl_1 local_g3_1 -buffer neigh_op_tnr_5 local_g3_5 -buffer neigh_op_top_7 local_g1_7 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_27 local_g3_3 -routing sp4_v_t_46 sp4_v_b_2 +buffer lutff_6/out sp4_h_r_12 +buffer sp12_v_b_9 local_g3_1 +buffer sp12_v_b_9 sp4_v_b_16 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_26 local_g2_2 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_r_1 sp4_h_l_41 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_5 sp4_v_t_45 +routing sp4_v_t_41 sp4_v_b_4 +routing sp4_v_t_44 sp4_h_r_2 +routing sp4_v_t_46 sp4_h_l_46 .logic_tile 9 7 -LC_3 0101001100000000 0000 -LC_4 1000000000000000 0000 -LC_6 0001000000000000 0000 -buffer local_g0_2 lutff_6/in_0 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_3 lutff_4/in_1 -buffer local_g3_3 lutff_3/in_1 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_6/out sp4_v_b_28 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_3 local_g2_3 -buffer sp12_v_b_3 local_g3_3 -buffer sp4_h_r_2 local_g1_2 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_32 local_g2_0 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_l_45 sp4_v_t_45 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_4 sp4_v_t_41 -routing sp4_v_b_7 sp4_v_t_47 -routing sp4_v_t_45 sp4_v_b_4 - -.logic_tile 6 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_6 -LC_0 0010000000000000 0000 -LC_1 0000000000000001 0000 -LC_2 1101000000000000 0000 -LC_3 0000111011111111 0000 -LC_4 0000000000001000 0000 -LC_5 1000000000000000 0100 DffEnable -LC_6 1000000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 +LC_0 0000000000100000 0000 +LC_1 0000010000000000 0000 +LC_2 1000000000000000 0000 +LC_3 0000000000000001 0000 +LC_4 0000001010001000 0000 +LC_5 0000000000000001 0000 +LC_6 1000001001000001 0000 +LC_7 0001111100001111 0100 DffEnable +NegClk buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_2 glb2local_0 -buffer glb_netwk_2 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_1 lutff_1/in_3 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_3 lutff_3/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_v_b_44 -buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_lft_7 local_g0_7 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_16 local_g2_0 -buffer sp12_v_b_20 local_g2_4 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_33 local_g2_1 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_25 local_g3_1 +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_3/in_3 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_3 lutff_7/in_3 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_7 lutff_4/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_4 lutff_7/in_2 +buffer local_g3_6 lutff_3/in_0 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_0/out local_g3_0 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out local_g0_3 +buffer lutff_6/out local_g3_6 +buffer neigh_op_tnr_0 local_g2_0 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_v_b_21 local_g0_5 buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_35 local_g3_3 -routing sp4_v_b_11 sp4_v_t_39 +buffer sp4_v_b_31 local_g3_7 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_r_11 sp4_h_l_42 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_b_6 sp4_v_t_43 +routing sp4_v_b_7 sp4_h_r_7 +routing sp4_v_t_44 sp4_h_l_44 -.logic_tile 5 4 +.logic_tile 6 4 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 ColBufCtrl glb_netwk_7 -LC_2 0000100000000000 0000 -LC_3 1101000000000000 0000 -LC_7 0000111011111111 0000 +LC_0 0000000010000000 0000 +LC_1 0100000000000000 0000 +LC_2 0100000001001100 0100 DffEnable +LC_3 0000000010000000 0000 +LC_6 0101001100000000 0100 DffEnable +LC_7 0010001000001010 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen buffer local_g0_0 lutff_7/in_1 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_7/in_3 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_3/in_2 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g1_3 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_36 local_g2_4 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_v_b_6 sp4_v_t_43 -routing sp4_v_b_8 sp4_v_t_46 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_44 sp4_h_r_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_1/in_3 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_1/in_0 +buffer local_g2_7 lutff_7/in_2 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_3 lutff_7/in_3 +buffer local_g3_6 lutff_6/in_1 +buffer lutff_2/out local_g3_2 +buffer lutff_6/out local_g3_6 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_tnl_3 local_g3_3 +buffer neigh_op_top_7 local_g1_7 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_2 sp4_h_l_39 -.logic_tile 11 4 +.logic_tile 5 4 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_5 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_global/cen -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_r_v_b_27 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_26 local_g2_2 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_v_t_42 sp4_h_r_0 - -.logic_tile 7 1 -LC_1 1000000000000000 0000 -LC_2 0101001100000000 0000 -LC_4 0101001100000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_5 lutff_1/in_0 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g1_7 lutff_4/in_2 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_4/out sp12_h_r_0 -buffer neigh_op_bnr_2 local_g1_2 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_5 local_g1_5 -buffer sp12_h_r_7 local_g1_7 -buffer sp4_v_b_1 local_g0_1 -routing sp12_h_r_0 sp12_v_t_23 -routing sp4_h_r_8 sp4_h_l_45 - -.logic_tile 6 11 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable +ColBufCtrl glb_netwk_7 +LC_0 0110100110010110 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable LC_3 0110100110010110 1000 CarryEnable LC_4 0110100110010110 1000 CarryEnable LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0110100110010110 1000 CarryEnable -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_3 lutff_4/in_2 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_3 lutff_1/in_2 +LC_6 0000000011111111 1000 CarryEnable +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_3 lutff_5/in_2 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_1 lutff_0/in_2 +buffer lutff_0/cout lutff_1/in_3 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp12_h_r_14 buffer lutff_4/cout lutff_5/in_3 buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out sp12_h_r_4 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_lft_4 local_g0_4 -buffer sp12_v_b_11 local_g2_3 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_h_r_11 local_g0_3 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_t_38 sp4_h_r_8 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_top_0 local_g0_0 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_h_r_3 sp4_v_t_44 -.logic_tile 1 13 +.logic_tile 11 4 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -routing sp4_v_t_42 sp4_h_r_7 - -.logic_tile 12 7 -LC_0 1000000000000000 0000 -LC_7 1000000000000000 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer sp12_v_b_0 local_g3_0 -buffer sp4_v_b_8 local_g0_0 -routing sp12_v_b_0 sp12_h_l_23 -routing sp4_h_l_43 sp4_v_t_43 -routing sp4_v_b_8 sp4_h_l_45 -routing sp4_v_t_37 sp4_h_l_43 - -.logic_tile 11 9 -LC_0 1000000000000000 0000 -LC_1 0111000000000000 0000 -LC_2 1001000000000000 0000 -LC_3 0000100000000000 0000 -LC_4 1001011010010110 0000 -LC_5 0100000000000000 0101 DffEnable AsyncSetReset -LC_6 0100000000000000 0101 DffEnable AsyncSetReset -LC_7 0111000000000000 0101 DffEnable AsyncSetReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_3/in_2 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out local_g0_4 +ColBufCtrl glb_netwk_7 +LC_0 0000000011111111 1000 CarryEnable +LC_1 0000000000000110 0000 +LC_2 0010000000000000 0000 +LC_3 0011010100000000 0000 +LC_4 0010001000101000 0000 +LC_5 1000000000000000 0000 +LC_6 0101000011011000 0000 +LC_7 0110100110010110 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_7/in_2 +buffer local_g3_2 lutff_1/in_0 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp12_h_r_14 buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_h_r_44 buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_6 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_45 local_g2_5 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_10 local_g1_2 -routing sp4_v_b_1 sp4_h_l_36 -routing sp4_v_b_10 sp4_v_t_36 - -.logic_tile 12 11 -LC_6 1110000000000000 0100 DffEnable -NegClk -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g3_0 lutff_6/in_1 -buffer lutff_6/out sp4_v_b_28 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_32 local_g3_0 -routing sp4_v_b_11 sp4_v_t_46 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_38 sp4_v_b_11 - -.logic_tile 4 14 -LC_0 0101000000110000 0000 -LC_1 1010110000000000 0000 -LC_2 1110111011100000 0000 -LC_3 1010110000000000 0000 -LC_4 1010110000000000 0000 -LC_5 0101001100000000 0000 -LC_6 0101001100000000 0000 -LC_7 0000000010000000 0000 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_2 lutff_7/in_3 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_0/in_2 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_6 lutff_6/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_0 lutff_2/in_3 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_5 lutff_2/in_0 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_bnl_0 local_g3_0 -buffer neigh_op_bnl_2 local_g3_2 -buffer neigh_op_bnl_4 local_g3_4 -buffer neigh_op_bnl_6 local_g2_6 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g1_3 buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_tnr_5 local_g3_5 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_h_r_5 local_g0_5 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_17 local_g1_1 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_rgt_5 local_g2_5 +buffer sp12_h_r_9 local_g0_1 buffer sp4_h_r_22 local_g0_6 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_32 local_g0_3 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_r_9 sp4_h_l_44 -routing sp4_v_b_6 sp4_h_l_43 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_38 local_g2_6 +buffer sp4_h_r_39 local_g2_7 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_v_b_20 local_g1_4 +routing sp4_h_l_36 sp4_h_r_1 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_40 sp4_h_r_8 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_46 sp4_h_r_7 +routing sp4_h_l_46 sp4_v_b_11 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_7 sp4_v_t_42 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_t_47 sp4_v_b_6 -.logic_tile 2 10 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 0111000000000000 0000 -LC_5 0000100000000000 0000 -LC_6 0000000011010000 0000 -LC_7 0011001100001011 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_7/in_3 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_2 lutff_global/cen +.logic_tile 7 1 +LC_0 0100100000000000 0000 +LC_1 0000000010000000 0000 +LC_2 0010000000000000 0100 DffEnable +LC_3 0000000100000000 0000 +LC_4 0100000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0000000000000001 0000 +LC_7 0000100000000000 0100 DffEnable +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_5 lutff_1/in_3 +buffer local_g1_6 lutff_5/in_2 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_4 lutff_0/in_2 buffer local_g2_4 lutff_5/in_1 -buffer local_g2_4 lutff_6/in_0 -buffer local_g3_5 lutff_6/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_0 local_g1_0 +buffer local_g2_6 lutff_0/in_0 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_4 lutff_2/in_1 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g2_1 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_6/out sp4_r_v_b_45 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_5 local_g0_5 buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_2 local_g1_2 -buffer sp12_h_r_23 local_g1_7 -buffer sp4_h_r_12 local_g1_4 +buffer neigh_op_tnr_2 local_g2_2 +buffer neigh_op_tnr_6 local_g2_6 +buffer neigh_op_top_5 local_g1_5 +buffer neigh_op_top_6 local_g0_6 buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_45 local_g3_5 -routing sp12_h_r_1 sp12_v_t_22 -routing sp4_h_l_38 sp4_h_r_11 -routing sp4_h_r_2 sp4_v_t_39 -routing sp4_h_r_4 sp4_v_t_41 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_b_11 sp4_h_r_5 -routing sp4_v_t_44 sp4_h_l_38 +buffer sp4_r_v_b_3 local_g1_3 +routing sp4_v_t_39 sp4_v_b_10 -.logic_tile 9 10 -LC_0 0101001100000000 0000 -LC_1 1111111101110000 0000 -LC_2 0101001100000000 0000 -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb2local_2 local_g0_6 -buffer glb_netwk_4 glb2local_2 -buffer glb_netwk_5 glb2local_0 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_0/in_1 +.logic_tile 6 11 +LC_0 1000000000000000 0000 +LC_1 0100010011000000 0110 DffEnable Set_NoReset +LC_2 1000000000000000 0000 +LC_3 0000100010101000 0110 DffEnable Set_NoReset +LC_4 1000000000000000 0000 +LC_5 0010000011100000 0110 DffEnable Set_NoReset +LC_6 0111001000000000 0110 DffEnable Set_NoReset +LC_7 1000000000000000 0000 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_1/in_2 buffer local_g0_4 lutff_1/in_3 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g2_4 lutff_0/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g3_3 -buffer lutff_4/out local_g2_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_21 local_g0_5 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_3 local_g0_3 -routing sp12_v_b_1 sp12_h_r_1 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_v_b_2 sp4_h_r_2 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_t_38 sp4_v_b_6 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_6 lutff_3/in_3 +buffer local_g3_4 lutff_6/in_1 +buffer local_g3_4 lutff_7/in_2 +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_4/in_2 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out sp4_h_r_44 +buffer neigh_op_tnl_7 local_g3_7 +buffer neigh_op_tnr_2 local_g2_2 +buffer sp12_h_r_7 local_g1_7 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_h_r_5 local_g0_5 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_20 local_g3_4 +routing sp4_h_r_0 sp4_h_l_38 +routing sp4_h_r_1 sp4_v_b_1 +routing sp4_h_r_3 sp4_v_b_3 +routing sp4_h_r_5 sp4_v_b_5 +routing sp4_h_r_8 sp4_h_l_46 +routing sp4_h_r_8 sp4_v_b_8 +routing sp4_v_b_9 sp4_v_t_44 +routing sp4_v_t_36 sp4_v_b_4 +routing sp4_v_t_40 sp4_h_r_10 -.logic_tile 5 15 -LC_0 1100111101010000 0000 -LC_1 0000001000000000 0000 -LC_2 0000001000000000 0000 -LC_4 0000100000000000 0000 -LC_5 0000001000000000 0000 -LC_6 0000000011101011 0000 -LC_7 0000010111111100 0000 +.logic_tile 1 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0010000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g2_2 lutff_global/cen +buffer local_g3_4 lutff_0/in_1 +buffer lutff_0/out sp4_h_r_0 +buffer neigh_op_rgt_4 local_g3_4 +buffer sp4_h_r_26 local_g2_2 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_b_9 sp4_h_r_9 + +.logic_tile 12 7 +LC_0 0000100000000000 0100 DffEnable +LC_4 0000000001000000 0000 +LC_7 0000000010000000 0000 +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_4 lutff_global/s_r +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_4 lutff_7/in_2 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_5 lutff_0/in_2 +buffer lutff_0/out sp12_v_b_16 +buffer sp12_h_r_12 local_g0_4 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_45 local_g3_5 +routing sp4_v_b_7 sp4_h_l_42 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_b_8 sp4_h_l_45 + +.logic_tile 11 9 +LC_0 0000000010000000 0111 DffEnable Set_NoReset AsyncSetReset +LC_1 1000010000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0100000000010000 0000 +LC_5 0000000000010010 0000 +LC_6 1000000001000000 0000 +LC_7 0000000001001000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_1 lutff_5/in_0 buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_4 lutff_2/in_2 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_1/in_3 buffer local_g0_4 lutff_4/in_2 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_1/in_0 -buffer local_g0_7 lutff_5/in_2 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g1_1 lutff_2/in_0 buffer local_g1_2 lutff_0/in_3 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_4 lutff_0/in_0 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_2 lutff_6/in_3 -buffer local_g3_4 lutff_0/in_1 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_5 lutff_2/in_2 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_2 lutff_7/in_3 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_6 lutff_3/in_1 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_2 lutff_3/in_2 +buffer local_g3_3 lutff_global/cen buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_4/out sp12_v_b_8 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out sp4_v_b_24 buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_1 local_g3_1 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnl_0 local_g3_0 buffer neigh_op_bnl_2 local_g3_2 -buffer neigh_op_bnl_4 local_g3_4 -buffer sp12_v_b_19 sp4_v_b_21 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_v_b_1 local_g0_1 +buffer neigh_op_bnl_4 local_g2_4 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_tnr_3 local_g3_3 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_34 local_g0_1 buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_22 local_g1_6 -routing sp4_v_t_41 sp4_h_l_47 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_v_t_44 sp4_h_l_38 +routing sp4_v_t_44 sp4_v_b_0 + +.logic_tile 12 11 +LC_0 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_4 lutff_global/s_r +buffer local_g1_1 lutff_0/in_0 +buffer lutff_0/out sp4_v_b_16 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_v_b_17 local_g1_1 +routing sp4_h_l_45 sp4_h_r_4 + +.logic_tile 4 14 +LC_3 0110100110010110 0000 +LC_7 0010000010100000 0110 DffEnable Set_NoReset +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_6 lutff_global/s_r +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_5 lutff_7/in_1 +buffer local_g2_4 lutff_7/in_3 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_3 lutff_global/cen +buffer lutff_3/out sp4_h_r_38 +buffer lutff_7/out sp4_h_r_30 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_8 local_g1_0 +routing sp4_h_r_4 sp4_v_b_4 +routing sp4_h_r_8 sp4_h_l_45 +routing sp4_h_r_8 sp4_v_t_45 +routing sp4_v_b_6 sp4_h_l_43 + +.logic_tile 2 10 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0000000011111111 1000 CarryEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 1000000000000000 0000 +LC_7 0000001000000000 0000 +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_1/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_global/s_r +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_2 lutff_5/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_4 local_g0_4 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_31 local_g0_7 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_33 local_g3_1 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_h_r_9 sp4_v_b_9 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_2 sp4_h_r_2 + +.logic_tile 9 10 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 1000 CarryEnable +LC_3 1100000010001000 0000 +LC_4 0000100000000000 0100 DffEnable +LC_5 1101100000000000 0000 +LC_6 1000001000000000 0000 +LC_7 0010000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_3 lutff_6/in_1 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_3/in_2 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_7 lutff_4/in_2 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bnr_0 local_g0_0 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnl_6 local_g3_6 +buffer neigh_op_tnr_0 local_g3_0 +buffer neigh_op_tnr_2 local_g3_2 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_23 local_g3_7 +buffer sp4_r_v_b_3 local_g1_3 +routing sp4_h_l_37 sp4_h_r_0 +routing sp4_v_b_9 sp4_h_r_3 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_38 sp4_h_l_44 +routing sp4_v_t_40 sp4_h_r_5 +routing sp4_v_t_41 sp4_v_b_4 + +.logic_tile 5 15 +LC_0 0010000000000000 0000 +LC_1 0000000000001000 0000 +LC_2 0100000000000000 0000 +LC_3 0000000010000000 0100 DffEnable +LC_4 0100111100001111 0000 +LC_5 0011000111111101 0000 +LC_6 0011000000111010 0000 +LC_7 0000000010000000 0110 DffEnable Set_NoReset +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_3 lutff_0/in_3 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_2 lutff_2/in_3 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_6 lutff_3/in_3 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_5 lutff_1/in_3 +buffer local_g3_5 lutff_7/in_3 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out local_g2_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g3_7 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_6 local_g1_6 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_9 local_g2_1 +buffer sp4_v_b_23 local_g1_7 +buffer sp4_v_b_3 local_g1_3 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_v_b_3 sp4_h_r_3 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_7 sp4_h_r_7 + +.logic_tile 6 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0100000000000000 0000 +LC_1 0101010000000000 0000 +LC_2 0000110100001110 0000 +LC_3 1000000000000000 0100 DffEnable +LC_4 1100100000000000 0000 +LC_5 0000000100000000 0000 +LC_6 0000000010000010 0000 +LC_7 1000000000000000 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_3 lutff_6/in_3 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_1/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_1 lutff_7/in_3 +buffer local_g3_2 lutff_7/in_2 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_0 local_g1_0 +buffer sp12_v_b_6 local_g2_6 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_31 local_g3_7 +buffer sp4_h_r_33 local_g3_1 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_4 local_g0_4 +routing sp4_h_l_47 sp4_h_r_10 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_3 sp4_v_t_43 + +.logic_tile 11 12 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_2 0000100000000000 0100 DffEnable +buffer glb_netwk_5 lutff_global/clk +buffer local_g1_1 lutff_2/in_2 +buffer local_g3_3 lutff_global/cen +buffer lutff_2/out sp4_h_r_20 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_r_v_b_19 local_g3_3 +routing sp4_h_l_40 sp4_h_r_8 +routing sp4_v_b_5 sp4_v_t_40 +routing sp4_v_t_38 sp4_h_l_38 +routing sp4_v_t_38 sp4_v_b_11 + +.logic_tile 4 11 +LC_0 0001000000000000 0000 +LC_1 0110100110010110 0000 +LC_2 1000000000000000 0000 +LC_3 0000001000000000 0000 +LC_4 0110100110010110 0000 +LC_5 0000000001000000 0000 +LC_6 0000000000000010 0000 +LC_7 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_6 lutff_4/in_1 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_h_r_14 +buffer lutff_7/out sp4_r_v_b_31 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bnr_2 local_g0_2 +buffer neigh_op_bot_2 local_g1_2 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp12_v_b_2 local_g3_2 +buffer sp12_v_b_7 local_g3_7 +buffer sp4_h_r_11 local_g0_3 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_40 local_g2_0 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_v_t_46 sp4_v_b_7 -.logic_tile 6 12 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_6 -LC_0 0110100110010110 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable +.logic_tile 7 9 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 0000 -LC_7 0111000000000000 0100 DffEnable -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_6 lutff_7/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g2_4 lutff_2/in_2 -buffer lutff_0/cout lutff_1/in_3 +LC_4 0000000011111111 1000 CarryEnable +LC_5 0000100000000100 0000 +LC_6 0000001010001010 0000 +LC_7 0110100110010110 0000 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_3 lutff_6/in_3 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_6 lutff_3/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out local_g2_2 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_1 local_g1_1 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_3 local_g1_3 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp4_v_b_17 local_g0_1 -routing sp4_h_r_2 sp4_h_l_40 - -.logic_tile 11 12 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_2 1111111110000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_5 lutff_2/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_6 lutff_2/in_3 -buffer local_g2_0 lutff_2/in_0 -buffer lutff_2/out sp12_h_r_12 -buffer neigh_op_bnr_6 local_g1_6 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_v_b_40 local_g2_0 -routing sp12_h_l_23 sp12_v_t_23 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_37 sp4_v_b_8 -routing sp4_v_t_46 sp4_h_l_46 - -.logic_tile 4 11 -LC_0 1001000000000000 0000 -LC_1 0101001100000000 0000 -LC_2 0001111000000000 0000 -LC_3 0101001100000000 0000 -LC_4 0101001100000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0110000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_5 lutff_7/in_0 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_3/in_0 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g1_3 -buffer lutff_5/out local_g3_5 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out local_g3_6 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g0_7 +buffer lutff_4/out local_g2_4 buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_rgt_5 local_g2_5 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_21 local_g1_5 -buffer sp4_h_r_41 local_g3_1 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_v_b_3 sp4_h_l_38 - -.logic_tile 7 9 -LC_0 0001000000000000 0000 -LC_1 0000000000101111 0000 -LC_2 0101001100000000 0000 -LC_3 0010000000000000 0000 -LC_4 0101110000000000 0110 DffEnable Set_NoReset -LC_5 0101110000000000 0110 DffEnable Set_NoReset -LC_6 0101110000000000 0110 DffEnable Set_NoReset -buffer glb2local_1 local_g0_5 -buffer glb_netwk_2 glb2local_1 -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_5/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_6/in_1 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_6 lutff_6/in_2 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_6 lutff_5/in_2 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_h_r_20 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_9 local_g0_1 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_44 local_g2_4 -routing sp4_h_l_39 sp4_v_b_2 -routing sp4_v_t_37 sp4_v_b_0 +buffer neigh_op_bnr_3 local_g0_3 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer sp4_h_r_22 local_g1_6 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_r_3 sp4_h_l_43 .logic_tile 2 7 -LC_2 0000001011111111 0100 DffEnable -LC_3 0000001011111111 0100 DffEnable -LC_5 0000001011111111 0100 DffEnable -LC_6 0001000100001111 0100 DffEnable -NegClk -buffer glb_netwk_4 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_2/in_3 -buffer local_g2_2 lutff_2/in_0 -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_4 lutff_6/in_2 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_2/in_1 -buffer lutff_6/out sp4_r_v_b_13 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_top_2 local_g0_2 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_2 local_g3_2 -buffer sp12_v_b_4 local_g2_4 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_r_0 sp4_h_l_37 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_39 sp4_h_r_7 - -.logic_tile 9 13 CarryInSet -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 1000 CarryEnable -LC_3 1001100101100110 0000 -LC_4 0000000100000000 0000 -LC_5 1000000000000000 0000 -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_3/in_1 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_6 lutff_5/in_1 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_6 lutff_1/in_2 +LC_2 0110100110010110 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_7 0010000000000000 0110 DffEnable Set_NoReset +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_6 lutff_global/s_r +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_7/in_1 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_r_v_b_25 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_bot_6 local_g0_6 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp12_v_b_6 local_g2_6 -buffer sp12_v_b_9 local_g2_1 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_21 local_g1_5 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_7/out sp4_r_v_b_47 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_41 local_g3_1 +routing sp4_h_r_2 sp4_v_t_39 + +.logic_tile 9 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0100000000000000 0000 +LC_1 0011001111111011 0000 +LC_2 0000100000000000 0000 +LC_3 0000010000000000 0000 +LC_4 1010001000000000 0000 +LC_5 1000101000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0111011101110101 0000 +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_4/in_0 +buffer local_g2_0 lutff_1/in_3 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_4 lutff_1/in_1 +buffer local_g3_3 lutff_2/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out local_g0_5 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_tnl_3 local_g2_3 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_2 local_g1_2 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_17 local_g1_1 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_h_r_0 sp4_v_t_37 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_b_9 sp4_h_r_9 +routing sp4_v_t_41 sp4_v_b_7 .logic_tile 8 3 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 1001100101100110 0000 -LC_4 0000001000000000 0000 -LC_5 0000100000000000 0000 -LC_7 0000001000000000 0000 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_0 lutff_7/in_1 +LC_0 0000100000000000 0000 +LC_1 0000000010000000 0100 DffEnable +LC_2 0000100000000000 0100 DffEnable +LC_3 0000000000010000 0000 +LC_4 0000000000000001 0000 +LC_5 0100000000000000 0000 +LC_6 0100000000000000 0000 +LC_7 0000000010000000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_6 lutff_1/in_3 buffer local_g1_0 lutff_5/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_0 lutff_1/in_2 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_1 lutff_7/in_3 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_2 lutff_2/in_2 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_2 lutff_0/in_3 buffer local_g3_2 lutff_4/in_1 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_2 lutff_7/in_2 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bnl_7 local_g2_7 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_40 local_g3_0 -buffer sp4_v_b_42 local_g3_2 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_t_43 sp4_h_r_6 +buffer local_g3_3 lutff_3/in_1 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g3_2 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_top_1 local_g0_1 +buffer sp4_h_r_34 local_g2_2 +routing sp4_v_b_9 sp4_h_l_44 .logic_tile 5 10 -LC_0 1010010101011010 0000 -LC_1 1100101000110101 0000 -LC_2 1100101000110101 0000 -LC_3 0000000011111110 0000 -LC_5 0101001100000000 0110 DffEnable Set_NoReset -LC_6 0101001100000000 0110 DffEnable Set_NoReset -LC_7 0100000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_3 lutff_2/in_2 +LC_0 0000000000100000 0000 +LC_1 0000111000000100 0000 +LC_2 0000000000001000 0000 +LC_3 0010111000100010 0000 +LC_4 0000000000100000 0000 +LC_5 0100000000000000 0100 DffEnable +LC_6 0000100000000000 0000 +LC_7 0110100110010110 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_7/in_2 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_6/in_3 buffer local_g1_3 lutff_6/in_2 -buffer local_g1_5 lutff_5/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_1 lutff_1/in_3 -buffer local_g3_4 lutff_2/in_3 -buffer local_g3_5 lutff_1/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out local_g3_5 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_0/in_2 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_6 lutff_1/in_3 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_3 lutff_7/in_3 +buffer local_g3_4 lutff_7/in_0 +buffer lutff_0/out sp12_v_b_0 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g3_2 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out sp12_v_b_10 buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out local_g2_6 +buffer lutff_5/out sp4_r_v_b_27 buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_3 local_g1_3 -buffer sp12_h_r_21 local_g0_5 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_v_b_15 local_g0_7 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_v_b_2 sp4_v_t_40 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_tnl_4 local_g2_4 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_4 local_g1_4 +buffer sp12_h_r_1 local_g1_1 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_6 local_g1_6 +routing sp4_h_l_47 sp4_v_t_47 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_6 sp4_v_t_44 +routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_t_42 sp4_h_r_0 -.logic_tile 4 6 -LC_0 1001000000001001 0000 -LC_1 0000000100000000 0000 -LC_2 0001000000000000 0000 -LC_3 0000111100100000 0000 -LC_4 0010000000000000 0000 -LC_5 1001000000001001 0000 -LC_6 1000000000000000 0000 -LC_7 0000001000000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_7 glb2local_1 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_6/in_3 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_3 lutff_7/in_2 -buffer local_g2_6 lutff_5/in_1 -buffer local_g3_1 lutff_5/in_3 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_6 lutff_0/in_3 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_h_r_18 +.logic_tile 4 6 +LC_0 0010000000000000 0101 DffEnable AsyncSetReset +LC_1 0010101000000000 0101 DffEnable AsyncSetReset +LC_2 0010000000000000 0101 DffEnable AsyncSetReset +LC_3 1000000000000000 0000 +LC_4 1000000000100000 0000 +LC_5 0010101000000000 0000 +LC_6 0110100110010110 0000 +LC_7 0110100110010110 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_4 lutff_0/in_1 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_1 lutff_7/in_2 +buffer local_g3_1 lutff_3/in_3 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_3 lutff_global/cen +buffer local_g3_7 lutff_5/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp12_v_b_0 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g0_5 buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_v_b_16 local_g2_0 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_38 local_g2_6 -buffer sp4_v_b_43 local_g3_3 -routing sp12_v_b_1 sp12_v_t_22 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_v_b_4 sp4_h_l_44 +buffer lutff_7/out local_g3_7 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_27 local_g3_3 +routing sp4_v_t_42 sp4_v_b_7 .logic_tile 8 13 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0010001011110000 0000 -LC_1 0001000000000000 0000 -LC_2 1001100101100110 0000 -LC_3 0000001000000000 0000 -LC_4 0001000000000000 0000 -LC_5 1111111100001000 0000 -LC_6 0010000000000000 0000 -LC_7 0000000000111000 0000 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_2/in_3 +ColBufCtrl glb_netwk_7 +LC_0 0110100110010110 0000 +LC_1 1000000000000000 0000 +LC_2 1110110000010000 0000 +LC_3 0001010110111111 0000 +LC_4 1000000000000000 0100 DffEnable +LC_5 1000000000000000 0100 DffEnable +LC_6 1000000000000000 0000 +LC_7 0110100110010110 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_7/in_0 buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_7/in_3 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_3 lutff_6/in_1 -buffer local_g2_3 lutff_7/in_2 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_0/in_2 -buffer local_g3_5 lutff_0/in_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out sp4_r_v_b_5 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_4 lutff_1/in_3 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_5 lutff_7/in_3 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_r_v_b_37 buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out local_g1_4 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_v_b_12 -buffer neigh_op_bnl_2 local_g2_2 -buffer neigh_op_bnl_6 local_g2_6 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_rgt_5 local_g3_5 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g2_7 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_2 local_g0_2 buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_15 local_g0_7 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_9 local_g2_1 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_18 local_g0_2 -routing sp4_h_l_40 sp4_v_b_11 -routing sp4_h_r_3 sp4_v_b_3 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_11 sp4_v_t_42 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_v_b_5 local_g3_5 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_17 local_g1_1 +routing sp4_h_l_39 sp4_v_b_2 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_v_b_4 sp4_h_r_4 .logic_tile 9 2 -LC_6 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g1_3 lutff_global/cen -buffer local_g1_7 lutff_6/in_0 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp12_v_b_12 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_7 local_g1_7 -routing sp12_h_l_22 sp12_v_t_22 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_l_44 sp4_v_b_3 - -.logic_tile 6 1 -LC_0 0100000000000000 0100 DffEnable -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0101001100000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable +LC_0 0010001100110010 0000 +LC_1 1101010110000101 0000 +LC_2 0000010100110011 0000 +LC_3 0001000010110000 0000 +LC_4 0001000100000011 0000 +LC_5 0100000011000000 0110 DffEnable Set_NoReset +LC_6 1000000010110011 0000 +LC_7 0101011111110111 0000 NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_7/in_1 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_3 lutff_1/in_2 buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_4 lutff_7/in_2 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_2 lutff_0/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_5/in_0 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnr_2 local_g0_2 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_h_r_4 local_g1_4 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_35 local_g3_3 -routing sp4_h_r_9 sp4_v_t_38 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_7 lutff_2/in_3 +buffer local_g0_7 lutff_3/in_2 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_1 lutff_6/in_3 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_5 lutff_1/in_3 +buffer local_g3_6 lutff_5/in_0 +buffer local_g3_7 lutff_0/in_2 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_tnl_5 local_g2_5 +buffer neigh_op_tnl_7 local_g2_7 +buffer neigh_op_tnl_7 local_g3_7 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_v_b_1 local_g3_1 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_3 local_g1_3 +routing sp4_v_t_42 sp4_v_b_3 + +.logic_tile 6 1 +LC_0 0010000000000000 0000 +LC_1 1000000000000000 0000 +LC_2 0100000000000000 0000 +LC_3 0010000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0000100000000000 0100 DffEnable +LC_6 0000100000000000 0100 DffEnable +LC_7 0000100000000000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_7/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_6 lutff_4/in_3 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_4 lutff_1/in_3 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_3 lutff_3/in_3 +buffer local_g3_4 lutff_0/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g1_2 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_tnr_3 local_g3_3 +buffer neigh_op_tnr_4 local_g3_4 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_26 local_g2_2 +routing sp4_h_r_2 sp4_h_l_47 +routing sp4_h_r_2 sp4_v_t_39 .logic_tile 5 7 -LC_1 0000000000000001 0000 -LC_2 0010000000000000 0000 -LC_3 1110000000000000 0000 -LC_4 1111111111100000 0000 -LC_5 0000000111111111 0000 +LC_0 0000000100000000 0000 +LC_1 0101000000010000 0100 DffEnable +LC_2 0000101100000000 0100 DffEnable +LC_3 0000000010000010 0000 +LC_4 0110100110010110 0000 +LC_5 0011111100011111 0100 DffEnable LC_6 1000000000000000 0000 -LC_7 0001000000000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb2local_3 local_g0_7 -buffer glb_netwk_4 glb2local_3 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_5/in_3 +LC_7 1000000000000000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_6 lutff_3/in_3 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_5 lutff_6/in_0 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_0 lutff_1/in_3 buffer local_g2_0 lutff_2/in_0 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_4 lutff_4/in_2 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g2_7 lutff_1/in_0 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_5 lutff_1/in_3 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out local_g2_7 -buffer neigh_op_top_2 local_g0_2 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_v_b_6 local_g2_6 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_h_r_45 local_g2_5 -buffer sp4_h_r_45 local_g3_5 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_b_9 sp4_v_t_44 -routing sp4_v_t_36 sp4_v_b_9 -routing sp4_v_t_40 sp4_h_r_10 -routing sp4_v_t_40 sp4_v_b_1 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_0/in_3 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_7 lutff_2/in_1 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_7 lutff_1/in_1 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g0_6 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_tnr_7 local_g2_7 +buffer neigh_op_tnr_7 local_g3_7 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_20 local_g0_4 +routing sp4_h_r_9 sp4_h_l_40 +routing sp4_h_r_9 sp4_h_l_44 +routing sp4_v_b_11 sp4_v_t_39 .logic_tile 11 3 -LC_3 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_6 lutff_global/clk -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_2 lutff_global/cen -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_3/out sp4_r_v_b_39 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_34 local_g2_2 -routing sp4_v_t_43 sp4_h_l_43 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0110100110010110 1000 CarryEnable +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_2/in_2 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_5 lutff_1/in_1 +buffer local_g2_1 lutff_7/in_2 +buffer local_g2_2 lutff_3/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g3_0 lutff_6/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_13 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_tnr_2 local_g2_2 +buffer sp12_h_r_8 local_g1_0 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_21 local_g1_5 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_43 sp4_v_b_0 .logic_tile 6 16 -LC_1 0100000000000000 0110 DffEnable Set_NoReset -NegClk -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g2_3 lutff_1/in_0 -buffer sp4_h_r_43 local_g2_3 +LC_0 1000000000000000 0000 +LC_1 0000010000000000 0000 +LC_3 0101010100010101 0000 +LC_4 0111010100000000 0000 +LC_5 0000000010000000 0000 +LC_6 0000000011010000 0000 +LC_7 0001000000000000 0000 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_1/in_2 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_3/in_3 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_4 lutff_0/in_3 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_7 lutff_0/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_4/out local_g3_4 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnl_3 local_g2_3 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_3 local_g1_3 .logic_tile 7 4 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 0000000000001011 0000 -LC_1 0010111100000000 0000 -LC_2 0000000011100000 0000 -LC_3 1011000000000000 0000 -LC_4 0000000000101000 0000 -LC_5 0000000000000010 0000 -LC_6 1011000000000000 0000 -LC_7 0000111000000000 0000 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_3 lutff_0/in_2 +ColBufCtrl glb_netwk_7 +LC_0 0110100110010110 0000 +LC_1 1000000000000000 0000 +LC_2 0100000000000000 0000 +LC_3 0000100000000000 0000 +LC_4 0010000000000000 0100 DffEnable +LC_5 0000100000000000 0100 DffEnable +LC_6 0000100000000000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_7 lutff_1/in_2 buffer local_g1_4 lutff_1/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_4/in_3 +buffer local_g1_6 lutff_0/in_1 buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_2 lutff_4/in_2 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_5 lutff_2/in_3 -buffer local_g2_5 lutff_5/in_2 -buffer local_g2_7 lutff_0/in_1 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_5 lutff_3/in_3 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g1_7 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_4 lutff_1/in_3 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_6 lutff_5/in_2 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out local_g3_6 +buffer neigh_op_bot_4 local_g0_4 buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_tnr_0 local_g2_0 -buffer neigh_op_tnr_0 local_g3_0 -buffer neigh_op_tnr_5 local_g3_5 -buffer neigh_op_tnr_7 local_g2_7 -buffer sp4_h_r_42 local_g3_2 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_9 local_g0_1 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_t_38 sp4_v_b_11 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_rgt_1 local_g3_1 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_v_b_23 local_g0_7 +routing sp4_h_r_0 sp4_h_l_45 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_h_r_11 sp4_v_t_46 .logic_tile 12 13 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 1000 CarryEnable -LC_3 1001100101100110 0000 -LC_4 1000000000000000 0000 -LC_5 1001100101100110 0000 -LC_6 1001000000000000 0000 -LC_7 1001011010010110 0000 -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_5 lutff_6/in_1 -buffer local_g3_1 lutff_5/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_7 local_g1_7 -buffer sp12_v_b_1 local_g3_1 -buffer sp12_v_b_13 local_g2_5 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_20 local_g1_4 -routing sp4_v_t_44 sp4_v_b_0 -routing sp4_v_t_44 sp4_v_b_5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_4 0000100000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_5 lutff_global/clk +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_4 lutff_global/s_r +buffer lutff_4/out sp12_v_b_8 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_v_b_7 local_g1_7 +routing sp4_v_b_1 sp4_v_t_44 .logic_tile 1 8 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 1111000011011101 0000 -LC_5 1101110100001101 0000 -LC_6 1011000000000000 0000 -LC_7 0000000010000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb_netwk_4 glb2local_0 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_4 lutff_4/in_2 -buffer local_g2_6 lutff_5/in_1 -buffer local_g2_7 lutff_4/in_3 -buffer local_g2_7 lutff_5/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_tnr_4 local_g2_4 -buffer neigh_op_tnr_6 local_g2_6 -buffer sp12_h_r_5 local_g0_5 +LC_1 0001000000000000 0000 +LC_4 0100000000000000 0100 DffEnable +LC_5 0000000011011100 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_4 lutff_5/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_2 lutff_5/in_3 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_10 +buffer sp12_h_r_6 local_g0_6 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_26 local_g2_2 buffer sp4_h_r_7 local_g0_7 buffer sp4_h_r_7 local_g1_7 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_l_36 sp4_h_r_4 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_5 sp4_h_l_36 -routing sp4_h_r_6 sp4_v_t_37 +routing sp4_h_r_2 sp4_v_t_45 .logic_tile 8 8 -LC_0 0000111000000000 0000 -LC_1 0010000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0010000000000000 0000 -LC_5 1111001000000000 0000 +LC_0 0100000010000000 0110 DffEnable Set_NoReset +LC_1 0011010100000000 0000 +LC_2 1001000000001001 0000 +LC_3 0010000000000000 0110 DffEnable Set_NoReset +LC_4 1000010001001000 0000 +LC_5 1000001001000001 0000 LC_6 0001000000000000 0000 -LC_7 1000001000000000 0000 -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb2local_2 local_g0_6 -buffer glb2local_3 local_g0_7 -buffer glb_netwk_1 glb2local_0 -buffer glb_netwk_2 glb2local_1 -buffer glb_netwk_5 glb2local_2 -buffer glb_netwk_5 glb2local_3 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_6 lutff_7/in_1 +LC_7 0000000010000000 0110 DffEnable Set_NoReset +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_4/in_2 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_6 lutff_5/in_1 buffer local_g2_7 lutff_1/in_0 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_1 local_g2_1 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_3 local_g3_3 -buffer sp12_v_b_15 local_g2_7 -buffer sp12_v_b_15 local_g3_7 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_2 local_g2_2 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_r_v_b_38 local_g2_6 -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_t_36 sp4_v_b_9 -routing sp4_v_t_39 sp4_v_b_10 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_0 lutff_4/in_3 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_2/in_2 +buffer local_g3_1 lutff_7/in_3 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_7 lutff_1/in_1 +buffer local_g3_7 lutff_5/in_3 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g3_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out local_g3_1 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_tnl_6 local_g3_6 +buffer neigh_op_top_0 local_g1_0 +routing sp12_v_b_0 sp12_v_t_23 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_37 sp4_v_b_8 .logic_tile 4 3 -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk +LC_0 0100000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 0000110001000100 0100 DffEnable +LC_3 0000001010100010 0100 DffEnable +LC_4 1000000000000000 0000 +LC_5 0000000010000000 0000 +LC_6 0100010000001100 0100 DffEnable +LC_7 1000000000000000 0000 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_3 lutff_3/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_6 lutff_1/in_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_5/out sp4_r_v_b_11 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_3 local_g0_3 -buffer sp4_v_b_4 local_g1_4 -buffer sp4_v_b_6 local_g1_6 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_h_l_41 sp4_h_r_0 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_b_5 sp4_v_t_45 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_6 lutff_5/in_3 +buffer local_g0_6 lutff_6/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_6 lutff_6/in_3 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_4 lutff_3/in_3 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_1 lutff_1/in_3 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_4 lutff_7/in_2 +buffer local_g3_5 lutff_4/in_0 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_v_b_44 local_g3_4 +buffer sp4_v_b_45 local_g3_5 .logic_tile 2 15 +LC_7 0000011100000011 0100 DffEnable +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_5 lutff_7/in_2 +buffer local_g1_1 lutff_7/in_3 +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_7 lutff_7/in_0 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_r_v_b_15 +buffer sp12_h_r_5 local_g0_5 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_r_v_b_10 local_g2_2 + +.logic_tile 9 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 LC_0 0110100110010110 0000 -LC_1 1000000000000000 0000 -LC_3 1010010101011010 0000 -LC_5 0110000000000000 0000 -LC_6 0000000011111110 0000 -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_4 glb2local_1 -buffer local_g0_2 lutff_5/in_1 +LC_1 1100111011101110 0000 +LC_2 0101000001110000 0110 DffEnable Set_NoReset +LC_3 0100000000000000 0000 +LC_4 1000101010000000 0000 +LC_5 0100000000000000 0110 DffEnable Set_NoReset +LC_6 1011000100110001 0000 +LC_7 0100000000000000 0000 +NegClk +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_5 lutff_2/in_1 buffer local_g0_5 lutff_6/in_3 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_0/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_3 lutff_7/in_1 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_5/in_0 +buffer local_g3_1 lutff_1/in_3 +buffer local_g3_5 lutff_4/in_2 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_0/out sp4_v_b_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_4/out local_g2_4 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bnl_1 local_g3_1 +buffer neigh_op_bnl_3 local_g2_3 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_7 local_g0_7 +buffer sp4_h_r_0 local_g1_0 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_45 local_g2_5 +buffer sp4_r_v_b_33 local_g2_1 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_l_44 sp4_v_t_44 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_b_10 sp4_v_t_43 +routing sp4_v_b_3 sp4_h_l_38 +routing sp4_v_b_3 sp4_h_r_3 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_9 sp4_h_l_39 + +.logic_tile 8 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0000111000111111 0100 DffEnable +LC_1 0000000000000100 0000 +LC_2 0000100000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0000000100000000 0000 +LC_6 0011000000010000 0000 +LC_7 0000010000000000 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_0/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_1/in_3 buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_1/in_1 buffer local_g1_6 lutff_1/in_2 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_3 lutff_6/in_1 -buffer local_g3_5 lutff_6/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out local_g1_1 +buffer local_g1_6 lutff_5/in_2 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_0 lutff_4/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_5 lutff_4/in_3 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_5 lutff_7/in_1 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_2/out local_g2_2 buffer lutff_3/out sp12_h_r_14 buffer lutff_3/out sp12_v_b_22 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_3 local_g0_3 buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_lft_0 local_g1_0 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_27 local_g2_3 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_tnl_0 local_g2_0 +buffer neigh_op_tnr_5 local_g2_5 +buffer neigh_op_tnr_5 local_g3_5 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_2 local_g0_2 buffer sp4_v_b_3 local_g1_3 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_v_b_1 sp4_h_r_1 +buffer sp4_v_b_6 local_g1_6 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_r_1 sp4_v_b_1 -.logic_tile 9 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 0000000000001101 0000 -LC_1 1111000011101110 0000 -LC_2 1110000000000000 0000 -LC_3 1111111000000000 0000 -LC_4 0001111100000000 0000 -LC_5 0111000000000000 0100 DffEnable -LC_7 0111000000000000 0100 DffEnable +.logic_tile 5 2 +LC_0 0011000001010000 0100 DffEnable +LC_1 0110100110010110 0000 +LC_2 0100000000000000 0000 +LC_3 0000100001001100 0100 DffEnable +LC_4 0100010010000100 0100 DffEnable +LC_5 0010000000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0000100001001100 0100 DffEnable buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 +buffer glb_netwk_5 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_0/in_2 buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_4/in_3 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_4 lutff_0/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_7 lutff_7/in_3 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_3 lutff_0/in_3 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_3 lutff_7/in_0 buffer local_g2_5 lutff_1/in_0 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_1/in_2 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_1/in_2 buffer local_g3_2 lutff_2/in_1 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_4 lutff_7/in_0 -buffer lutff_0/out sp4_h_r_0 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_2 lutff_6/in_3 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_3 lutff_3/in_3 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/out local_g2_0 buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_v_b_26 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_7/out local_g1_7 buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_13 local_g1_5 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_44 local_g3_4 -buffer sp4_v_b_8 local_g0_0 -routing sp12_h_l_22 sp12_v_b_1 -routing sp12_v_b_1 sp12_v_t_22 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_h_l_36 sp4_h_r_1 -routing sp4_h_r_0 sp4_h_l_38 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_5 sp4_h_r_5 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_42 sp4_h_l_36 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_7 local_g0_7 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_47 local_g3_7 -.logic_tile 8 4 -CarryInSet -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 1001100101100110 0000 -LC_4 0000101100000000 0000 -LC_5 0001000000000000 0000 -LC_6 0010000000000000 0000 -LC_7 0000000100000000 0000 -buffer local_g0_0 lutff_4/in_2 +.logic_tile 11 6 +LC_0 0001000100011101 0000 +LC_1 1000000000000000 0000 +LC_2 1000010000100001 0000 +LC_3 1111001110100010 0000 +LC_4 0111001100000000 0100 DffEnable +LC_5 0000000000100000 0000 +LC_6 1000000011111111 0100 DffEnable +LC_7 1010101010001010 0000 +NegClk +buffer glb_netwk_5 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_6 lutff_6/in_1 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_5 lutff_7/in_2 -buffer local_g2_6 lutff_4/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_6 lutff_3/in_3 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_3 lutff_3/in_0 +buffer local_g2_4 lutff_1/in_3 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_1 lutff_2/in_2 buffer local_g3_1 lutff_5/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_v_b_24 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_2 lutff_7/in_2 +buffer local_g3_3 lutff_6/in_2 +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_6 lutff_2/in_1 +buffer local_g3_6 lutff_7/in_0 +buffer local_g3_7 lutff_4/in_2 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g0_0 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g3_3 buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnr_1 local_g3_1 -buffer neigh_op_top_0 local_g0_0 -buffer neigh_op_top_7 local_g0_7 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_45 local_g2_5 -routing sp12_v_t_23 sp12_h_r_0 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_t_42 sp4_h_l_42 -routing sp4_v_t_44 sp4_v_b_5 - -.logic_tile 5 2 -LC_0 0100000000000000 0100 DffEnable -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -NegClk -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_4 lutff_0/in_0 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_6/in_0 -buffer local_g3_2 lutff_3/in_0 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_5/out sp4_v_b_10 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_bnl_1 local_g3_1 buffer neigh_op_bnl_2 local_g3_2 buffer neigh_op_bnl_3 local_g2_3 buffer neigh_op_bnl_4 local_g2_4 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_bnl_5 local_g3_5 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_tnl_2 local_g2_2 +buffer neigh_op_tnl_6 local_g3_6 +buffer sp12_h_r_8 local_g1_0 buffer sp4_v_b_11 local_g1_3 -routing sp4_h_l_42 sp4_h_r_7 - -.logic_tile 1 16 -routing sp12_v_b_0 sp12_h_r_0 -routing sp12_v_b_1 sp12_h_r_1 - -.logic_tile 11 6 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000000001011 0000 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_tnr_0 local_g2_0 -buffer neigh_op_tnr_7 local_g3_7 -buffer neigh_op_top_0 local_g0_0 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_16 local_g1_0 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_v_b_18 local_g0_2 -routing sp4_h_l_36 sp4_h_r_4 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_v_b_5 sp4_h_r_5 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_39 local_g3_7 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_b_1 sp4_h_l_36 .ramb_tile 3 15 RamConfig PowerUp -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_37 sp4_v_b_3 +routing sp4_h_r_10 sp4_v_b_10 .ramb_tile 10 5 -RamConfig PowerUp -buffer sp12_v_b_1 sp4_v_b_12 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_42 sp4_h_r_10 -routing sp4_h_r_8 sp4_v_t_45 - -.ramb_tile 10 11 -RamConfig PowerUp -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_v_t_46 sp4_h_r_11 -routing sp4_v_t_46 sp4_v_b_7 - -.ramb_tile 3 3 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 buffer local_g0_2 ram/WCLKE -buffer ram/RDATA_0 sp4_h_r_16 +buffer local_g1_1 ram/WCLK buffer ram/RDATA_0 sp4_r_v_b_1 -buffer ram/RDATA_0 sp4_v_b_32 -buffer ram/RDATA_1 sp12_v_b_2 +buffer ram/RDATA_0 sp4_r_v_b_33 buffer ram/RDATA_1 sp4_r_v_b_3 -buffer ram/RDATA_2 sp4_r_v_b_21 -buffer ram/RDATA_2 sp4_r_v_b_5 -buffer ram/RDATA_2 sp4_v_b_20 -buffer ram/RDATA_2 sp4_v_b_4 -buffer ram/RDATA_3 sp12_v_b_6 -buffer ram/RDATA_3 sp4_h_r_38 -buffer ram/RDATA_3 sp4_v_b_38 -buffer ram/RDATA_4 sp4_r_v_b_25 -buffer ram/RDATA_5 sp4_r_v_b_27 +buffer ram/RDATA_1 sp4_v_b_34 +buffer ram/RDATA_2 sp4_r_v_b_37 +buffer ram/RDATA_2 sp4_v_b_36 +buffer ram/RDATA_4 sp4_v_b_24 buffer ram/RDATA_5 sp4_v_b_26 -buffer ram/RDATA_6 sp4_h_r_28 -buffer ram/RDATA_6 sp4_v_b_28 -buffer ram/RDATA_7 sp4_h_r_14 -buffer ram/RDATA_7 sp4_h_r_30 -buffer sp4_r_v_b_33 local_g0_2 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_v_b_4 sp4_v_t_37 +buffer ram/RDATA_6 sp4_r_v_b_29 +buffer ram/RDATA_7 sp4_r_v_b_31 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_v_b_2 local_g0_2 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_b_7 sp4_v_t_42 -.ramb_tile 3 13 +.ramb_tile 10 11 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -buffer glb_netwk_6 ram/WCLK -buffer local_g0_4 ram/WE -buffer local_g0_5 ram/WADDR_1 -buffer local_g0_6 ram/WADDR_4 -buffer local_g0_7 ram/WADDR_3 -buffer local_g1_1 ram/WADDR_8 -buffer local_g1_2 ram/WDATA_0 -buffer local_g1_3 ram/WADDR_6 -buffer local_g1_4 ram/WADDR_7 -buffer local_g1_6 ram/WDATA_6 -buffer local_g2_0 ram/WADDR_2 -buffer local_g2_1 ram/WDATA_2 -buffer local_g2_2 ram/WADDR_0 -buffer local_g2_3 ram/WDATA_4 -buffer local_g2_7 ram/WADDR_5 -buffer local_g3_3 ram/WCLKE -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_27 local_g3_3 +ColBufCtrl glb_netwk_7 +buffer local_g0_0 ram/WCLK +buffer local_g1_3 ram/WCLKE +buffer ram/RDATA_5 sp4_v_b_42 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_19 local_g1_3 +routing sp4_h_l_47 sp4_h_r_10 +routing sp4_h_l_47 sp4_v_b_10 +routing sp4_v_t_47 sp4_h_r_3 -.ramb_tile 10 7 +.ramb_tile 3 3 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 RamConfig PowerUp -routing sp4_v_b_5 sp4_v_t_40 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_42 sp4_v_b_3 -routing sp4_v_t_47 sp4_h_r_10 +routing sp4_h_r_6 sp4_v_t_43 +routing sp4_v_b_4 sp4_h_r_4 -.ramb_tile 10 13 +.ramb_tile 3 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 RamConfig PowerUp +routing sp4_h_r_6 sp4_h_l_39 +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_h_r_9 sp4_v_b_9 +routing sp4_v_b_1 sp4_h_r_1 + +.ramb_tile 10 7 +buffer glb_netwk_5 ram/WCLK +buffer local_g0_2 ram/WCLKE +buffer local_g1_4 ram/WDATA_2 +buffer local_g1_5 ram/WE +buffer local_g1_6 ram/WDATA_6 +buffer local_g2_2 ram/WADDR_2 +buffer local_g2_4 ram/WADDR_0 +buffer local_g3_0 ram/WDATA_0 +buffer local_g3_2 ram/WADDR_3 +buffer local_g3_4 ram/WADDR_1 +buffer local_g3_6 ram/WDATA_4 +buffer neigh_op_bnl_4 local_g3_4 +buffer neigh_op_bnr_4 local_g1_4 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_tnr_0 local_g3_0 +buffer neigh_op_tnr_6 local_g3_6 +buffer ram/RDATA_0 sp4_r_v_b_33 +buffer ram/RDATA_2 sp4_r_v_b_37 +buffer ram/RDATA_4 sp4_v_b_24 +buffer ram/RDATA_6 sp4_r_v_b_29 +buffer ram/RDATA_6 sp4_v_b_44 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_36 local_g2_4 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_42 sp4_v_t_37 routing sp4_h_r_11 sp4_v_b_4 -routing sp4_v_b_8 sp4_h_r_2 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_10 sp4_h_r_10 -.ramb_tile 3 1 +.ramb_tile 10 13 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 RamConfig PowerUp -routing sp4_h_r_8 sp4_v_b_1 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_b_11 sp4_h_r_5 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_t_46 sp4_v_b_2 .ramb_tile 3 11 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 RamConfig PowerUp +routing sp4_h_r_7 sp4_v_b_7 +routing sp4_h_r_9 sp4_v_b_9 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_8 sp4_h_r_2 routing sp4_v_b_8 sp4_h_r_8 .ramb_tile 10 15 RamConfig PowerUp -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_b_2 sp4_h_l_39 .ramb_tile 3 9 -buffer local_g0_1 ram/WDATA_6 -buffer local_g0_4 ram/WADDR_0 -buffer local_g0_6 ram/WADDR_6 -buffer local_g0_7 ram/WADDR_1 -buffer local_g1_0 ram/WDATA_4 -buffer local_g1_1 ram/WCLK +RamConfig PowerUp +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_t_42 sp4_v_b_10 +routing sp4_v_t_46 sp4_h_r_4 + +.ramb_tile 10 1 +RamConfig PowerUp +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_t_46 sp4_v_b_7 + +.ramb_tile 10 3 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +buffer glb_netwk_5 ram/WCLK +buffer local_g0_0 ram/WADDR_6 +buffer local_g0_3 ram/WDATA_4 +buffer local_g0_5 ram/WDATA_6 +buffer local_g0_6 ram/WADDR_4 +buffer local_g0_7 ram/WDATA_0 buffer local_g1_2 ram/WADDR_5 buffer local_g1_5 ram/WE -buffer local_g1_6 ram/WADDR_3 -buffer local_g1_7 ram/WADDR_2 +buffer local_g1_6 ram/WADDR_7 +buffer local_g2_2 ram/WADDR_8 +buffer local_g2_3 ram/WDATA_2 +buffer local_g2_5 ram/WADDR_1 +buffer local_g2_7 ram/WADDR_3 +buffer local_g3_1 ram/WADDR_0 +buffer local_g3_3 ram/WCLKE +buffer local_g3_5 ram/WADDR_2 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_tnl_2 local_g2_2 +buffer neigh_op_tnl_5 local_g2_5 +buffer sp12_h_r_6 local_g1_6 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_29 local_g3_5 +routing sp4_h_r_9 sp4_v_t_44 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_t_38 sp4_h_r_3 + +.ramb_tile 10 9 +buffer local_g0_0 ram/WADDR_2 +buffer local_g0_1 ram/WADDR_1 +buffer local_g1_0 ram/WDATA_4 +buffer local_g1_1 ram/WADDR_4 +buffer local_g1_4 ram/WDATA_6 +buffer local_g1_5 ram/WE +buffer local_g1_6 ram/WADDR_5 +buffer local_g1_7 ram/WADDR_0 +buffer local_g2_1 ram/WDATA_0 buffer local_g2_2 ram/WCLKE -buffer local_g2_5 ram/WADDR_7 -buffer local_g2_6 ram/WADDR_4 -buffer local_g3_0 ram/WDATA_0 -buffer local_g3_4 ram/WDATA_2 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_tnr_5 local_g2_5 -buffer neigh_op_tnr_6 local_g2_6 -buffer ram/RDATA_0 sp4_h_r_32 -buffer ram/RDATA_4 sp4_r_v_b_25 -buffer ram/RDATA_6 sp4_h_r_12 -buffer sp12_v_b_12 local_g3_4 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_1 local_g1_1 +buffer local_g2_5 ram/WDATA_2 +buffer local_g2_6 ram/WADDR_6 +buffer local_g3_1 ram/WCLK +buffer local_g3_4 ram/WADDR_7 +buffer local_g3_6 ram/WADDR_3 +buffer ram/RDATA_2 sp4_v_b_36 +buffer ram/RDATA_6 sp4_v_b_44 +buffer sp12_v_b_1 local_g3_1 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_13 local_g1_5 buffer sp4_h_r_23 local_g1_7 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_r_v_b_9 local_g2_1 buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_42 local_g2_2 -routing sp4_h_l_42 sp4_h_r_7 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_3 sp4_h_r_3 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_t_39 sp4_h_r_2 - -.ramb_tile 3 7 -buffer local_g0_2 ram/WCLKE -buffer ram/RDATA_0 sp4_h_r_16 -buffer ram/RDATA_1 sp4_r_v_b_35 -buffer ram/RDATA_2 sp4_r_v_b_37 -buffer ram/RDATA_3 sp4_h_r_22 -buffer ram/RDATA_4 sp4_r_v_b_25 -buffer ram/RDATA_5 sp4_v_b_26 -buffer ram/RDATA_6 sp4_r_v_b_45 -buffer ram/RDATA_7 sp4_h_r_14 -buffer sp4_r_v_b_33 local_g0_2 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_v_b_6 sp4_h_r_0 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_30 local_g3_6 +buffer sp4_v_b_6 local_g1_6 +routing sp12_h_l_22 sp12_v_b_1 +routing sp4_h_l_40 sp4_h_r_8 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_b_7 sp4_v_t_38 +routing sp4_v_b_8 sp4_v_t_46 routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_43 sp4_h_r_6 -routing sp4_v_t_47 sp4_h_r_3 - -.ramb_tile 10 3 -RamConfig PowerUp -buffer sp12_h_r_22 sp4_h_r_23 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_v_t_46 sp4_h_l_40 - -.ramb_tile 10 9 -RamConfig PowerUp -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_b_5 sp4_h_r_11 .ramb_tile 3 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -buffer glb_netwk_6 ram/WCLK -buffer local_g0_1 ram/WDATA_2 +ColBufCtrl glb_netwk_7 +buffer glb_netwk_5 ram/WCLK +buffer local_g0_1 ram/WDATA_6 buffer local_g0_2 ram/WCLKE buffer local_g0_3 ram/WADDR_1 -buffer local_g0_6 ram/WADDR_0 +buffer local_g0_4 ram/WADDR_0 +buffer local_g0_5 ram/WDATA_4 +buffer local_g1_2 ram/WDATA_2 +buffer local_g1_3 ram/WADDR_4 +buffer local_g1_4 ram/WADDR_5 buffer local_g1_5 ram/WE -buffer local_g1_6 ram/WDATA_6 -buffer local_g2_2 ram/WADDR_2 -buffer local_g2_5 ram/WDATA_4 -buffer local_g2_7 ram/WADDR_3 -buffer local_g3_0 ram/WDATA_0 -buffer neigh_op_bnl_0 local_g3_0 -buffer neigh_op_bnr_1 local_g0_1 -buffer neigh_op_bnr_6 local_g1_6 -buffer ram/RDATA_0 sp12_v_b_16 -buffer ram/RDATA_0 sp4_r_v_b_17 -buffer ram/RDATA_2 sp12_v_b_20 -buffer ram/RDATA_4 sp12_v_b_8 -buffer ram/RDATA_6 sp4_v_b_12 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_37 local_g2_5 -routing sp4_h_l_44 sp4_h_r_5 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_h_r_5 sp4_v_t_46 -routing sp4_v_b_1 sp4_h_l_36 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_1 sp4_v_t_44 -routing sp4_v_b_2 sp4_v_t_47 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_8 sp4_h_r_2 +buffer local_g2_3 ram/WADDR_3 +buffer local_g2_6 ram/WADDR_2 +buffer local_g3_4 ram/WDATA_0 +buffer local_g3_5 ram/WADDR_6 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_2 local_g1_2 +buffer ram/RDATA_0 sp4_h_r_32 +buffer ram/RDATA_2 sp4_r_v_b_21 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_4 local_g1_4 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_30 local_g2_6 +buffer sp4_v_b_45 local_g3_5 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_b_6 sp4_h_r_6 .ramt_tile 10 8 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_t_37 sp4_h_r_5 +RamConfig CBIT_0 +RamConfig CBIT_2 +buffer glb_netwk_5 ram/RCLK +buffer local_g0_1 ram/WDATA_14 +buffer local_g0_2 ram/RADDR_2 +buffer local_g0_3 ram/RADDR_1 +buffer local_g0_4 ram/RE +buffer local_g1_2 ram/WDATA_8 +buffer local_g1_3 ram/RCLKE +buffer local_g1_4 ram/WDATA_12 +buffer local_g2_3 ram/RADDR_3 +buffer local_g2_7 ram/WDATA_10 +buffer local_g3_3 ram/RADDR_0 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_bnr_1 local_g0_1 +buffer neigh_op_bnr_2 local_g1_2 +buffer neigh_op_bnr_4 local_g1_4 +buffer ram/RDATA_10 sp12_v_b_4 +buffer ram/RDATA_10 sp4_v_b_4 +buffer ram/RDATA_12 sp12_v_b_8 +buffer ram/RDATA_14 sp4_v_b_28 +buffer ram/RDATA_8 sp4_v_b_32 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_27 local_g0_3 +buffer sp4_r_v_b_3 local_g1_3 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_35 local_g2_3 +routing sp4_h_r_9 sp4_v_b_9 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_b_2 sp4_v_t_40 +routing sp4_v_t_39 sp4_h_r_2 .ramt_tile 3 2 -routing sp4_h_r_6 sp4_v_t_43 -routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_b_0 sp4_h_r_0 + +.ramt_tile 3 12 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_3 sp4_h_r_3 +routing sp4_v_t_39 sp4_h_r_2 .ramt_tile 10 4 -routing sp4_h_r_7 sp4_v_t_36 +RamConfig CBIT_0 +RamConfig CBIT_2 +buffer glb_netwk_5 ram/RCLK +buffer local_g0_1 ram/WDATA_8 +buffer local_g0_2 ram/RADDR_8 +buffer local_g0_3 ram/WDATA_12 +buffer local_g0_5 ram/RADDR_1 +buffer local_g1_1 ram/RADDR_0 +buffer local_g1_2 ram/WDATA_10 +buffer local_g1_4 ram/RADDR_3 +buffer local_g1_5 ram/RE +buffer local_g1_6 ram/WDATA_14 +buffer local_g2_0 ram/RADDR_6 +buffer local_g2_2 ram/RADDR_2 +buffer local_g2_6 ram/RADDR_4 +buffer local_g3_0 ram/RADDR_7 +buffer local_g3_2 ram/RADDR_5 +buffer local_g3_3 ram/RCLKE +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_tnl_2 local_g2_2 +buffer neigh_op_tnl_3 local_g3_3 +buffer ram/RDATA_10 sp4_r_v_b_21 +buffer ram/RDATA_12 sp4_v_b_8 +buffer ram/RDATA_14 sp4_r_v_b_13 +buffer ram/RDATA_8 sp4_r_v_b_1 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_3 local_g0_3 +routing sp4_h_r_2 sp4_h_l_39 +routing sp4_h_r_2 sp4_v_t_39 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_v_t_43 sp4_h_r_11 +routing sp4_v_t_43 sp4_h_r_6 .ramt_tile 10 10 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_t_42 sp4_v_b_7 - -.ramt_tile 3 10 RamConfig CBIT_0 RamConfig CBIT_2 -buffer local_g0_0 ram/RCLK -buffer local_g0_1 ram/WDATA_12 -buffer local_g0_2 ram/RADDR_6 +buffer local_g0_0 ram/RADDR_0 +buffer local_g0_1 ram/RADDR_3 +buffer local_g0_2 ram/RCLKE +buffer local_g0_3 ram/RADDR_1 buffer local_g0_4 ram/RE -buffer local_g0_6 ram/RADDR_4 -buffer local_g1_1 ram/RADDR_2 -buffer local_g1_2 ram/RADDR_7 -buffer local_g1_7 ram/RADDR_0 -buffer local_g2_1 ram/RADDR_3 -buffer local_g2_3 ram/RADDR_1 -buffer local_g2_5 ram/WDATA_14 -buffer local_g2_7 ram/RADDR_5 -buffer local_g3_0 ram/WDATA_8 -buffer local_g3_4 ram/WDATA_10 -buffer neigh_op_tnr_7 local_g2_7 -buffer ram/RDATA_12 sp4_h_r_8 -buffer ram/RDATA_14 sp4_h_r_28 -buffer ram/RDATA_8 sp4_h_r_32 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_v_b_8 local_g3_0 +buffer local_g1_0 ram/WDATA_8 +buffer local_g1_1 ram/RCLK +buffer local_g1_4 ram/WDATA_14 +buffer local_g1_5 ram/RADDR_2 +buffer local_g1_6 ram/RADDR_5 +buffer local_g2_1 ram/RADDR_7 +buffer local_g2_6 ram/RADDR_4 +buffer local_g3_0 ram/WDATA_10 +buffer local_g3_1 ram/RADDR_6 +buffer local_g3_4 ram/WDATA_12 +buffer neigh_op_bnl_1 local_g3_1 +buffer ram/RDATA_10 sp4_h_r_36 +buffer sp12_v_b_0 local_g3_0 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_14 local_g1_6 buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_36 local_g3_4 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_r_10 sp4_v_t_41 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_b_5 sp4_v_t_36 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_4 local_g1_4 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_40 sp4_h_r_1 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_t_42 sp4_h_l_36 -.ramt_tile 10 6 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_42 sp4_h_l_42 +.ramt_tile 10 16 +routing sp4_v_b_4 sp4_h_r_4 -.ramt_tile 10 12 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_36 sp4_h_l_42 +.ramt_tile 3 10 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_t_41 sp4_h_r_9 -.ramt_tile 3 8 +.ramt_tile 10 6 RamConfig CBIT_0 RamConfig CBIT_2 -buffer glb_netwk_6 ram/RCLK -buffer local_g0_1 ram/RADDR_3 -buffer local_g0_3 ram/RADDR_1 -buffer local_g0_4 ram/RADDR_4 -buffer local_g1_0 ram/RADDR_5 -buffer local_g1_1 ram/RADDR_2 -buffer local_g1_3 ram/RADDR_6 -buffer local_g1_7 ram/RADDR_0 -buffer local_g2_7 ram/RADDR_7 -buffer local_g3_5 ram/RE -buffer neigh_op_lft_7 local_g1_7 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_29 local_g3_5 -buffer sp4_v_b_3 local_g1_3 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_v_b_1 sp4_h_r_1 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_11 sp4_h_r_5 - -.ramt_tile 10 14 -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_v_t_46 sp4_v_b_7 +buffer glb_netwk_5 ram/RCLK +buffer local_g0_4 ram/RE +buffer local_g0_6 ram/RADDR_2 +buffer local_g0_7 ram/RADDR_3 +buffer local_g1_1 ram/RADDR_6 +buffer local_g1_4 ram/RADDR_1 +buffer local_g1_5 ram/RADDR_0 +buffer local_g1_6 ram/RADDR_5 +buffer local_g2_2 ram/RADDR_4 +buffer local_g3_3 ram/RCLKE +buffer neigh_op_bnl_3 local_g3_3 +buffer neigh_op_bnr_6 local_g1_6 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_22 local_g0_6 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_4 local_g1_4 +buffer sp4_v_b_5 local_g1_5 +buffer sp4_v_b_7 local_g0_7 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_b_5 sp4_h_l_40 +routing sp4_v_b_7 sp4_h_l_37 -.ramt_tile 3 6 +.ramt_tile 10 12 RamConfig CBIT_0 RamConfig CBIT_2 -buffer glb_netwk_6 ram/RCLK -buffer local_g0_0 ram/RADDR_2 -buffer local_g0_1 ram/RADDR_1 -buffer local_g0_3 ram/RADDR_3 -buffer local_g1_1 ram/RADDR_0 +buffer local_g0_2 ram/RADDR_2 +buffer local_g0_3 ram/RADDR_5 +buffer local_g0_6 ram/RADDR_6 +buffer local_g0_7 ram/RADDR_3 +buffer local_g1_1 ram/RCLK +buffer local_g1_3 ram/RADDR_0 buffer local_g1_5 ram/RE -buffer local_g2_3 ram/WDATA_12 -buffer local_g2_5 ram/WDATA_10 -buffer local_g3_2 ram/WDATA_14 -buffer local_g3_6 ram/WDATA_8 -buffer neigh_op_tnl_2 local_g3_2 -buffer neigh_op_tnl_3 local_g2_3 -buffer neigh_op_tnl_5 local_g2_5 -buffer ram/RDATA_10 sp12_h_r_12 -buffer ram/RDATA_10 sp4_v_b_36 -buffer ram/RDATA_12 sp4_r_v_b_25 -buffer ram/RDATA_14 sp4_r_v_b_13 -buffer ram/RDATA_8 sp12_v_b_16 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_5 local_g1_5 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_30 local_g3_6 -routing sp4_h_r_2 sp4_v_t_39 -routing sp4_h_r_5 sp4_v_b_5 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_11 sp4_h_r_11 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_37 sp4_h_r_5 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_46 sp4_v_b_7 +buffer local_g2_2 ram/RCLKE +buffer local_g2_6 ram/RADDR_4 +buffer local_g3_0 ram/RADDR_1 +buffer local_g3_4 ram/RADDR_7 +buffer neigh_op_tnl_6 local_g2_6 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_32 local_g3_0 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_r_9 sp4_h_l_37 +routing sp4_v_b_8 sp4_v_t_41 -.ramt_tile 3 16 -buffer sp12_h_r_22 sp4_h_r_23 -routing sp4_v_b_0 sp4_h_r_6 +.ramt_tile 3 8 +routing sp4_h_r_0 sp4_h_l_37 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_11 sp4_h_l_39 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_b_11 sp4_v_t_42 -.ramt_tile 3 4 +.ramt_tile 10 14 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_6 sp4_h_l_43 + +.ramt_tile 3 6 RamConfig CBIT_0 RamConfig CBIT_2 -buffer glb_netwk_6 ram/RCLK -buffer local_g0_0 ram/RADDR_6 -buffer local_g0_5 ram/RADDR_1 -buffer local_g0_6 ram/RADDR_4 -buffer local_g1_1 ram/RADDR_2 -buffer local_g1_2 ram/RADDR_3 -buffer local_g1_6 ram/RADDR_5 -buffer local_g2_0 ram/RADDR_0 +buffer glb_netwk_5 ram/RCLK +buffer local_g0_1 ram/RADDR_5 +buffer local_g0_5 ram/RADDR_3 +buffer local_g1_0 ram/RADDR_1 +buffer local_g1_1 ram/RADDR_6 +buffer local_g1_3 ram/RADDR_2 +buffer local_g1_5 ram/RADDR_0 +buffer local_g2_3 ram/WDATA_10 +buffer local_g2_6 ram/RADDR_4 +buffer local_g2_7 ram/WDATA_14 +buffer local_g3_0 ram/WDATA_8 +buffer local_g3_3 ram/RCLKE buffer local_g3_5 ram/RE -buffer neigh_op_bnr_1 local_g1_1 -buffer neigh_op_bnr_5 local_g0_5 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_32 local_g2_0 +buffer local_g3_6 ram/WDATA_12 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bnl_3 local_g2_3 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_bnl_7 local_g2_7 +buffer ram/RDATA_10 sp4_r_v_b_5 +buffer ram/RDATA_10 sp4_v_b_4 +buffer ram/RDATA_12 sp4_r_v_b_9 +buffer ram/RDATA_12 sp4_v_b_8 +buffer ram/RDATA_14 sp4_v_b_12 +buffer ram/RDATA_8 sp4_r_v_b_1 +buffer ram/RDATA_8 sp4_v_b_0 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_v_b_19 local_g1_3 buffer sp4_v_b_29 local_g3_5 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_h_r_9 sp4_v_t_38 -routing sp4_v_b_9 sp4_v_t_40 -routing sp4_v_t_45 sp4_h_r_1 +buffer sp4_v_b_35 local_g3_3 +routing sp4_v_t_37 sp4_h_r_0 + +.ramt_tile 3 4 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_h_r_11 sp4_v_t_46 +routing sp4_h_r_8 sp4_v_t_45 .ramt_tile 3 14 -RamConfig CBIT_0 -RamConfig CBIT_2 -buffer glb_netwk_6 ram/RCLK -buffer local_g0_2 ram/RADDR_6 -buffer local_g0_3 ram/WDATA_10 -buffer local_g0_4 ram/RE -buffer local_g0_7 ram/WDATA_12 -buffer local_g1_0 ram/RADDR_7 -buffer local_g1_1 ram/RADDR_8 -buffer local_g1_2 ram/RADDR_3 -buffer local_g1_4 ram/WDATA_8 -buffer local_g1_6 ram/RADDR_5 -buffer local_g2_3 ram/WDATA_14 -buffer local_g2_4 ram/RADDR_2 -buffer local_g3_0 ram/RADDR_1 -buffer local_g3_3 ram/RADDR_4 -buffer local_g3_5 ram/RADDR_0 -buffer neigh_op_tnr_3 local_g3_3 -buffer neigh_op_tnr_4 local_g2_4 -buffer neigh_op_tnr_5 local_g3_5 -buffer ram/RDATA_10 sp4_h_r_4 -buffer ram/RDATA_12 sp12_h_r_16 -buffer ram/RDATA_8 sp4_h_r_16 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_4 local_g0_4 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_17 local_g1_1 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_b_8 sp4_h_r_8 diff --git a/i2c_keyboard/inouts.pcf b/i2c_keyboard/inouts.pcf index b27f089..1c4382e 100644 --- a/i2c_keyboard/inouts.pcf +++ b/i2c_keyboard/inouts.pcf @@ -16,7 +16,6 @@ set_io CLK 21 set_io SCL 90 # J2, 9 set_io SDA 91 # J2, 10 set_io INTERRUPT 88 # J2, 8 -#set_io INTERRUPT_INVERT 87 #J2-7 or PIO1-06 # GND - J2, 11 #set_io INT 95 @@ -48,7 +47,6 @@ set_io KBD_ROWS[10] 80 #J2-3 or PIO1-04 set_io KBD_ROWS[11] 81 #J2-4 or PIO1-05 set_io KBD_ROWS[12] 87 #J2-7 or PIO1-06 -#set_io KBD_ROWS[12] 41 set_io KBD_ROWS[13] 37 #PIO2-04 set_io KBD_ROWS[14] 38 #PIO2-05 diff --git a/i2c_keyboard/matrix_kbd.v b/i2c_keyboard/matrix_kbd.v index 0a4d02f..0308cc7 100644 --- a/i2c_keyboard/matrix_kbd.v +++ b/i2c_keyboard/matrix_kbd.v @@ -1,5 +1,5 @@ module matrix_kbd ( input CLK, input RESET, input FREEZE, inout [15:0] ROWS, input [7:0] COLUMNS, - input [3:0] REPORT_ADRESS, output [7:0] REPORT_DATA, output INT); + input [3:0] REPORT_ADRESS, output [7:0] REPORT_DATA, output INT, output DBG); //output [7:0] kbd_r0, kbd_r2, kbd_r3, kbd_r4, kbd_r5, kbd_r6, kbd_r7, output INT); // * - ESC (29), 7 - F1 (3A), 4 - F2 (3B), 1 - NUM_LOCK (53) @@ -7,7 +7,7 @@ module matrix_kbd ( input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inp // # - LSHIFT (E1), 9 - C (06), 6 - V (19), 3 - DELETE (4C) // D - LCTRL (E0), C - LALT (E2), B - SPACE (2C), A - RGUI (E7) - parameter ONE_ROW_TIME = 8000; + parameter ONE_ROW_TIME = 12000; parameter ROW_STT_PROCESS_TIME = 7000; parameter ONE_COLUMN_PROCESS_TIME = 50; parameter ONE_ROW_TIME_POW = 14; // 15 - 65536 tacts or 5.46 ms, 14 - 32768 tacts or 2.73 ms, 13 - 16384 tacts or 1.36 ms, @@ -15,12 +15,13 @@ module matrix_kbd ( input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inp // 7 - 256 tacts or 21 mks, other values have no guaranties parameter ONE_CALC_TIME_POW = 4; // 3 - 16 tacts or 1.3 mks, 4 - 32 tacts or 2.7 mks, 5 - 64 tacts or 5.3 mks, 6 - 128 tacts or 10.7 mks // ONE_ROW_TIME_POW > (ONE_CALC_TIME_POW - 3); ONE_CALC_TIME_POW > 2 (if 2 or smaller, top module overrun may occur) + parameter CHATTERING_SUPRESSION_TIME = 100; reg [ONE_ROW_TIME_POW:0] row_time = 0; reg [3:0] row_counter; - reg [7:0] temp; - reg [7:0] i; + //reg [7:0] temp; + //reg [7:0] i; //reg [7:0] report [6:0]; // NO BYTE 2 //reg [7:0] report_byte; @@ -30,25 +31,25 @@ module matrix_kbd ( input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inp reg [15:0] ROWS_EN = 0; reg [15:0] ROWS_OUT = 0; wire [15:0] ROWS_IN; - reg [7:0] COLS_SHADOW; + reg [7:0] COLUMN_SHADOW; - //reg [7:0] kbd_code; + // reg [7:0] kbd_code; wire [6:0] kbd_code; - assign kbd_code [2:0] = row_time[7:5]; // COLUMN NUM + assign kbd_code [2:0] = row_time[10:8]; // COLUMN NUM assign kbd_code [6:3] = row_counter; // ROW NUM wire [7:0] kbd_code_hid; reg is_pressed; - reg ram_wr; - reg [8:0] ram_adr; - wire [7:0] ram_rd; + reg last_wr; + reg [8:0] last_adr; + wire [7:0] last_column; //reg [3:0] init_delay_cnt; //reg [8:0] init_ram_cnt; reg IS_RAM_INIT = 0; /*always @ (negedge CLK) begin - COLS_SHADOW <= COLUMNS; + COLUMN_SHADOW <= COLUMNS; end*/ wire [7:0] report_data_rd; reg [3:0] report_adress_rd; @@ -59,119 +60,167 @@ module matrix_kbd ( input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inp reg report_wr_en; ram REPORT (CLK, report_wr_en, report_adress_wr, report_data_wr, report_adress_rd, report_data_rd); - ram RAM (CLK, ram_wr, ram_adr, temp, ram_adr, ram_rd);//module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); + ram RAM (CLK, last_wr, last_adr, COLUMN_SHADOW, last_adr, last_column);//module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); + + reg tmr_wr_en; + reg [7:0] tmr_to_ram; + wire [7:0] tmr_from_ram; + //reg [7:0] tmr_adr; + wire [6:0] tmr_adr; + //assign tmr_adr[6:3] = kbd_code; + assign tmr_adr [6:3] = row_counter; + //assign tmr_adr [2:0] = 0; + assign tmr_adr [2:0] = row_time[10:8]; //row_time[3:1]; + ram CHATTERING_SUPRESSION_TIMERS (CLK, tmr_wr_en, tmr_adr, tmr_to_ram, tmr_adr, tmr_from_ram); + reg is_ghost; always @ (negedge CLK) begin if (RESET == 0) begin - //for (i = 0; i < 6; i = i + 1) - // report[i] = 0; isr = 0; isr_internal = 0; - //init_delay_cnt = 0; - //init_ram_cnt = 0; row_time = 0; + row_counter = 0; IS_RAM_INIT = 1; - ram_adr = 500; + last_adr = 500; report_adress_rd = 5; report_wr_en = 0; - //report_byte = 0; + COLUMN_SHADOW = 255; end else begin if (FREEZE == 0) begin - /*if (REPORT_ADRESS == 0) - report_byte <= 10; - else if ((REPORT_ADRESS == 1) || (REPORT_ADRESS == 3)) - report_byte <= 0; - else if (REPORT_ADRESS == 2) - report_byte <= report[0]; - else - report_byte <= report[REPORT_ADRESS-3];*/ - /*if (init_delay_cnt != 15) - init_delay_cnt = init_delay_cnt + 1; - else if (init_ram_cnt < 256) begin - ram_wr = 1; - ram_adr = init_ram_cnt; - temp = 255; - init_ram_cnt = init_ram_cnt + 1; - end*/ + if (IS_RAM_INIT) begin - ram_wr = 1; - ram_adr = ram_adr + 1; - temp = 255; + last_wr = 1; + tmr_wr_en = 1; + last_adr = last_adr + 1; + COLUMN_SHADOW = 255; + tmr_to_ram = 0; + row_counter = last_adr[6:3]; + row_time[10:8] = last_adr[2:0]; report_adress_rd = report_adress_rd + 1; if (report_adress_rd == 0) report_data_wr = 10; else report_data_wr = 0;//report_adress_rd & 1; report_wr_en = 1; - if (ram_adr == 130) begin - //ram_wr = 0; + if (last_adr == 130) begin + tmr_wr_en = 0; + last_wr = 0; IS_RAM_INIT = 0; report_wr_en = 0; end end - /*else if (init_ram_cnt == 256) begin - ram_wr = 0; - init_ram_cnt = init_ram_cnt + 1; - end*/ + else begin - row_time = row_time + 1; - if (row_time == 0) begin//== ONE_ROW_TIME) begin - ram_wr = 0; - //row_time <= 0; + if (row_time == ONE_ROW_TIME) begin + row_time = 0; row_counter = row_counter + 1; - ROWS_EN = 1 << row_counter; - ram_adr = row_counter; + COLUMN_SHADOW <= COLUMNS; // LATCH STATE OF THE COLUMNS IN CURRENT ROW + //last_adr = 0; + end + else begin + if (row_time == 0) begin//== ONE_ROW_TIME) begin + last_wr = 0; + ROWS_EN = 1 << ((row_counter+1) & 15); + last_adr = row_counter; + end + row_time = row_time + 1; end - // ROW 0 - D, 1 - A, 2 - C, 3 - B - /*if (row_time == (ROW_STT_PROCESS_TIME - 1)) begin - temp = ram_rd; - COLS_SHADOW <= COLUMNS; - end*/ - //if (row_time == 8191/*(ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7 + 1)*/) - // ram_wr = 1; - //if ((row_time[12:8] == 31) && (row_time[4:0] == 0)) begin - if ((row_time[ONE_ROW_TIME_POW:8] == ((1<<(ONE_ROW_TIME_POW-7))-1)) && (row_time[4:0] == 0)) begin - //temp = ram_rd; - //COLS_SHADOW = COLUMNS; - if (row_time[7:5] == 0) begin - temp = ram_rd; - COLS_SHADOW = COLUMNS; + if ((row_time[ONE_ROW_TIME_POW:11] == 0) && (row_time[7:0] < 140)) begin + // if (COLUMN_SHADOW [row_time [10:8]] == 0) begin // START OF KEY PRESS PROCESSING + // if (row_time [7:0] == 0) // AT START OF EACH COLUMN PROCESS + // is_ghost = 0; + // end + // else begin + if (COLUMN_SHADOW [row_time [10:8]] == 0) begin + if (row_time [7] == 0) begin + is_ghost = 0; + end + else if (row_time [6:0] == 0) + last_adr = row_counter; + else if ((row_time [6:0] == 1) && (is_ghost == 0)) begin + // if (last_column[row_time[10:8]] == 1) begin + // is_pressed = 1; + // report_adress_rd = 2; // ADRESS TO MODIFIERS + // isr_internal = 1; // INTERNAL ISR AT NEXT TACT + // end + if (tmr_from_ram < CHATTERING_SUPRESSION_TIME) + tmr_to_ram = tmr_from_ram + 1; + else if (tmr_from_ram == CHATTERING_SUPRESSION_TIME) begin + isr_internal = 1; + is_pressed = 1; + report_adress_rd = 2; + tmr_to_ram = tmr_from_ram; + end + else + tmr_to_ram = tmr_from_ram; + end end - check_column (row_time[7:5]); - if (row_time[7:5] == 7) - ram_wr = 1; + else begin + last_adr = row_counter; + tmr_to_ram = 0; + if (row_time [7:0] == 1) begin + if (last_column[row_time[10:8]] == 0) begin + isr_internal = 1; + is_pressed = 0; + report_adress_rd = 2; + end + end + end + + // last_adr = row_counter; + // if (row_time[7:0] == 128) begin + // if (COLUMN_SHADOW[row_time[10:8]] != last_column[row_time[10:8]]) begin + // if ((COLUMN_SHADOW[row_time[10:8]] == 0) && (last_column[row_time[10:8]] == 1)) is_pressed = 1; + // else is_pressed = 0; + // isr_internal = 1; // INTERNAL ISR AT NEXT TACT + // report_adress_rd = 2; // ADRESS TO MODIFIERS + // end + // end + + // if (row_time[7:0] == 130) + // tmr_wr_en = 1; + // else if (row_time[7:0] == 132) + // tmr_wr_en = 0; + // + // if (row_time[10:8] == 7) begin + // if (row_time[7:0] == 130) + // last_wr = 1; + // else if (row_time[7:0] == 132) + // last_wr = 0; + // end end - //else - // kbd_code = 255; - /*if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 0)) - check_column (0); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 2)) - check_column (2); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 1)) - check_column (1); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 3)) - check_column (3); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 4)) - check_column (4); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 5)) - check_column (5); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 6)) - check_column (6); - else if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7)) - check_column (7); - else - kbd_code = 255;*/ + else if ((row_time[ONE_ROW_TIME_POW:11] == 0) && (row_time[7:0] == 250)) begin + tmr_wr_en = 1; + if (row_time[10:8] == 7) + last_wr = 1; + end - // START PACK I2C_HID REPORT + else if ((row_time[ONE_ROW_TIME_POW:11] == 0) && (row_time[7:0] == 252)) begin + tmr_wr_en = 0; + last_wr = 0; + end + + // if ((row_time[ONE_ROW_TIME_POW:8] == 1/*((1<<(ONE_ROW_TIME_POW-7))-1)*/) && (row_time[4:0] == 0)) begin + // //if (row_time[7:5] == 0) begin + // // temp = last_column; + // //end + // check_column (row_time[7:5]); + // if (row_time[7:5] == 7) + // last_wr = 1; + // end + +// START PACK I2C_HID REPORT else if ((isr_internal == 1)/* && (row_time[4:0] > 1)*/) begin if (report_wr_en == 1) begin report_wr_en = 0; isr_internal = 0; isr = 1; + if (is_pressed) + tmr_to_ram = tmr_to_ram + 1; end else if (kbd_code_hid == 0) // IF KEY NOT EXIST, DO NOTHING isr_internal = 0; @@ -180,18 +229,24 @@ module matrix_kbd ( input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inp report_data_wr = report_data_rd | (1<<(kbd_code_hid & 8'h07)); else report_data_wr = report_data_rd & (~(1<<(kbd_code_hid & 8'h07))); - report_wr_en = 1; + if (report_data_wr == report_data_rd) begin + isr_internal = 0; + if (is_pressed) + tmr_to_ram = tmr_to_ram + 1; + end + else + report_wr_en = 1; end - //else - // isr_internal = 0; else if (report_adress_rd == 2) // IF BUTTON IS NOT MODIFIER, SET ADRESS TO FIRST BUTTON BYTE report_adress_rd = 4; else if (report_adress_rd == 10) // IF TOO MUTCH ADRESSES SEEK, END ALG (BUTTONS ARE IN ADRESSES 4-9) isr_internal = 0; else begin if (is_pressed) begin - if (report_data_rd == kbd_code_hid) // IF BUTTON WITH SAME CODE IS IN REPORT - isr_internal = 0; // CLEAR INTERNAL INTERRUPT, NO EXT INTERRUPT + if (report_data_rd == kbd_code_hid) begin // IF BUTTON WITH SAME CODE IS IN REPORT + isr_internal = 0; // CLEAR INTERNAL INTERRUPT, NO EXT INTERRUPT + tmr_to_ram = tmr_to_ram + 1; + end else if (report_data_rd == 0) begin // IF FREE ADRESS FOUND report_data_wr = kbd_code_hid; // WRITE CODE TO THIS ADRESS report_wr_en = 1; @@ -214,47 +269,7 @@ module matrix_kbd ( input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inp report_adress_rd = REPORT_ADRESS /*- 1*/; // IF REPORT FILLING PROCESS IS ENDED, SET ADRESS FROM TOP MODULE isr <= 0; end - /*if (kbd_code_hid != 0) begin - if (kbd_code_hid[7:3] == 5'b11100) begin - //if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8)) begin - if (is_pressed) - report [0] = report [0] | (1<<(kbd_code_hid & 8'h07)); - else - report [0] <= report [0] & (~(1<<(kbd_code_hid & 8'h07))); - isr = 1; - end - else begin - if (is_pressed) begin - isr = 1; - if (report [ 1 ] == 0) - report [ 1 ] <= kbd_code_hid; - else if (report [ 2 ] == 0) - report [ 2 ] <= kbd_code_hid; - else if (report [ 3 ] == 0) - report [ 3 ] <= kbd_code_hid; - else if (report [ 4 ] == 0) - report [ 4 ] <= kbd_code_hid; - else if (report [ 5 ] == 0) - report [ 5 ] <= kbd_code_hid; - else if (report [ 6 ] == 0) - report [ 6 ] <= kbd_code_hid; - else - isr = 0; - end - else begin - for (i = 1; i < 7; i = i + 1) begin - if (report [i] == kbd_code_hid) begin - //if (report [i] == kbd_code) begin - report [i] = 0; - isr = 1; - end - end - end - end - end // END OF KBD CODE SEND ALG - else - isr <= 0;*/ end end end @@ -263,26 +278,18 @@ module matrix_kbd ( input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inp task check_column; input [2:0] column; begin - if (COLS_SHADOW[column] != temp[column]) begin + if (COLUMN_SHADOW[column] != last_column[column]) begin //kbd_code = row_counter*8 + column; - if ((COLS_SHADOW[column] == 0) && (temp[column] == 1)) is_pressed = 1; + if ((COLUMN_SHADOW[column] == 0) && (last_column[column] == 1)) is_pressed = 1; else is_pressed = 0; isr_internal = 1; // INTERNAL ISR AT NEXT TACT report_adress_rd = 2; // ADRESS TO MODIFIERS end //else kbd_code = 255; - temp[column] = COLS_SHADOW[column]; + //temp[column] = COLUMN_SHADOW[column]; end endtask - /*assign kbd_r0 = report[0]; - assign kbd_r2 = report[1]; - assign kbd_r3 = report[2]; - assign kbd_r4 = report[3]; - assign kbd_r5 = report[4]; - assign kbd_r6 = report[5]; - assign kbd_r7 = report[6];*/ - //assign REPORT_DATA = report_byte; assign INT = isr; SB_RAM40_4K #( diff --git a/i2c_keyboard/top.v b/i2c_keyboard/top.v index f54257d..5f9634c 100644 --- a/i2c_keyboard/top.v +++ b/i2c_keyboard/top.v @@ -1,6 +1,6 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, - input SCL, inout SDA, /*output ACK,*/ output INTERRUPT, //output INTERRUPT_INVERT, + input SCL, inout SDA, /*output ACK,*/ output INTERRUPT, input COM_RX, output COM_TX, COM_DCD, COM_DSR, COM_RTS, input [7:0] KBD_COLUMNS, inout [15:0] KBD_ROWS); @@ -16,16 +16,12 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, wire [7:0] I2C_TX_DESC; wire [7:0] I2C_RX; // RECEIVED FROM MASTER wire I2C_TRANS, I2C_READ, I2C_ACK, /*I2C_ACK_MSTR_CTRL,*/ I2C_WR; - //wire [7:0] I2C_COUNTER; i2c_slave I2C (CLK, RESET, SCL, SDA, I2C_TRANS, I2C_READ, I2C_ACK, I2C_WR, //I2C_ACK_MSTR_CTRL, I2C_RX, I2C_TX);//, I2C_COUNTER); reg UART_WR, UART_DTR, UART_RTS, UART_DCD;//, UART_WR2; reg [7:0] UART_TX_DATA; wire UART_ACTIVE, UART_TX_LINE; - /*initial begin - UART_WR = 0; UART_RTS = 1; UART_DTR = 0; UART_DCD = 0; - end*/ uart UART (CLK, RESET, UART_WR, UART_TX_DATA, UART_ACTIVE, UART_TX_LINE); //wire [7:0] kbd_report [6:0]; @@ -34,14 +30,14 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, reg INT = 1; // INTERRUPT LINE TO HOST reg [INTERRUPT_TMR_REFLESH:0] int_tmr; reg KBD_FREEZE = 1; // LOGIC REG FOR BLOCK KBD ACTIVITY WHEN I2C IS WORKING - matrix_kbd KEYBOARD (CLK, RESET, IS_RAM_INIT /*KBD_FREEZE*/, KBD_ROWS, KBD_COLUMNS, wr_cnt, report_data_wr, ISR);//kbd_report[0], kbd_report[1], kbd_report[2], kbd_report[3], kbd_report[4], kbd_report[5], kbd_report[6], ISR); + wire KBD_DBG; + matrix_kbd KEYBOARD (CLK, RESET, IS_RAM_INIT /*KBD_FREEZE*/, KBD_ROWS, KBD_COLUMNS, wr_cnt, report_data_wr, ISR, KBD_DBG);//kbd_report[0], kbd_report[1], kbd_report[2], kbd_report[3], kbd_report[4], kbd_report[5], kbd_report[6], ISR); - descriptors I2C_HID_DESC (CLK, /*RESET, I2C_WR,*/ I2C_OUTPUT_TYPE[/*1:*/0], I2C_COUNTER, I2C_TX_DESC/*, kbd_report*/); + descriptors I2C_HID_DESC ((1^CLK), /*RESET, I2C_WR,*/ I2C_OUTPUT_TYPE[/*1:*/0], I2C_COUNTER, I2C_TX_DESC/*, kbd_report*/); reg [3:0] ring_wr, ring_rd; reg [3:0] wr_cnt; reg report_wr_en; - //reg [7:0] /*report_data_wadr,*/ /*report_data_radr,*/ //report_data_wr; wire [7:0] report_data_radr, report_data_wadr, report_data_wr; assign report_data_radr[7:4] = ring_rd; assign report_data_radr[3:0] = I2C_COUNTER; @@ -77,7 +73,6 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, INT = 1; int_tmr = 0; ring_wr = 0; ring_rd = 15; wr_cnt = 0; IS_RAM_INIT = 1; - //report_data_wadr = 245; // FIRST 10 TACTS ARE EMPTY report_wr_en = 0; end @@ -85,9 +80,6 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, else begin if (IS_RAM_INIT) begin - //report_wr_en = 1; - //report_data_wadr = report_data_wadr + 1; - //report_data_wr = 0; wr_cnt = wr_cnt + 1; if ((wr_cnt == 0) && (report_wr_en == 0)) report_wr_en = 1; @@ -95,10 +87,6 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, report_wr_en = 0; IS_RAM_INIT = 0; end - /*if (report_data_wadr == 17) begin - report_wr_en = 0; - IS_RAM_INIT = 0; - end*/ end else begin // START OF NON RESET AND NON INIT LOGIC @@ -109,12 +97,7 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, if ((ring_wr + 1) != ring_rd) ring_wr = ring_wr + 1; report_wr_en = 1; - //report_data_wadr = ring_wr * 16 + 1; - //report_data_wr = 10;//kbd_report [0]; wr_cnt = 1; - //INT = 0; - //I2C_OUTPUT_TYPE = 2;//3; - //I2C_OUT_DESC_MASK = 8'h00; end else if (wr_cnt != 0) begin // WRITING TO RAM REPORT FROM KEYBOARD @@ -122,22 +105,14 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, wr_cnt = 0; report_wr_en = 0; end - else begin - //report_data_wadr = ring_wr * 16 + wr_cnt + 1; - /*if ((wr_cnt == 1) || (wr_cnt == 3)) - report_data_wr = 0; - else if (wr_cnt == 2) - report_data_wr = kbd_report [wr_cnt - 2]; - else - report_data_wr = kbd_report [wr_cnt - 3];*/ + else wr_cnt = wr_cnt + 1; - end end // ---------------------------- I2C NEW BYTE TX/RX RISING/FALLING EDGE, RISING - ALL LOGIC, FALLING - UART TX -------------- // if ((last_wr == 0) && (I2C_WR == 1)) begin // I2C NEW BYTE TX/RX - //I2C_COUNTER = I2C_COUNTER - 1; + if (I2C_READ == 0) begin // I2C_FROM_HOST if (I2C_COUNTER == 0) begin @@ -182,10 +157,7 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, if (I2C_COUNTER == 0) begin if (ring_rd != ring_wr) ring_rd = ring_rd + 1; - //report_data_radr = ring_rd * 10; end - //else - // report_data_radr = report_data_radr + 1; end end UART_WR <= 0; @@ -212,7 +184,6 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, end // I2C_START_CONDITION (UART FF) - END else if ((last_trans == 1) && (I2C_TRANS == 0)) begin // I2C_STOP CONDITION (OR REPEAT START DETECTED) - //KBD_FREEZE <= 0; if (I2C_READ == 0) begin // DECODING PACKET RECEIVED FROM HOST if (((i2c_input_data_type < 4) && (I2C_COUNTER != 2)) || ((i2c_input_data_type == 4) && (I2C_COUNTER != 5)) || ((i2c_input_data_type == 5) && (I2C_COUNTER != 4)) || ((i2c_input_data_type == 6) && (I2C_COUNTER != 6)) || ((i2c_input_data_type == 7) && (I2C_COUNTER != 9))) i2c_input_data_type = 0; @@ -241,7 +212,6 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, I2C_OUT_DESC_MASK = 0; end UART_WR <= 0; - //last_trans = I2C_TRANS; end // I2C_STOP CONDITION (OR REPEAT START DETECTED) - END // ---------------- INTERRUPT TO HOST GENERATING LOGIC: DELAY AND INTERRUPT GENERATING (IF NEED) --------------- // @@ -260,22 +230,21 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, last_isr <= ISR; end // END OF NON RESET AND NON INIT LOGIC - end end assign LED5 = I2C_TRANS; //assign LED5 = COM_RX; assign LED1 = INT ^ 1;//KBD_COLUMNS[0];//I2C_OUTPUT_TYPE[0];//I2C_RX[0]; - //assign INTERRUPT_INVERT = INT ^ 1; //assign LED2 = I2C_OUTPUT_TYPE[0]; //assign LED3 = I2C_OUTPUT_TYPE[1]; - assign LED2 = KBD_LED_STATUS[0]; + //assign LED2 = KBD_LED_STATUS[0]; assign LED3 = KBD_LED_STATUS[1]; assign LED4 = KBD_LED_STATUS[2];//KBD_FREEZE;//UART_ACTIVE; //assign LED3 = UART_ACTIVE; //assign LED4 = uart_double_ff; //assign ACK = I2C_READ;//I2C_WR; //I2C_ACK; + assign LED2 = KBD_DBG; assign COM_TX = UART_TX_LINE;//COM_RX; assign INTERRUPT = INT;